mirror of
https://github.com/UzixLS/TSConf_MiST.git
synced 2025-07-18 14:51:25 +03:00
Add OUT0 signal to T80pa.
This commit is contained in:
@ -79,6 +79,7 @@ entity T80pa is
|
|||||||
RFSH_n : out std_logic;
|
RFSH_n : out std_logic;
|
||||||
HALT_n : out std_logic;
|
HALT_n : out std_logic;
|
||||||
BUSAK_n : out std_logic;
|
BUSAK_n : out std_logic;
|
||||||
|
OUT0 : in std_logic := '0'; -- 0 => OUT(C),0, 1 => OUT(C),255
|
||||||
A : out std_logic_vector(15 downto 0);
|
A : out std_logic_vector(15 downto 0);
|
||||||
DI : in std_logic_vector(7 downto 0);
|
DI : in std_logic_vector(7 downto 0);
|
||||||
DO : out std_logic_vector(7 downto 0);
|
DO : out std_logic_vector(7 downto 0);
|
||||||
@ -134,6 +135,7 @@ begin
|
|||||||
REG => REG,
|
REG => REG,
|
||||||
MC => MCycle,
|
MC => MCycle,
|
||||||
TS => TState,
|
TS => TState,
|
||||||
|
OUT0 => OUT0,
|
||||||
IntCycle_n => IntCycle_n
|
IntCycle_n => IntCycle_n
|
||||||
);
|
);
|
||||||
|
|
||||||
|
Reference in New Issue
Block a user