Add OUT0 signal to T80pa.

This commit is contained in:
sorgelig
2018-08-22 20:20:10 +08:00
parent 76ca22dbbc
commit d3814c856e

View File

@ -79,6 +79,7 @@ entity T80pa is
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
OUT0 : in std_logic := '0'; -- 0 => OUT(C),0, 1 => OUT(C),255
A : out std_logic_vector(15 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0);
@ -134,6 +135,7 @@ begin
REG => REG,
MC => MCycle,
TS => TState,
OUT0 => OUT0,
IntCycle_n => IntCycle_n
);