From d2a15630f701619124d3fa4aa22e0708c2a3a992 Mon Sep 17 00:00:00 2001 From: UzixLS Date: Sat, 24 Jul 2021 12:06:23 +0300 Subject: [PATCH] remove cpld rev.C files --- .gitignore | 1 + cpld/rev.C/top.ucf | 60 - cpld/rev.C/top.v | 122 - cpld/rev.C/zx-tsid.xise | 240 -- out/cpld.rev.C.jed | 1685 ------------- out/cpld.rev.C.svf | 5207 --------------------------------------- 6 files changed, 1 insertion(+), 7314 deletions(-) delete mode 100644 cpld/rev.C/top.ucf delete mode 100644 cpld/rev.C/top.v delete mode 100644 cpld/rev.C/zx-tsid.xise delete mode 100644 out/cpld.rev.C.jed delete mode 100644 out/cpld.rev.C.svf diff --git a/.gitignore b/.gitignore index 4ce35dd..ff0ddc3 100644 --- a/.gitignore +++ b/.gitignore @@ -32,3 +32,4 @@ cpld_tb/*.mem *.*~ *.sublime-* +*rev.C* diff --git a/cpld/rev.C/top.ucf b/cpld/rev.C/top.ucf deleted file mode 100644 index 3d80031..0000000 --- a/cpld/rev.C/top.ucf +++ /dev/null @@ -1,60 +0,0 @@ -#PACE: Start of Constraints generated by PACE -#PACE: Start of PACE I/O Pin Assignments -NET "a<0>" LOC = "p40" ; -NET "a<10>" LOC = "p61" ; -NET "a<11>" LOC = "p60" ; -NET "a<12>" LOC = "p33" ; -NET "a<14>" LOC = "p31" ; -NET "a<15>" LOC = "p32" ; -NET "a<1>" LOC = "p42" ; -NET "a<2>" LOC = "p43" ; -NET "a<3>" LOC = "p51" ; -NET "a<4>" LOC = "p39" ; -NET "a<5>" LOC = "p35" ; -NET "a<6>" LOC = "p36" ; -NET "a<7>" LOC = "p34" ; -NET "a<8>" LOC = "p57" ; -NET "a<9>" LOC = "p59" ; -NET "cfg" LOC = "p27" ; -NET "clk32" LOC = "p17" ; -NET "clkcpu" LOC = "p15" ; -NET "d<0>" LOC = "p44" ; -NET "d<1>" LOC = "p45" ; -NET "d<2>" LOC = "p46" ; -NET "d<3>" LOC = "p49" ; -NET "d<4>" LOC = "p58" ; -NET "d<5>" LOC = "p48" ; -NET "d<6>" LOC = "p47" ; -NET "d<7>" LOC = "p38" ; -NET "n_iorq" LOC = "p50" ; -NET "n_iorqge" LOC = "p52" ; -NET "n_rd" LOC = "p62" ; -NET "n_wait" LOC = "p22" ; -NET "n_wr" LOC = "p63" ; -NET "rst_n" LOC = "p64" ; -NET "sid_a<0>" LOC = "p8" ; -NET "sid_a<1>" LOC = "p7" ; -NET "sid_a<2>" LOC = "p4" ; -NET "sid_a<3>" LOC = "p5" ; -NET "sid_a<4>" LOC = "p2" ; -NET "sid_clk" LOC = "p1" ; -NET "sid_cs" LOC = "p6" ; -NET "sid_d<0>" LOC = "p16" ; -NET "sid_d<1>" LOC = "p9" ; -NET "sid_d<2>" LOC = "p12" ; -NET "sid_d<3>" LOC = "p19" ; -NET "sid_d<4>" LOC = "p18" ; -NET "sid_d<5>" LOC = "p20" ; -NET "sid_d<6>" LOC = "p10" ; -NET "sid_d<7>" LOC = "p11" ; -NET "sid_rst" LOC = "p23" ; -NET "sid_wr" LOC = "p13" ; - -#PACE: Start of PACE Area Constraints -#PACE: Start of PACE Prohibit Constraints -#PACE: End of Constraints generated by PACE -#Created by Constraints Editor (xc9572xl-vq64-10) - 2020/12/09 -NET "clk32" TNM_NET = clk32; -TIMESPEC TS_clk32 = PERIOD "clk32" 32 MHz HIGH 50%; -NET "clkcpu" TNM_NET = clkcpu; -TIMESPEC TS_clkcpu = PERIOD "clkcpu" 7 MHz HIGH 50%; diff --git a/cpld/rev.C/top.v b/cpld/rev.C/top.v deleted file mode 100644 index 4e57d15..0000000 --- a/cpld/rev.C/top.v +++ /dev/null @@ -1,122 +0,0 @@ -module top( - input rst_n, - input clkcpu, - input clk32, - input [15:0] a, - inout [7:0] d, - input n_rd, - input n_wr, - input n_iorq, - output reg n_iorqge, - output reg n_wait, - - input cfg, - - output reg [4:0] sid_a, - inout [7:0] sid_d, - output sid_clk, - output sid_rst, - output reg sid_cs, - output reg sid_wr -); - -assign sid_rst = rst_n; - -reg [4:0] sid_clk_cnt = 0; -assign sid_clk = sid_clk_cnt[4]; -always @(posedge clk32) - sid_clk_cnt <= sid_clk_cnt + 1'b1; - -wire port_cf = a[7:0] == 8'hCF; -reg iowr, iord; -always @(posedge clk32) begin - iowr <= port_cf && n_iorq == 1'b0 && n_wr == 1'b0; - iord <= port_cf && n_iorq == 1'b0 && n_rd == 1'b0; -end - -localparam ST_IDLE = 3'd0; -localparam ST_WR0 = 3'd1; -localparam ST_WR1 = 3'd2; -localparam ST_RD0 = 3'd3; -localparam ST_RD1 = 3'd4; -localparam ST_CYCLE_END = 3'd5; -reg [2:0] st; -reg [7:0] sid_d_latch; -always @(posedge clk32 or negedge rst_n) begin - if (!rst_n) begin - sid_cs <= 1'b1; - sid_wr <= 1'b1; - sid_a <= 0; - sid_d_latch <= 0; - n_wait <= 1'bz; - st <= ST_IDLE; - end - else case (st) - ST_IDLE: begin - if (iowr) begin - sid_a <= a[12:8]; - sid_d_latch <= d; - sid_wr <= 1'b0; - if (sid_clk_cnt == 20) begin - sid_cs <= 1'b0; - st <= ST_WR1; - end - else begin - st <= ST_WR0; - end - end - else if (iord) begin - sid_a <= a[12:8]; - n_wait <= 1'b0; - if (sid_clk_cnt == 20) begin - sid_cs <= 1'b0; - st <= ST_RD1; - end - else begin - st <= ST_RD0; - end - end - end - ST_WR0: begin - if (sid_clk_cnt == 20) begin - sid_cs <= 1'b0; - st <= ST_WR1; - end - end - ST_WR1: begin - if (sid_clk_cnt == 0) begin - sid_cs <= 1'b1; - sid_wr <= 1'b1; - st <= ST_CYCLE_END; - end - end - ST_RD0: begin - if (sid_clk_cnt == 20) begin - sid_cs <= 1'b0; - st <= ST_RD1; - end - end - ST_RD1: begin - if (sid_clk_cnt == 0) begin - sid_cs <= 1'b1; - sid_d_latch <= sid_d; - n_wait <= 1'bz; - st <= ST_CYCLE_END; - end - end - ST_CYCLE_END: begin - if (!iord && !iowr) - st <= ST_IDLE; - end - endcase -end - -assign sid_d = (sid_wr == 1'b0)? sid_d_latch : 8'bzzzzzzzz; - -always @(negedge clkcpu) - n_iorqge <= (port_cf)? 1'b1 : 1'bz; - -assign d = (port_cf && n_iorq == 1'b0 && n_rd == 1'b0)? sid_d_latch : 8'bzzzzzzzz; - - -endmodule diff --git a/cpld/rev.C/zx-tsid.xise b/cpld/rev.C/zx-tsid.xise deleted file mode 100644 index 1ca880d..0000000 --- a/cpld/rev.C/zx-tsid.xise +++ /dev/null @@ -1,240 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/out/cpld.rev.C.jed b/out/cpld.rev.C.jed deleted file mode 100644 index 7af49c2..0000000 --- a/out/cpld.rev.C.jed +++ /dev/null @@ -1,1685 +0,0 @@ -Programmer Jedec Bit Map -Date Extracted: Mon Apr 26 19:44:49 2021 - -QF46656* -QP64* -QV0* -F0* -X0* -J0 0* -N VERSION P.20131013* -N DEVICE XC9572XL-10-VQ64* -N PPMAP 1 1* -N PPMAP 13 10* -N PPMAP 15 11* -N PPMAP 16 12* -N PPMAP 17 13* -N PPMAP 20 15* -N PPMAP 21 16* -N PPMAP 24 17* -N PPMAP 25 18* -N PPMAP 26 19* -N PPMAP 3 2* -N PPMAP 27 20* -N PPMAP 29 22* -N PPMAP 30 23* -N PPMAP 31 24* -N PPMAP 33 25* -N PPMAP 38 27* -N PPMAP 43 31* -N PPMAP 44 32* -N PPMAP 46 33* -N PPMAP 47 34* -N PPMAP 49 35* -N PPMAP 50 36* -N PPMAP 52 38* -N PPMAP 54 39* -N PPMAP 5 4* -N PPMAP 55 40* -N PPMAP 59 42* -N PPMAP 62 43* -N PPMAP 63 44* -N PPMAP 65 45* -N PPMAP 66 46* -N PPMAP 67 47* -N PPMAP 68 48* -N PPMAP 70 49* -N PPMAP 7 5* -N PPMAP 72 50* -N PPMAP 74 51* -N PPMAP 75 52* -N PPMAP 82 56* -N PPMAP 83 57* -N PPMAP 84 58* -N PPMAP 86 59* -N PPMAP 9 6* -N PPMAP 87 60* -N PPMAP 88 61* -N PPMAP 89 62* -N PPMAP 90 63* -N PPMAP 92 64* -N PPMAP 10 7* -N PPMAP 11 8* -N PPMAP 12 9* -L0000000 00000000 00000000 00000000 00000000* -L0000032 00000000 00000000 00000000 00000000* -L0000064 00010000 00000000 00000000 00000000* -L0000096 00000000 00000000 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000* -L0000160 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000* -L0000224 00000000 00000000 00000000 00000000* -L0000256 00000000 00000000 00000000 00000000* -L0000288 000000 000000 000000 000000* -L0000312 000000 000000 000000 000000* -L0000336 000000 000000 000000 000000* -L0000360 000000 000000 000000 000000* -L0000384 000000 000000 000000 000000* -L0000408 000000 000000 000000 000000* -L0000432 00010000 00000000 00000000 00000000* -L0000464 00000000 00000000 00000000 00000000* -L0000496 00000000 00000000 00000000 00000000* -L0000528 00000000 00000000 00000000 00000000* -L0000560 00000000 00000000 00000000 00000000* -L0000592 00000000 00000000 00000000 00000000* -L0000624 00000000 00000000 00000000 00000000* -L0000656 00000000 00000000 00000000 00000000* -L0000688 00000000 00000000 00000000 00000000* -L0000720 000000 000000 000000 000000* -L0000744 000000 000000 000000 000000* -L0000768 000000 000000 000000 000000* -L0000792 000000 000000 000000 000000* -L0000816 000000 000000 000000 000000* -L0000840 000000 000000 000000 000000* -L0000864 00000010 00000000 00000000 00000000* -L0000896 00000010 00000000 00000000 00000000* -L0000928 00000000 00000000 00000000 00000000* -L0000960 00000010 00000000 00000000 00000000* -L0000992 00000000 00000000 00000000 00000000* -L0001024 10000000 00000000 00000000 00000000* -L0001056 00000000 00000000 00000000 00000000* -L0001088 10000000 00000000 00000000 00000000* -L0001120 00000000 00000000 00000000 00000000* -L0001152 000000 000000 000000 000000* -L0001176 000000 000000 000000 000000* -L0001200 000000 000000 000000 000000* -L0001224 000000 000000 000000 000000* -L0001248 000000 000000 000000 000000* -L0001272 000000 000000 000000 000000* -L0001296 00000000 00000000 00000000 00000000* -L0001328 00000000 00000000 00000000 00000000* -L0001360 00000000 00000000 00000000 00000000* -L0001392 00000000 00000000 00000000 00000000* -L0001424 00000000 00000000 00000000 00000000* -L0001456 00000000 00000000 00000000 00000000* -L0001488 10000000 00000000 00000000 00000000* -L0001520 00000000 00000000 00000000 00000000* -L0001552 10000000 00000000 00000000 00000000* -L0001584 000000 000000 000000 000000* -L0001608 000000 000000 000000 000000* -L0001632 000000 000000 000000 000000* -L0001656 000000 000000 000000 000000* -L0001680 000000 000000 000000 000000* -L0001704 000000 000000 000000 000000* -L0001728 00000000 00000000 00000000 00000000* -L0001760 00000000 00000000 00000000 00000000* -L0001792 00000000 00000000 00000000 00000000* -L0001824 00000000 00000000 00000000 00000000* -L0001856 00000000 00000000 00000000 00000000* -L0001888 00000000 00000000 00000000 00000000* -L0001920 00000000 00000000 00000000 00000000* -L0001952 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000* -L0002016 000000 000000 000000 000000* -L0002040 100000 000000 000000 000000* -L0002064 000000 000000 000000 000000* -L0002088 000000 000000 000000 000000* -L0002112 100000 000000 000000 000000* -L0002136 000000 000000 000000 000000* -L0002160 00000000 00000000 00000000 00000000* -L0002192 00000000 00000000 00000000 00000000* -L0002224 00000000 00000000 00000000 00000000* -L0002256 00000000 00000000 00000000 00000000* -L0002288 00000000 00000000 00000000 00000000* -L0002320 00000000 00000000 00000000 00000000* -L0002352 00000000 00000000 00000000 00000000* -L0002384 00000000 00000000 00000000 00000000* -L0002416 00000000 00000000 00000000 00000000* -L0002448 000000 000000 000000 000000* -L0002472 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000* -L0002520 100000 000000 000000 000000* -L0002544 000000 000000 000000 000000* -L0002568 100000 000000 000000 000000* -L0002592 00000010 00000000 00000000 00000000* -L0002624 01010011 00000000 00000000 00000000* -L0002656 00000010 00000000 00000000 00000000* -L0002688 00000000 00000000 00000000 00000000* -L0002720 00000010 00000000 00000000 00000000* -L0002752 00000001 00000000 00000000 00000000* -L0002784 01110111 00000000 00000000 00000000* -L0002816 00000011 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000* -L0002880 000000 000000 000000 000000* -L0002904 000000 000000 000000 000000* -L0002928 110010 000000 000000 000000* -L0002952 000000 000000 000000 000000* -L0002976 000000 000000 000000 000000* -L0003000 000000 000000 000000 000000* -L0003024 01000010 00000000 00000000 00000100* -L0003056 00000011 00000000 00000000 01000000* -L0003088 00000000 00000000 00000000 00000000* -L0003120 00000000 00000000 00000000 00000000* -L0003152 00000000 00000000 00000000 00000000* -L0003184 00000001 00000000 00000000 00000100* -L0003216 00000000 00000000 00000100 01110000* -L0003248 00000000 00000000 00000000 00000000* -L0003280 00000000 00000000 00000000 00000000* -L0003312 000000 000000 000000 000000* -L0003336 000000 000000 000000 000001* -L0003360 000000 100000 000000 110000* -L0003384 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000* -L0003432 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000* -L0003488 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000* -L0003552 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000* -L0003616 01000000 00000000 00000000 00000000* -L0003648 00000000 00000100 00000000 00000000* -L0003680 00000000 00000000 00000000 00000000* -L0003712 01000000 00000100 00000000 00000000* -L0003744 000000 000000 000000 000000* -L0003768 000000 000000 000000 000000* -L0003792 000000 000000 000000 000000* -L0003816 000000 000000 000000 000000* -L0003840 000000 000000 000000 000000* -L0003864 000000 000000 000000 000000* -L0003888 00000000 00000000 00000000 00000000* -L0003920 00000000 00000000 00000000 00000000* -L0003952 00000000 00000000 00000000 00000000* -L0003984 00000000 00000000 00000000 00000000* -L0004016 00000000 00000000 00000000 00000000* -L0004048 00000000 00000100 00000000 00000000* -L0004080 00000000 00000000 00000000 00000000* -L0004112 01000000 00000100 00000000 00000000* -L0004144 00000000 00000000 00000000 00000000* -L0004176 010000 000000 000000 000000* -L0004200 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000* -L0004248 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000* -L0004296 000000 000000 000000 000000* -L0004320 00000000 00000000 00000000 00000000* -L0004352 00000000 00000000 00000000 00000000* -L0004384 00000000 00000000 00000000 00000000* -L0004416 00000000 00000000 00000000 00000000* -L0004448 00000000 00000000 00000000 00000000* -L0004480 00000000 00000000 00000000 00000000* -L0004512 00000000 00010000 00000000 00000000* -L0004544 00000000 00000000 00000000 00000000* -L0004576 00000000 00010000 00000000 00000000* -L0004608 000000 000000 000000 000000* -L0004632 010000 000000 000000 000000* -L0004656 000000 000000 000000 000000* -L0004680 000000 000000 000000 000000* -L0004704 010000 000000 000000 000000* -L0004728 000000 000000 000000 000000* -L0004752 00000000 00000000 00000000 00000000* -L0004784 00000000 00000000 00000000 00000000* -L0004816 00000000 00000000 00000000 00000000* -L0004848 00000000 00000000 00000000 00000000* -L0004880 00000000 00000000 00000000 00000000* -L0004912 00000000 00010000 00000000 00000000* -L0004944 00000000 00000000 00000000 00000000* -L0004976 00000000 00010000 00000000 00000000* -L0005008 00000000 00000000 00000000 00000000* -L0005040 000000 000000 000000 000000* -L0005064 000000 000000 000000 000000* -L0005088 000000 000000 000000 000000* -L0005112 010000 000000 000000 000000* -L0005136 000000 000000 000000 000000* -L0005160 010000 000000 000000 000000* -L0005184 00000001 00000001 00000000 00000001* -L0005216 00000011 00000001 00000000 00000001* -L0005248 00000011 00000011 00000000 00000010* -L0005280 00000010 00000000 00000000 00000011* -L0005312 00000010 00000001 00000001 00000011* -L0005344 00000011 00000001 00000001 00000010* -L0005376 00000000 00001000 00000001 00000001* -L0005408 00000011 00000001 00000001 00000011* -L0005440 00000000 00001000 00000001 00000001* -L0005472 001000 000000 000000 000000* -L0005496 000000 000000 000000 000000* -L0005520 000000 000000 000000 000000* -L0005544 000000 000000 000000 000000* -L0005568 000000 000000 000000 000000* -L0005592 000000 000000 000000 000000* -L0005616 00000000 00000000 00000000 00000000* -L0005648 00000000 00000000 00000000 00000000* -L0005680 00000000 00000000 00000000 00000000* -L0005712 00000000 00000000 00000000 00000000* -L0005744 00000000 00000000 00000000 00000000* -L0005776 00000000 00001000 00000000 00000000* -L0005808 00000000 00000000 00000000 00000000* -L0005840 00000000 00001000 00000000 00000000* -L0005872 00100000 00000000 00000000 00000000* -L0005904 000000 000000 000000 000000* -L0005928 000000 000000 000000 000000* -L0005952 000000 000000 000000 000000* -L0005976 000000 000000 000000 000000* -L0006000 000000 000000 000000 000000* -L0006024 000000 000000 000000 000000* -L0006048 00000001 00000000 00000000 00000000* -L0006080 00000011 00000001 00000010 00000001* -L0006112 00000010 00000011 00000000 00000011* -L0006144 00000010 00000000 00000000 00000010* -L0006176 00000010 00000001 00000001 00000010* -L0006208 00100011 00000001 00000001 00000010* -L0006240 00000000 00000000 00000001 00000000* -L0006272 00000011 00000001 00000001 00000010* -L0006304 00100000 00000000 00000001 00000000* -L0006336 000000 000000 000000 000000* -L0006360 000000 000000 000000 000000* -L0006384 000000 000000 000000 000000* -L0006408 000000 000000 000000 000000* -L0006432 000000 000000 000000 000000* -L0006456 000000 000000 000000 000000* -L0006480 00000001 00000000 00000000 00000100* -L0006512 00000001 00000000 00000010 01000001* -L0006544 00000010 00000010 00000000 00000011* -L0006576 00000000 00000000 00000000 00000010* -L0006608 00000010 00000000 00000000 00000010* -L0006640 00000011 00000000 00000000 00000110* -L0006672 00000000 00000000 00000000 01110000* -L0006704 00100011 00000000 00000001 00000010* -L0006736 00000000 00000000 00000000 00000000* -L0006768 001000 000000 000000 000000* -L0006792 000000 000000 000000 000001* -L0006816 000000 100000 000000 110000* -L0006840 000000 000000 000000 000000* -L0006864 000000 000000 000000 000000* -L0006888 000000 000000 000000 000000* -L0006912 00000001 00000000 00000000 00000000* -L0006944 00000011 00000001 00000000 00000000* -L0006976 00000010 00000001 00000000 00000000* -L0007008 00000000 00000000 00000000 00000000* -L0007040 00000010 00000001 00000000 00000000* -L0007072 00000011 00000001 00000001 00000000* -L0007104 00000000 00000000 00000001 00000000* -L0007136 00000011 00000001 00000000 00000000* -L0007168 00000000 00000000 00000001 00000000* -L0007200 000000 000000 000000 000000* -L0007224 000000 000000 000000 000000* -L0007248 000000 000100 000000 000000* -L0007272 000000 000000 000000 000000* -L0007296 000000 000100 000000 000000* -L0007320 100000 000000 000000 000000* -L0007344 00000000 00000000 00000000 00000000* -L0007376 00000000 00000000 00000000 00000000* -L0007408 00000000 00000000 00000000 00000000* -L0007440 00000000 00000000 00000000 00000000* -L0007472 00000000 00000000 00000000 00000000* -L0007504 00000000 00000000 00000000 00000000* -L0007536 00000000 00000000 00000000 00000000* -L0007568 00000000 00000000 00000001 00000000* -L0007600 00000000 00000000 00000000 00000000* -L0007632 000000 000000 000000 000000* -L0007656 000000 000100 000000 000000* -L0007680 000000 000000 000000 000000* -L0007704 000000 000100 000000 000000* -L0007728 100000 000000 000000 000000* -L0007752 000000 000000 000000 000000* -L0007776 00010001 00000000 00000000 00000100* -L0007808 00000011 00000001 00000000 01000000* -L0007840 00000010 00000001 00000100 00000000* -L0007872 00010000 00000000 00000100 00000000* -L0007904 00000010 00000001 00000100 00000000* -L0007936 00000011 00000001 00000001 00000100* -L0007968 00000000 00000000 00001001 01110000* -L0008000 00000011 00000001 00000100 00000000* -L0008032 00000000 00000000 00000001 00000000* -L0008064 000000 000000 000000 000000* -L0008088 000000 000010 000000 000001* -L0008112 000000 000010 000000 110000* -L0008136 000000 000000 000000 000000* -L0008160 000000 000000 000011 000000* -L0008184 000000 000000 000011 000000* -L0008208 00000000 00000000 00000000 00000000* -L0008240 00000000 00000000 00000000 00000000* -L0008272 00010000 00000000 00000000 00000000* -L0008304 00000000 00000000 00000000 00000000* -L0008336 00010000 00000000 00000000 00000000* -L0008368 00000000 00000000 00000000 00000000* -L0008400 00000000 00000000 00000000 00000000* -L0008432 00000000 00000000 00000000 00000000* -L0008464 00000000 00000000 00000000 00000000* -L0008496 000000 000010 000000 000000* -L0008520 000000 000000 000000 000000* -L0008544 000000 000000 000000 000000* -L0008568 000000 000010 000000 000000* -L0008592 000000 000010 000000 000000* -L0008616 000000 000010 000000 000000* -L0008640 00000001 00000000 00000000 00000000* -L0008672 00000001 00000000 00000000 00000000* -L0008704 00000010 00000000 00000000 00000000* -L0008736 00000000 00000000 00000000 00000000* -L0008768 00000010 00000000 00000000 00000000* -L0008800 00010011 00010001 00000000 00000000* -L0008832 00000000 00000000 00000101 00000000* -L0008864 00000011 00010000 00000000 00000000* -L0008896 00010000 00000000 00000001 00000000* -L0008928 000000 000000 000000 000000* -L0008952 000000 000000 000000 000000* -L0008976 000000 000000 000000 000000* -L0009000 000000 000000 000000 000000* -L0009024 000000 000000 000000 000000* -L0009048 000000 000000 000000 000000* -L0009072 00000000 00000000 00000000 00000000* -L0009104 00000000 00000000 00000000 00000000* -L0009136 00000000 00000000 00000000 00000000* -L0009168 00000000 00000000 00000000 00000000* -L0009200 00000000 00000001 00000000 00000000* -L0009232 00000000 00000000 00000001 00000000* -L0009264 00000000 00010000 00000000 00000000* -L0009296 00010000 00000000 00000000 00000000* -L0009328 00000000 00010000 00000000 00000000* -L0009360 000100 000000 000000 000000* -L0009384 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000* -L0009432 000000 000000 000000 000000* -L0009456 000000 000000 000000 000000* -L0009480 000000 000000 000000 000000* -L0009504 00000000 00000000 00000000 00000000* -L0009536 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000001* -L0009600 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000* -L0009664 00000000 00000000 00000000 00000001* -L0009696 00000000 00000000 00000000 00000000* -L0009728 01000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000001 00000001* -L0009792 000000 000000 000000 000000* -L0009816 000000 000100 000000 000000* -L0009840 000000 000000 000000 000000* -L0009864 000000 000100 000000 000000* -L0009888 000000 000000 000000 000000* -L0009912 000000 000000 000000 000000* -L0009936 00000000 00000000 00000000 00000000* -L0009968 00000000 00000000 00000000 00000000* -L0010000 00000000 00000000 00000000 00000000* -L0010032 00000000 00000000 00000000 00000000* -L0010064 00000000 00000000 00000000 00000000* -L0010096 01000000 00000001 00000000 00000000* -L0010128 00000000 00000000 00000001 00000000* -L0010160 00000000 00000000 00000000 00000000* -L0010192 00000000 00000000 00000001 00000000* -L0010224 000000 000000 000000 000000* -L0010248 000000 000000 000000 000000* -L0010272 000000 000100 000000 000000* -L0010296 000000 000000 000000 000000* -L0010320 000000 000100 000000 000000* -L0010344 000000 000000 000000 000000* -L0010368 00000000 00000000 00000000 00000000* -L0010400 01000000 00000000 00000000 00000000* -L0010432 00000000 00000000 00000000 00000000* -L0010464 00010000 00000000 00000000 00000000* -L0010496 00010000 00000000 00000000 00000000* -L0010528 00000000 00000000 00000000 00000000* -L0010560 00000000 00000000 00000000 00000000* -L0010592 00000000 00000000 00000000 00000000* -L0010624 01110100 00000000 00000000 00000000* -L0010656 011101 000000 000000 000000* -L0010680 000000 000010 000000 000000* -L0010704 000000 000010 000000 000000* -L0010728 000000 000000 000000 000000* -L0010752 110010 000000 000000 000000* -L0010776 110010 000000 000000 000000* -L0010800 00000000 00010000 00000000 00010000* -L0010832 00000000 00000000 00000000 00000000* -L0010864 00000000 00000000 00000000 00000000* -L0010896 00000000 00000000 00000000 00000000* -L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000000 00000000 00000000* -L0010992 00000000 00000000 00000000 00000000* -L0011024 00000000 00000000 00000000 00000000* -L0011056 00000000 00000000 00000000 00000000* -L0011088 000000 000010 000000 000000* -L0011112 000000 000000 000000 000000* -L0011136 000000 000000 000000 000000* -L0011160 000000 000010 000000 000000* -L0011184 000000 000010 000000 000000* -L0011208 000000 000010 000000 000000* -L0011232 00000001 00000001 00000000 00000001* -L0011264 00000011 00000001 00000010 00000001* -L0011296 00000011 00000011 00000000 00000011* -L0011328 00000010 00000000 00000000 00000011* -L0011360 00000010 00000001 00000001 00000011* -L0011392 00000011 00001001 00000001 00000011* -L0011424 00000000 00000000 10001001 00000001* -L0011456 00100011 00001001 00000001 00000011* -L0011488 00000000 00000000 00000001 00000001* -L0011520 000000 000000 000000 000000* -L0011544 000000 000000 000000 000000* -L0011568 000000 000000 000000 000000* -L0011592 000000 000000 000000 000000* -L0011616 000000 000000 000000 000000* -L0011640 000000 000000 000000 000000* -L0011664 00000000 00000000 00000000 00000100* -L0011696 00000000 00000000 00000000 01000000* -L0011728 00000000 00000000 00000000 00000000* -L0011760 00000000 00000000 00000000 00000000* -L0011792 00000000 00000000 00000000 00000000* -L0011824 00100000 00000000 00001000 00000100* -L0011856 00000000 00001000 00000000 01110000* -L0011888 00000000 00000000 00000000 00000000* -L0011920 00000000 00001000 00000000 00000000* -L0011952 000000 000000 000000 000000* -L0011976 000000 000000 000000 000001* -L0012000 000000 000000 000000 110000* -L0012024 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000* -L0012072 000000 000000 000000 000000* -L0012096 00000000 00000000 00000100 00000000* -L0012128 00000000 00000000 00000100 00000000* -L0012160 00000000 00000000 00000000 00000000* -L0012192 00000000 00000000 00000000 00000000* -L0012224 00000000 00000000 00000000 00000000* -L0012256 00000000 00000000 00001000 00000000* -L0012288 00000000 00000000 00000000 00000000* -L0012320 00000000 00000000 00000100 00000000* -L0012352 00000000 00000000 00000000 00000000* -L0012384 000000 000000 000000 000000* -L0012408 000010 000010 000011 000000* -L0012432 000000 000010 000010 000000* -L0012456 000000 000000 000001 000000* -L0012480 000010 000000 000010 000000* -L0012504 000000 000000 000011 000000* -L0012528 00000000 00000000 00000000 00011000* -L0012560 00000000 00000000 00000000 00000000* -L0012592 00000000 00000000 00000100 00000000* -L0012624 00000000 00000000 00000000 00000000* -L0012656 00000000 00000000 00000000 00000000* -L0012688 00000000 00000000 00000000 00001000* -L0012720 00000000 00000000 00001000 00000000* -L0012752 00000000 00000000 00000000 00000000* -L0012784 00000000 00000000 00000000 00000000* -L0012816 000000 000010 000000 000000* -L0012840 000000 000000 000000 000000* -L0012864 000000 000000 000001 000000* -L0012888 000010 000010 000010 000000* -L0012912 000000 000010 000001 000000* -L0012936 000010 000010 000000 000000* -L0012960 01010000 00000001 00000100 00000000* -L0012992 00000010 00000001 00000100 00000000* -L0013024 00010001 00000001 00000000 00000000* -L0013056 00000010 00000000 00000000 00000000* -L0013088 00000000 00000001 00000100 00000000* -L0013120 11110100 00000001 00001000 00000000* -L0013152 10000000 00000000 00000000 00000000* -L0013184 11110100 00000001 00000000 00000000* -L0013216 10000000 00000000 00000000 00000000* -L0013248 000000 000000 000000 000000* -L0013272 110010 000000 000010 000000* -L0013296 000000 000000 000011 000000* -L0013320 110010 000000 000010 000000* -L0013344 000000 000000 000000 000000* -L0013368 000000 000000 000010 000000* -L0013392 00000000 00000000 00000000 00000100* -L0013424 01000000 00000000 00000000 01000000* -L0013456 00000000 00000000 00000100 00000000* -L0013488 00010000 00000000 00000100 00000000* -L0013520 00010000 00000000 00000000 00000000* -L0013552 00000000 00011100 00000000 00000100* -L0013584 00000000 00011100 00001000 01110000* -L0013616 00000000 00000000 00000000 00000000* -L0013648 01110100 00000000 00000000 00000000* -L0013680 011101 000000 000000 000000* -L0013704 000000 000100 000001 000001* -L0013728 000000 000100 000000 110000* -L0013752 000000 000000 000000 000000* -L0013776 110010 000010 000011 000000* -L0013800 110010 000000 000001 000000* -L0013824 00000000 00000000 00000000 00000000* -L0013856 00000000 00000000 00000010 00000001* -L0013888 00000001 00000010 00000000 00000010* -L0013920 00000000 00000000 00000000 00000010* -L0013952 00000000 00000000 00000000 00000010* -L0013984 00000100 00000100 00000000 00000010* -L0014016 00000000 00000000 00000000 00000000* -L0014048 00000000 00000100 00000001 00000010* -L0014080 00000100 00000000 00000000 00000000* -L0014112 000000 000000 000000 000000* -L0014136 000000 000000 000000 000000* -L0014160 000000 000000 000000 000000* -L0014184 000000 000000 000011 000000* -L0014208 000000 000000 000000 000000* -L0014232 000000 000000 000000 000000* -L0014256 00000001 00000001 00000000 00000001* -L0014288 00000011 00000001 00000000 00000000* -L0014320 00000010 00000001 00000000 00000000* -L0014352 00000010 00000000 00000000 00000001* -L0014384 00000010 00000001 00000001 00000001* -L0014416 00000011 00000001 00001001 00000001* -L0014448 00000000 00000100 00000001 00000001* -L0014480 00000111 00000001 00000000 00000001* -L0014512 00000000 00000100 00000001 00000000* -L0014544 000001 000000 000000 000000* -L0014568 000000 000000 000000 000000* -L0014592 000000 000000 000010 000000* -L0014616 000000 000000 000000 000000* -L0014640 000000 000000 000000 000000* -L0014664 000000 000000 000010 000000* -L0014688 00000000 00000000 00000000 00000000* -L0014720 01000000 00000000 00000000 00000000* -L0014752 00000000 00000000 00000000 00000001* -L0014784 00000000 00000000 00000100 00000000* -L0014816 00000000 00000000 00000000 00000000* -L0014848 10000000 00000000 00001000 00000000* -L0014880 10000000 00000000 00000000 00000000* -L0014912 10000000 00000000 00000100 00000000* -L0014944 10000000 00000000 00000000 00000001* -L0014976 000000 000000 000000 000000* -L0015000 000000 000000 000001 000000* -L0015024 000000 100000 000001 000100* -L0015048 000000 000000 000011 000000* -L0015072 000000 000000 000010 000000* -L0015096 000000 000000 000000 000000* -L0015120 00000000 00000000 00000000 00000000* -L0015152 00000000 00000000 00000100 00000000* -L0015184 00000000 00000000 00000000 00000001* -L0015216 00000000 00000000 00000000 00000000* -L0015248 00000000 00000000 00000100 00000000* -L0015280 00000000 00000000 00000000 00000000* -L0015312 00000000 00000000 00001000 00000000* -L0015344 00000000 00000000 00000000 00000000* -L0015376 00000000 00000000 00000000 00000001* -L0015408 000000 000000 000000 000000* -L0015432 000000 000000 000010 000000* -L0015456 000000 000000 000000 000000* -L0015480 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000* -L0015528 000000 000000 000000 000000* -L0015552 00000000 00000000 00000000 00000000* -L0015584 00000000 00000000 00000000 00000000* -L0015616 00000000 00000000 00000000 00000000* -L0015648 00000000 00000000 00000000 00000000* -L0015680 00000000 00000000 00000000 00000000* -L0015712 00000000 00000000 00000000 00000000* -L0015744 00000000 00000000 00000000 00000000* -L0015776 00000000 00000000 00000000 00000000* -L0015808 00000000 00000000 00000000 00000000* -L0015840 000000 000000 000000 000000* -L0015864 000000 000000 000000 000000* -L0015888 000000 000000 000000 000000* -L0015912 000000 000000 000000 000000* -L0015936 000000 000000 000000 000000* -L0015960 000000 000000 000000 000000* -L0015984 00000000 00000000 00000000 00000000* -L0016016 00000000 00000000 00000000 00000000* -L0016048 00000000 00000000 00000000 00000000* -L0016080 00000000 00000000 00000000 00000000* -L0016112 00000000 00000000 00000000 00000000* -L0016144 00000000 00000000 00000000 00000000* -L0016176 00000000 00000000 00000000 00000000* -L0016208 00000000 00000000 00000000 00000000* -L0016240 00000000 00000000 00000000 00000000* -L0016272 000000 000000 000000 000000* -L0016296 000000 000000 000000 000000* -L0016320 000000 000000 000000 000000* -L0016344 000000 000000 000000 000000* -L0016368 000000 000000 000000 000000* -L0016392 000000 000000 000000 000000* -L0016416 00000000 00000000 00000000 00000000* -L0016448 00000000 00000000 00000000 00000000* -L0016480 00000000 00000000 00000000 00000000* -L0016512 00000000 00000000 00000000 00000000* -L0016544 00000000 00000000 00000000 00000000* -L0016576 00000000 00000000 00000000 00000000* -L0016608 00000000 00000000 00000000 00000000* -L0016640 00000000 00000000 00000000 00000000* -L0016672 00000000 00000000 00000000 00000000* -L0016704 000000 000000 000000 000000* -L0016728 000000 000000 000000 000000* -L0016752 000000 000000 000000 000000* -L0016776 000000 000000 000000 000000* -L0016800 000000 000000 000000 000000* -L0016824 000000 000000 000000 000000* -L0016848 00000001 00000001 00000000 00000001* -L0016880 00000011 00000001 00000000 00000000* -L0016912 00000010 00000001 00000000 00000000* -L0016944 00000010 00000000 00000000 00000001* -L0016976 00000010 00000001 00000001 00000001* -L0017008 00000011 00000001 00000001 00000001* -L0017040 00000000 00000000 00000001 00000000* -L0017072 00000011 00000001 00000000 00000000* -L0017104 00000000 00000000 00000000 00000000* -L0017136 000000 000000 000000 000000* -L0017160 000000 000000 000000 000000* -L0017184 000000 000000 000000 000000* -L0017208 000000 000000 000000 000000* -L0017232 000000 000000 000000 000000* -L0017256 000000 000000 000000 000000* -L0017280 00000001 00000000 00000000 00000000* -L0017312 00000011 00000001 00000000 00000000* -L0017344 00000010 00000001 00000000 00000000* -L0017376 00000000 00000000 00000000 00000000* -L0017408 00000010 00000001 00000000 00000000* -L0017440 00000011 00000000 00000001 00000000* -L0017472 00000000 00000000 00000001 00000000* -L0017504 00000011 00000001 00000000 00000000* -L0017536 00000000 00000000 00000001 00000000* -L0017568 010000 000000 000000 000000* -L0017592 000000 000000 000000 000000* -L0017616 000000 000000 000000 000000* -L0017640 000000 000000 000000 000000* -L0017664 000000 000000 000000 000000* -L0017688 000000 000000 000000 000000* -L0017712 00000000 00000000 00000000 00000000* -L0017744 00000000 00000000 00000000 00000000* -L0017776 00000000 00000000 00000000 00000000* -L0017808 00000010 00000000 00000000 00000000* -L0017840 00000000 00000000 00000001 00000000* -L0017872 00000000 00000001 00000000 00000000* -L0017904 00000000 00000000 00000100 00000000* -L0017936 00000000 00000000 00000000 00000000* -L0017968 01000000 00000000 00000000 00000000* -L0018000 000000 000000 000000 000000* -L0018024 000000 000000 000000 000000* -L0018048 000000 100000 000000 000000* -L0018072 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000* -L0018120 000000 000000 000000 000000* -L0018144 00000000 00000001 00000000 00000000* -L0018176 00000000 00000000 00000000 00000000* -L0018208 00000000 00000000 00000000 00000000* -L0018240 00000000 00000000 00000000 00000000* -L0018272 00000000 00000000 00000000 00000000* -L0018304 00000000 00000000 00000000 00000000* -L0018336 00000000 00000000 00000000 00000000* -L0018368 00000000 00000000 00000000 00000000* -L0018400 00000000 00000000 00000000 00000000* -L0018432 000000 000000 000000 000000* -L0018456 000000 000000 000000 000000* -L0018480 000000 000000 000000 000000* -L0018504 000000 000000 000000 000000* -L0018528 000000 000000 000000 000000* -L0018552 000000 000000 000000 000000* -L0018576 00000000 00000000 00000000 00000000* -L0018608 00000000 00000000 00000000 00000000* -L0018640 00000000 00000000 00000000 00000000* -L0018672 00000000 00000000 00000000 00000000* -L0018704 00000000 00000000 00000000 00000000* -L0018736 00000000 00000000 00000000 00000000* -L0018768 00000000 00000000 00000000 00000000* -L0018800 00000000 00000000 00000000 00000000* -L0018832 00000000 00000000 00000000 00000000* -L0018864 000000 000000 000000 000000* -L0018888 000000 000000 000000 000000* -L0018912 000000 000000 000000 000000* -L0018936 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000* -L0018984 000000 000000 000000 000000* -L0019008 00000001 00000001 00000000 00000000* -L0019040 00000011 00000001 00000010 00000001* -L0019072 00000011 00000011 00000000 00000011* -L0019104 00000010 00000000 00000000 00000010* -L0019136 00000010 00000001 00000000 00000010* -L0019168 00000011 00000001 00000000 00000010* -L0019200 00000000 00000000 00000000 00000000* -L0019232 00000011 00000001 00000001 00000010* -L0019264 00000000 00000000 00000000 00000000* -L0019296 000000 000000 000000 000000* -L0019320 000000 000000 000000 000000* -L0019344 000000 000000 000000 000000* -L0019368 000000 000000 000000 000000* -L0019392 000000 000000 000000 000000* -L0019416 000000 000000 000000 000000* -L0019440 00000001 00000001 00000000 00000001* -L0019472 00000011 00000001 00000000 00000001* -L0019504 00000011 00000011 00000000 00000010* -L0019536 00000010 00000000 00000000 00000011* -L0019568 00000010 00000001 00000001 00000011* -L0019600 00000011 00000001 00000001 00000010* -L0019632 00000000 00000000 00000001 00000001* -L0019664 00000011 00000001 00000001 00000011* -L0019696 00000000 00000000 00000001 00000001* -L0019728 000000 000000 000000 000000* -L0019752 000000 000000 000000 010000* -L0019776 000000 000000 000000 000000* -L0019800 000000 000000 000000 000000* -L0019824 000000 000000 000000 000000* -L0019848 000000 000000 000000 000000* -L0019872 00000001 00000000 00000000 00000000* -L0019904 00000011 00000001 00000010 00000001* -L0019936 00000010 00000011 00000000 00000011* -L0019968 00000010 00000000 00000000 00000010* -L0020000 00000010 00000001 00000001 00000010* -L0020032 00000011 00000001 00000001 00000010* -L0020064 00000000 00000000 00000001 00000000* -L0020096 00000011 00000001 00000001 00000010* -L0020128 00000000 00000000 00000001 00000000* -L0020160 000000 000000 000000 000000* -L0020184 000000 000000 000000 000000* -L0020208 000000 000000 000000 000000* -L0020232 000000 000000 000000 000000* -L0020256 000000 000000 000000 000000* -L0020280 000000 000000 000000 000000* -L0020304 00000001 00000000 00000000 00000000* -L0020336 00000011 00000001 00000000 00000000* -L0020368 00000010 00000001 00000000 00000000* -L0020400 00000000 00000000 00000000 00000000* -L0020432 00000010 00000001 00000000 00000000* -L0020464 00000011 00000001 00000001 00000000* -L0020496 00000000 00000000 00000001 00000000* -L0020528 00000011 00000001 00000001 00000000* -L0020560 00000000 00000000 00000001 00000000* -L0020592 000000 000000 000000 000000* -L0020616 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000* -L0020664 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000* -L0020712 000000 000000 000000 000000* -L0020736 00000001 00000000 00000000 00000000* -L0020768 00000011 00000001 00000000 00000000* -L0020800 00000010 00000001 00000000 00000000* -L0020832 00000000 00000000 00000000 00000000* -L0020864 00000010 00000001 00000000 00000000* -L0020896 00000011 00000001 00000001 00000000* -L0020928 00000000 00000000 00000001 00000000* -L0020960 00000011 00000001 00000000 00000000* -L0020992 00000000 00000000 00000001 00000000* -L0021024 000000 000000 000000 000000* -L0021048 000000 000000 000000 000000* -L0021072 000000 000000 000000 000000* -L0021096 000000 000000 000000 000000* -L0021120 000000 000000 000000 000000* -L0021144 000000 000000 000000 000000* -L0021168 00000001 00000000 00000000 00000000* -L0021200 00000001 00000000 00000000 00000000* -L0021232 00000010 00000000 00000000 00000000* -L0021264 00000000 00000000 00000000 00000000* -L0021296 00000010 00000001 00000000 00000000* -L0021328 00000011 00000001 00000001 00000000* -L0021360 00000000 00000000 00000001 00000000* -L0021392 00000011 00000000 00000000 00000000* -L0021424 00000000 00000000 00000001 00000000* -L0021456 000000 000000 000000 000000* -L0021480 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000* -L0021528 000000 000000 000000 000000* -L0021552 000000 000000 000000 000000* -L0021576 000000 000000 000000 000000* -L0021600 00000011 00000001 00000001 00000000* -L0021632 01000001 00000001 00000001 01000000* -L0021664 00000000 00000000 00000100 00000000* -L0021696 00010000 00000000 00000100 00000000* -L0021728 00010001 00000001 00000101 00000000* -L0021760 00000000 00000000 00000000 00000100* -L0021792 00000000 00000000 00001000 01110000* -L0021824 00000000 00000000 00000100 00000000* -L0021856 01110100 00000000 00000000 00000000* -L0021888 011101 000000 000000 000000* -L0021912 000000 000000 000000 000000* -L0021936 000000 100000 000000 110000* -L0021960 000000 000000 000000 000000* -L0021984 110010 000000 000011 000000* -L0022008 110010 000000 000011 000000* -L0022032 00000000 00000001 00000001 00000001* -L0022064 00000000 00000001 00000001 00000001* -L0022096 00000000 00000000 00000000 00000000* -L0022128 00000000 00000000 00000000 00000000* -L0022160 00000010 00000000 00000000 00000000* -L0022192 00000000 00000000 00000000 00000000* -L0022224 00000000 00000000 00000000 00000000* -L0022256 00000000 00000000 00000000 00000000* -L0022288 00000000 00000000 00000000 00000000* -L0022320 000000 000000 000000 000000* -L0022344 000000 000000 000000 000000* -L0022368 000000 000000 000000 000000* -L0022392 000000 000000 000000 000000* -L0022416 000000 000000 000000 000000* -L0022440 000000 000000 000000 000000* -L0022464 00000000 00000001 00000000 00000001* -L0022496 00000001 00000001 00000000 00000001* -L0022528 00000000 00000000 00000000 00000000* -L0022560 00000000 00000000 00000000 00000000* -L0022592 00000010 00000001 00000000 00000001* -L0022624 00000000 00000000 00000000 00000000* -L0022656 00000000 00000000 00000000 00000000* -L0022688 00000000 00000000 00000000 00000000* -L0022720 00000000 00000000 00000000 00000000* -L0022752 000000 000000 000000 000000* -L0022776 000000 000000 000000 000000* -L0022800 000000 000000 000000 000000* -L0022824 000000 000000 000000 000000* -L0022848 000000 000000 000000 000000* -L0022872 000000 000000 000000 000000* -L0022896 00000000 00000011 00000001 00000011* -L0022928 00000000 00000011 00000001 00000011* -L0022960 00000000 00000000 00000010 00000000* -L0022992 00000000 00000000 00000000 00000000* -L0023024 00000010 00000000 00000000 00000000* -L0023056 00000000 00000000 00000000 00000000* -L0023088 00000000 00000000 00000000 00000000* -L0023120 00000000 00000000 00000000 00000000* -L0023152 00000000 00000000 00000000 00000000* -L0023184 000000 000000 000000 000000* -L0023208 000000 000000 000000 000000* -L0023232 000000 000000 000000 000000* -L0023256 000000 000000 000000 000000* -L0023280 000000 000000 000000 000000* -L0023304 000000 000000 000000 000000* -L0023328 00000000 00000010 00000000 00000000* -L0023360 01000000 00000000 00000000 00000000* -L0023392 00000000 00000000 00000100 00000000* -L0023424 00010000 00000000 00000100 00000000* -L0023456 00010010 00000000 00000100 00000000* -L0023488 00000000 00000000 00000000 00000000* -L0023520 00000000 00000000 00001000 00000000* -L0023552 00000000 00000000 00000100 00000000* -L0023584 01110100 00000000 00000000 00000000* -L0023616 011101 000010 000000 000000* -L0023640 000000 000010 000000 000000* -L0023664 000000 000010 000000 000000* -L0023688 000000 000010 000000 000000* -L0023712 110010 000010 000011 000000* -L0023736 110010 000010 000011 000000* -L0023760 00000000 00010000 00000001 00000000* -L0023792 00000000 00000000 00000001 00000000* -L0023824 00000000 00000010 00000000 00000000* -L0023856 00000000 00000000 00000000 00000000* -L0023888 00000010 00000000 00000000 00000000* -L0023920 00000000 00000000 00000000 00000000* -L0023952 00000000 00000000 00000000 00000000* -L0023984 00000000 00000000 00000000 00000000* -L0024016 00000000 00000000 00000000 00000000* -L0024048 000000 000000 000000 000000* -L0024072 000000 000000 000000 000000* -L0024096 000000 000000 000000 000000* -L0024120 000000 000000 000000 000000* -L0024144 000000 000000 000000 000000* -L0024168 000000 000000 000000 000000* -L0024192 00000011 00000010 00000000 00000100* -L0024224 00000011 00000000 00000000 01000000* -L0024256 00000000 00000001 00000000 00000001* -L0024288 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000* -L0024352 00000000 00000000 00000000 00000100* -L0024384 00000000 00000000 00000100 01110000* -L0024416 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000* -L0024480 000000 000000 000000 000000* -L0024504 000000 000000 000000 000001* -L0024528 000000 100000 000000 110000* -L0024552 000000 000000 000000 000000* -L0024576 000000 000000 000000 000000* -L0024600 000000 000000 000000 000000* -L0024624 00000000 00000010 00000000 00000010* -L0024656 00000000 00000010 00000001 00000010* -L0024688 00000000 00000000 00000000 00000000* -L0024720 00000000 00000000 00000000 00000000* -L0024752 00000010 00000000 00000000 00000000* -L0024784 00000000 00000000 00000000 00000000* -L0024816 00000000 00000000 00000000 00000000* -L0024848 00000000 00000000 00000000 00000000* -L0024880 00000000 00000000 00000000 00000000* -L0024912 000000 000000 000000 000000* -L0024936 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000* -L0024984 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000* -L0025032 000000 000000 000000 000000* -L0025056 00000011 00000010 00000001 00000000* -L0025088 00000011 00000001 00000001 00000000* -L0025120 00000000 00000000 00000000 00000000* -L0025152 00000000 00000000 00000000 00000000* -L0025184 00000001 00000000 00000001 00000000* -L0025216 00000000 00000000 00000000 00000000* -L0025248 00000000 00000000 00000000 00000000* -L0025280 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00000000 00000000* -L0025344 000000 000010 000000 000000* -L0025368 000000 000010 000000 000000* -L0025392 000000 000010 000000 000000* -L0025416 100000 000010 000000 000000* -L0025440 000000 000010 000000 000000* -L0025464 000000 000010 000000 000000* -L0025488 00000000 00010001 00000010 00011010* -L0025520 00000001 00000010 00000010 00000010* -L0025552 00000000 00000000 00000000 00000000* -L0025584 00000000 00000000 00000000 00000000* -L0025616 00000010 00000011 00000010 00000000* -L0025648 00000000 00000000 00000000 00000000* -L0025680 00000000 00000000 00000000 00000000* -L0025712 00000000 00000000 00000000 00000000* -L0025744 00000000 00000000 00000000 00000000* -L0025776 000000 000000 000000 000000* -L0025800 100000 000000 000000 000000* -L0025824 000000 000000 000000 000000* -L0025848 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000* -L0025896 000000 000000 000000 000000* -L0025920 00000000 00000000 00000010 00000000* -L0025952 00000000 00000010 00000000 00000000* -L0025984 00000000 00000000 00000000 00000000* -L0026016 00000000 00000000 00000000 00000000* -L0026048 00000010 00000010 00000000 00000001* -L0026080 00000000 00000000 00000000 00000000* -L0026112 00000000 00000000 00000000 00000000* -L0026144 00000000 00000000 00000000 00000000* -L0026176 00000000 00000000 00000000 00000000* -L0026208 000000 000000 000000 000000* -L0026232 000000 000000 000000 000000* -L0026256 000000 000000 000000 000000* -L0026280 000000 000000 000000 000000* -L0026304 000000 000000 000000 000000* -L0026328 000000 000000 000000 000000* -L0026352 00000000 00000001 00000001 00000101* -L0026384 00000011 00000011 00000000 01000000* -L0026416 00000000 00000000 00000000 00000000* -L0026448 00000000 00000000 00000000 00000000* -L0026480 00000000 00000010 00000000 00000000* -L0026512 00000000 00000000 00000000 00000100* -L0026544 00000000 00000000 00000100 01110000* -L0026576 00000000 00000000 00000000 00000000* -L0026608 00000000 00000000 00000000 00000000* -L0026640 000000 000000 000000 000000* -L0026664 000000 000000 000000 000001* -L0026688 000000 100000 000000 110000* -L0026712 000000 000000 000000 000000* -L0026736 000000 000000 000000 000000* -L0026760 000000 000000 000000 000000* -L0026784 00000010 00000010 00000001 00000010* -L0026816 00000011 00000010 00000001 00000010* -L0026848 00000000 00000000 00000000 00000000* -L0026880 00000000 00000000 00000000 00000000* -L0026912 00000010 00000010 00000000 00000010* -L0026944 00000000 00000000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000* -L0027008 00000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000* -L0027072 000000 000000 000000 000000* -L0027096 000000 000000 000000 000000* -L0027120 000000 000000 000000 000000* -L0027144 000000 000000 000000 000000* -L0027168 000000 000000 000000 000000* -L0027192 000000 000000 000000 000000* -L0027216 00000011 00000000 00000010 00000010* -L0027248 00000010 00000010 00000000 00000000* -L0027280 00000000 00000000 00000000 00000000* -L0027312 00000000 00000000 00000000 00000000* -L0027344 00000000 00000010 00000010 00000000* -L0027376 00000000 00000000 00000000 00000000* -L0027408 00000000 00000000 00000000 00000000* -L0027440 00000000 00000000 00000000 00000000* -L0027472 00000000 00000000 00000000 00000000* -L0027504 000000 000000 000000 000000* -L0027528 000000 000000 000000 000000* -L0027552 000000 000000 000000 000000* -L0027576 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000* -L0027624 000000 000000 000000 000000* -L0027648 00000000 00000000 00000010 00000010* -L0027680 00000000 00000010 00000000 00000010* -L0027712 00000000 00000000 00000000 00000000* -L0027744 00000000 00000000 00000000 00000000* -L0027776 00000010 00000010 00000010 00000010* -L0027808 00000000 00000000 00000000 00000000* -L0027840 00000000 00000000 00000100 00000000* -L0027872 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000000* -L0027936 000000 000000 000000 000000* -L0027960 000000 000000 000000 000000* -L0027984 000000 000000 000000 000000* -L0028008 000000 000000 000000 000000* -L0028032 000000 000000 000000 000000* -L0028056 000000 000000 000000 000000* -L0028080 00000010 00000010 00000011 00000000* -L0028112 00000000 00000010 00000001 00000010* -L0028144 00000000 00000000 00000000 00000000* -L0028176 00000000 00000000 00000000 00000000* -L0028208 00000010 00000010 00000010 00000000* -L0028240 00000000 00000000 00000000 00000000* -L0028272 00000000 00000000 00000000 00000000* -L0028304 00000000 00000000 00000000 00000000* -L0028336 00000000 00000000 00000000 00000000* -L0028368 000000 000000 000000 000000* -L0028392 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000* -L0028440 000000 000000 000000 000000* -L0028464 000000 000000 000000 000000* -L0028488 000000 000000 000000 000000* -L0028512 00000000 00000000 00000010 00000001* -L0028544 00000001 00000010 00000010 00000000* -L0028576 00000000 00000000 00000000 00000000* -L0028608 00000000 00000000 00000000 00000000* -L0028640 00000010 00000010 00000010 00000000* -L0028672 00000000 00000000 00000000 00000000* -L0028704 00000000 00000000 00000000 00000000* -L0028736 00000000 00000000 00000000 00000000* -L0028768 00000000 00000000 00000000 00000000* -L0028800 000000 000000 000000 000000* -L0028824 000000 000000 000000 000000* -L0028848 000000 000000 000000 000000* -L0028872 000000 000000 000000 000000* -L0028896 000000 000000 000000 000000* -L0028920 010000 000000 000000 000000* -L0028944 00000010 00000010 00000010 00000110* -L0028976 00000000 00000000 00000000 01000010* -L0029008 00000001 00000000 00000000 00000000* -L0029040 00000000 00000000 00000000 00000000* -L0029072 00000010 00000000 00000010 00000010* -L0029104 00000000 00000000 00000000 00000100* -L0029136 00000000 00000000 00000000 01110000* -L0029168 00000000 00000000 00000000 00000000* -L0029200 00000000 00000000 00000000 00000000* -L0029232 000000 000000 000000 000000* -L0029256 000000 000000 000000 000001* -L0029280 000000 100000 000000 110000* -L0029304 000000 000000 000000 000000* -L0029328 010000 000000 000000 000000* -L0029352 000000 000000 000000 000000* -L0029376 00000001 00000000 00000000 00000000* -L0029408 00000000 00000000 00000000 00000000* -L0029440 00000000 00000000 00000000 00000000* -L0029472 00000000 00000000 00000000 00000000* -L0029504 00000000 00000001 00000000 00000000* -L0029536 00000000 00000000 00000000 00000000* -L0029568 00000000 00000000 00000000 00000000* -L0029600 00000000 00000000 00000000 00000000* -L0029632 00000000 00000000 00000000 00000000* -L0029664 000000 000000 000000 000000* -L0029688 000000 000000 000000 000000* -L0029712 000000 000000 000000 000000* -L0029736 000000 000000 000000 000000* -L0029760 000000 000000 000000 000000* -L0029784 000000 000000 000000 000000* -L0029808 00000001 00000001 00000000 00000000* -L0029840 00000001 00000001 00000000 00000000* -L0029872 00000000 00000000 00000000 00000000* -L0029904 00000000 00000000 00000000 00000000* -L0029936 00000001 00000001 00000000 00000000* -L0029968 00000000 00000000 00000000 00000000* -L0030000 00000000 00000000 00000100 00000000* -L0030032 00000000 00000000 00000000 00000000* -L0030064 00000000 00000000 00000000 00000000* -L0030096 000000 000000 000000 000000* -L0030120 000000 000000 000000 000000* -L0030144 000000 000000 000000 000000* -L0030168 000000 000000 000000 000000* -L0030192 000000 000000 000000 000000* -L0030216 000000 000000 000000 000000* -L0030240 00000010 00000010 00000010 00000000* -L0030272 00000010 00000000 00000000 00000000* -L0030304 00000000 00000000 00000000 00000000* -L0030336 00000000 00000000 00000000 00000000* -L0030368 00000000 00000000 00000000 00000001* -L0030400 00000000 00000000 00001000 00000000* -L0030432 00000000 00000000 00000000 00000000* -L0030464 00000000 00000000 00000000 00000000* -L0030496 00000000 00000000 00000000 00000000* -L0030528 000000 000000 000000 000000* -L0030552 000000 000000 000000 000000* -L0030576 000000 000000 000010 000000* -L0030600 000000 000000 000011 000000* -L0030624 000000 000000 000000 000000* -L0030648 000000 000000 000000 000000* -L0030672 01010001 00000000 00000100 00000000* -L0030704 00000001 00000000 00000000 00000000* -L0030736 00010000 00000000 00000000 00000000* -L0030768 00000000 00000000 00000000 00000000* -L0030800 00000000 00000000 00000000 00000001* -L0030832 11110100 00000000 00000000 00000000* -L0030864 10000000 00000000 00000000 00000000* -L0030896 01110100 00000000 00000100 00000000* -L0030928 00000000 00000000 00000000 00000000* -L0030960 000000 000000 000000 000000* -L0030984 110010 000000 000000 000000* -L0031008 000000 100000 000000 000000* -L0031032 110010 000000 000000 000000* -L0031056 000000 000000 000000 000000* -L0031080 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000* -L0031136 00000000 00000000 00000000 00000000* -L0031168 00000001 00000000 00000000 00000000* -L0031200 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000001 00000010* -L0031264 00000000 00011100 00000000 00000000* -L0031296 00000000 00011100 00000000 00000000* -L0031328 00010000 00011100 00000000 00000000* -L0031360 00000000 00011100 00000000 00000000* -L0031392 000000 000000 000000 000000* -L0031416 000000 000100 000000 000000* -L0031440 000000 000100 000000 000000* -L0031464 000000 000110 000000 000000* -L0031488 000000 000110 000000 000000* -L0031512 000000 000010 000000 000000* -L0031536 00000001 00000001 00000000 00000000* -L0031568 00000001 00000001 00000000 00000000* -L0031600 00000000 00000000 00000000 00000000* -L0031632 00000000 00000000 00000000 00000000* -L0031664 00000001 00000001 00000000 00000001* -L0031696 00010000 00000000 00000000 00000000* -L0031728 00000000 00000000 00000000 00000000* -L0031760 00000000 00000000 00000000 00000000* -L0031792 00000000 00000000 00000000 00000000* -L0031824 000000 000010 000000 000000* -L0031848 000000 000010 000000 000000* -L0031872 000000 000010 000000 000000* -L0031896 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000* -L0031944 000000 000000 000000 000000* -L0031968 00000001 00000001 00000001 00000000* -L0032000 00000000 00000000 00000001 00000000* -L0032032 00000000 00000000 00000000 00000000* -L0032064 00000000 00000000 00000000 00000000* -L0032096 00000001 00000001 00000001 00000000* -L0032128 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000* -L0032192 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000* -L0032256 000000 000000 000000 000000* -L0032280 000000 000000 000000 000000* -L0032304 000000 000000 000000 000000* -L0032328 000000 000000 000000 000000* -L0032352 000000 000000 000000 000000* -L0032376 000000 000000 000000 000000* -L0032400 00000010 00000011 00000010 00000010* -L0032432 00000011 00000000 00000011 00000000* -L0032464 00000000 00000000 00000000 00000000* -L0032496 00000000 00000000 00000000 00000000* -L0032528 00000011 00000001 00000011 00000000* -L0032560 00000000 00000000 00000000 00000000* -L0032592 00000000 00000000 00000000 00000000* -L0032624 00000000 00000000 00000000 00000000* -L0032656 00000000 00000000 00000000 00000000* -L0032688 000000 000000 000000 000000* -L0032712 000000 000000 000000 100000* -L0032736 000000 000000 000000 000000* -L0032760 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000* -L0032808 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000100* -L0032864 00000000 00000000 00000000 01000000* -L0032896 00000001 00000000 00000000 00000000* -L0032928 00000000 00000000 00000000 00000000* -L0032960 00000000 00000000 00000000 00000001* -L0032992 00000000 00000000 00000000 00000100* -L0033024 00000000 00000000 00000100 01110000* -L0033056 00000100 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 00000000* -L0033120 000000 000000 000000 000000* -L0033144 000000 000000 000000 000000* -L0033168 000000 100000 000000 110000* -L0033192 000000 000000 000000 000000* -L0033216 000000 000000 000000 000000* -L0033240 000000 000000 000000 000000* -L0033264 00000000 00000000 00000000 00000000* -L0033296 00000000 00000000 00000000 00000000* -L0033328 00000000 00000000 00000000 00000000* -L0033360 00000000 00000000 00000000 00000000* -L0033392 00000000 00000000 00000000 00000000* -L0033424 00000100 00000000 00000000 00000000* -L0033456 00000000 00000000 00000000 00000000* -L0033488 00000000 00000000 00000000 00000000* -L0033520 00000000 00000000 00000000 00000000* -L0033552 000000 000000 000000 000000* -L0033576 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000* -L0033624 000000 000000 000000 000000* -L0033648 000000 000000 000000 000000* -L0033672 000000 000000 000000 000000* -L0033696 00000010 00000010 00000010 00000010* -L0033728 00000000 00000010 00000010 00000000* -L0033760 00000000 00000000 00000000 00000000* -L0033792 00000000 00000000 00000000 00000000* -L0033824 00000000 00000000 00000000 00000000* -L0033856 00000000 00000000 00000000 00000000* -L0033888 00000010 00000010 00000010 00000010* -L0033920 00000000 00000000 00000000 00000000* -L0033952 00000000 00000000 00000000 00000000* -L0033984 000000 000000 000000 000000* -L0034008 000000 000000 000000 000000* -L0034032 000000 000000 000000 000000* -L0034056 000010 000000 000000 000000* -L0034080 000000 000000 000000 000000* -L0034104 000000 000000 000000 000000* -L0034128 00000000 00000000 00000000 00000000* -L0034160 00000000 00000000 00000000 00000000* -L0034192 00000000 00000000 00000000 00000000* -L0034224 00000000 00000000 00000000 00000000* -L0034256 00000000 00000000 00000000 00000000* -L0034288 00000000 00000000 00000000 00000000* -L0034320 00000000 00000000 00000100 00000000* -L0034352 00000000 00000000 00000000 00000000* -L0034384 00000000 00000000 00000000 00000000* -L0034416 000000 000000 000000 000000* -L0034440 000010 000000 000000 000000* -L0034464 000000 000000 000000 000000* -L0034488 000000 000000 000000 000000* -L0034512 000000 000000 000000 000000* -L0034536 000000 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000000* -L0034592 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000* -L0034656 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000* -L0034720 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000* -L0034784 00000000 00000000 00000000 00000000* -L0034816 00000000 00000000 00000000 00000000* -L0034848 000000 000000 000000 000000* -L0034872 000000 000000 000000 000000* -L0034896 000000 000000 000000 000000* -L0034920 000000 000000 000000 000000* -L0034944 000000 000000 000000 000000* -L0034968 000000 000000 000000 000000* -L0034992 00000000 00000000 00000000 00000000* -L0035024 00000000 00000000 00000000 00000000* -L0035056 00000000 00000000 00000000 00000000* -L0035088 00000000 00000000 00000000 00000000* -L0035120 00000000 00000000 00000000 00000000* -L0035152 00000000 00000000 00000000 00000000* -L0035184 00000000 00000000 00000000 00000000* -L0035216 00000000 00000000 00000000 00000000* -L0035248 00000000 00000000 00000000 00000000* -L0035280 000000 000000 000000 000000* -L0035304 000100 000000 000000 000000* -L0035328 000000 000000 000000 000000* -L0035352 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000* -L0035400 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000* -L0035456 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000* -L0035520 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000* -L0035584 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000* -L0035648 00000000 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000* -L0035712 000000 000000 000000 000000* -L0035736 000000 000000 000000 000000* -L0035760 000000 000000 000000 000000* -L0035784 000000 000000 000000 000000* -L0035808 000000 000000 000000 000000* -L0035832 000000 000000 000000 000000* -L0035856 00000000 00000000 00000000 00000000* -L0035888 00000000 00000000 00000000 00000000* -L0035920 00000000 00000000 00000000 00000000* -L0035952 00000000 00000000 00000000 00000000* -L0035984 00000000 00000000 00000000 00000000* -L0036016 00000000 00000000 00000000 00000000* -L0036048 00000000 00000000 00000000 00000000* -L0036080 00000000 00000000 00000000 00000000* -L0036112 00000000 00000000 00000000 00000000* -L0036144 000000 000000 000000 000000* -L0036168 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000* -L0036216 000000 000000 000000 000000* -L0036240 000000 000000 000000 000000* -L0036264 000000 000000 000000 000000* -L0036288 00000000 00000000 00000000 00000000* -L0036320 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000* -L0036384 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000* -L0036448 00000000 00000000 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000* -L0036512 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000* -L0036576 000000 000000 000000 000000* -L0036600 000000 000000 000000 000000* -L0036624 000000 000000 000000 000000* -L0036648 000000 000000 000000 000000* -L0036672 000000 000000 000000 000000* -L0036696 000000 000000 000000 000000* -L0036720 00000000 00000000 00000000 00000000* -L0036752 00000000 00000000 00000000 00000000* -L0036784 00000000 00000000 00000000 00000000* -L0036816 00000000 00000000 00000000 00000000* -L0036848 00000000 00000000 00000000 00000000* -L0036880 00000000 00000000 00000000 00000000* -L0036912 00000000 00000000 00000100 00000000* -L0036944 00000000 00000000 00000000 00000000* -L0036976 00000000 00000000 00000000 00000000* -L0037008 000000 000000 000000 000000* -L0037032 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000* -L0037080 000000 000000 000000 000000* -L0037104 000000 000000 000000 000000* -L0037128 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00000100* -L0037184 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00000000* -L0037248 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000000 00000000* -L0037312 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 00000000* -L0037376 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00000000 00000000* -L0037440 000000 000000 000000 000000* -L0037464 000000 000000 000000 000000* -L0037488 000000 000000 000000 000000* -L0037512 010000 000000 000000 000000* -L0037536 000000 000000 000000 000000* -L0037560 000000 000000 000000 000000* -L0037584 00000000 00000000 00000000 00000000* -L0037616 00000000 00000000 00000000 00000000* -L0037648 00000000 00000000 00000000 00000000* -L0037680 00000000 00000000 00000000 00000000* -L0037712 00000000 00000000 00000000 00000000* -L0037744 00000000 00000000 00000000 00000000* -L0037776 00000000 00000000 00000000 00000000* -L0037808 00000000 00000000 00000000 00000000* -L0037840 00000000 00000000 00000000 00000000* -L0037872 000000 000000 000000 000000* -L0037896 010000 000000 000000 000000* -L0037920 000000 000000 000000 000000* -L0037944 000000 000000 000000 000000* -L0037968 000000 000000 000000 000000* -L0037992 000000 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000* -L0038048 00000000 00000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000000* -L0038112 00000000 00000000 00000000 00000000* -L0038144 00000000 00000000 00000000 00000000* -L0038176 00000000 00000000 00000000 00000000* -L0038208 00000000 00000000 00000000 00000000* -L0038240 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000000* -L0038304 000001 000000 000000 000000* -L0038328 000000 000000 000000 000000* -L0038352 000000 000000 000000 000000* -L0038376 000000 000000 000000 000000* -L0038400 000000 000000 000000 000000* -L0038424 000000 000000 000000 000000* -L0038448 00000000 00000000 00000000 00000000* -L0038480 00000000 00000000 00000000 00000000* -L0038512 00000000 00000000 00000000 00000000* -L0038544 00000000 00000000 00000000 00000000* -L0038576 00000000 00000000 00000000 00000000* -L0038608 00000000 00000000 00000000 00000000* -L0038640 00000000 00000000 00000000 00000000* -L0038672 00000000 00000000 00000000 00000000* -L0038704 00000100 00000000 00000000 00000000* -L0038736 000000 000000 000000 000000* -L0038760 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000* -L0038808 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000* -L0038856 000000 000000 000000 000000* -L0038880 00000000 00000000 00000000 00000000* -L0038912 00000000 00000000 00000000 00000000* -L0038944 00000000 00000000 00000000 00000000* -L0038976 00000000 00000000 00000000 00000000* -L0039008 00000000 00000000 00000000 00000000* -L0039040 00000000 00000000 00000000 00000000* -L0039072 10000000 00000000 00000000 00000000* -L0039104 00000000 00000000 00000000 00000000* -L0039136 10000000 00000000 00000000 00000000* -L0039168 000000 000000 000000 000000* -L0039192 000000 000000 000000 000000* -L0039216 000000 000000 000000 000000* -L0039240 000000 000000 000000 000000* -L0039264 000000 000000 000000 000000* -L0039288 000000 000000 000000 000000* -L0039312 00000000 00000000 00000000 00000000* -L0039344 00000000 00000000 00000000 00000000* -L0039376 00000000 00000000 00000000 00000000* -L0039408 00000000 00000000 00000000 00000000* -L0039440 00000000 00000000 00000000 00000000* -L0039472 10000000 00000000 00000000 00000000* -L0039504 00000000 00000000 00000000 00000000* -L0039536 10000000 00000000 00000000 00000000* -L0039568 00000000 00000000 00000000 00000000* -L0039600 000000 000000 000000 000000* -L0039624 000000 100000 000000 000000* -L0039648 000000 000000 000000 000000* -L0039672 000000 000000 000000 000000* -L0039696 000000 000000 000000 000000* -L0039720 000000 000000 000000 000000* -L0039744 00000000 00000000 00000000 00000000* -L0039776 00000000 00000000 00000000 00000000* -L0039808 00000000 00000000 00000000 00000000* -L0039840 00000000 00000000 00000000 00000000* -L0039872 00000000 00000000 00000000 00000000* -L0039904 00000000 00000000 00000000 00000000* -L0039936 00000000 00000000 00000000 00000000* -L0039968 00000000 00000000 00000000 00000000* -L0040000 00000000 00000000 00000000 00000000* -L0040032 000000 000000 000000 000000* -L0040056 000000 000000 000000 000000* -L0040080 000000 000000 000000 000000* -L0040104 000000 000000 000000 000000* -L0040128 000000 000000 000000 000000* -L0040152 000000 000000 000000 000000* -L0040176 00000000 00000000 00000000 00000000* -L0040208 00000000 00000000 00000000 00000000* -L0040240 00000000 00000000 00000000 00000000* -L0040272 00000000 00000000 00000000 00000000* -L0040304 00000000 00000000 00000000 00000000* -L0040336 00000000 00000000 00000000 00000000* -L0040368 00000000 00000000 00000000 00000000* -L0040400 10000000 00011100 00000000 00000000* -L0040432 10000000 00011100 00000000 00000000* -L0040464 000000 000000 000000 000000* -L0040488 000000 000000 000000 000000* -L0040512 000000 000000 000000 000000* -L0040536 000000 000100 000000 000000* -L0040560 000000 000100 000000 000000* -L0040584 000000 000010 000000 000000* -L0040608 00000000 00000000 00000000 00000000* -L0040640 00000000 00000000 00000000 00000000* -L0040672 00000000 00000000 00000000 00000000* -L0040704 00000000 00000000 00000000 00000000* -L0040736 00000000 00000000 00000000 00000000* -L0040768 00000000 00000000 00000000 00000000* -L0040800 00000000 00000000 00000000 00000000* -L0040832 00000000 00000000 00000000 00000000* -L0040864 00000000 00000000 00000000 00000000* -L0040896 000000 000000 000000 000000* -L0040920 000000 000000 000000 000000* -L0040944 000000 000000 000000 000000* -L0040968 000000 000000 000000 000000* -L0040992 000000 000000 000000 000000* -L0041016 000000 000000 000000 000000* -L0041040 00000000 00000000 00000000 00000000* -L0041072 00000000 00000000 00000000 00000000* -L0041104 00000000 00000000 00000000 00000000* -L0041136 00000000 00000000 00000000 00000000* -L0041168 00000000 00000000 00000000 00000000* -L0041200 00000000 00000000 00000000 00100000* -L0041232 00000000 00000000 00000000 00000000* -L0041264 00000000 00000000 00000000 00000000* -L0041296 00000000 00000000 00000000 00000000* -L0041328 000000 000000 000000 000000* -L0041352 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000* -L0041400 000000 000000 000000 000000* -L0041424 000000 000000 000000 000000* -L0041448 000000 000000 000000 000000* -L0041472 00000000 00000000 00000000 00000000* -L0041504 00000000 00000000 00000000 00000000* -L0041536 00000000 00000000 00000000 00000000* -L0041568 00000000 00000000 00000000 00000000* -L0041600 00000000 00000000 00000000 00000000* -L0041632 00000000 00000000 00000000 00000000* -L0041664 00000000 00000000 00000000 00000000* -L0041696 00000000 00000000 00000000 00000000* -L0041728 00000000 00000000 00000000 00000000* -L0041760 000100 000000 000000 000000* -L0041784 000000 000000 000000 000000* -L0041808 000000 000000 000000 000000* -L0041832 000000 000000 000000 000000* -L0041856 000000 000000 000000 000000* -L0041880 000000 000000 000000 000000* -L0041904 00000000 00000000 00000000 00000000* -L0041936 00000000 00000000 00000000 00000000* -L0041968 00000000 00000000 00000000 00000000* -L0042000 00000000 00000000 00000000 00000000* -L0042032 00000000 00000000 00000000 00000000* -L0042064 00000000 00000000 00000000 00010000* -L0042096 00000000 00000000 00000000 00000000* -L0042128 00000000 00000000 00000000 00000000* -L0042160 00010000 00000000 00000000 00000000* -L0042192 000000 000000 000000 000000* -L0042216 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000* -L0042264 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000* -L0042312 000000 000000 000000 000000* -L0042336 00000000 00000000 00000000 00000000* -L0042368 00000000 00000000 00000000 00000000* -L0042400 00000000 00000000 00000000 00000000* -L0042432 00000000 00000000 00000000 00000000* -L0042464 00010000 00000000 00000000 00000000* -L0042496 00000000 00000000 00000000 00000000* -L0042528 00000000 00000000 00000000 00000000* -L0042560 00000000 00000000 00000000 00000000* -L0042592 00000000 00000000 00000000 00000000* -L0042624 000000 000000 000000 000000* -L0042648 000000 000000 000000 000000* -L0042672 000000 000000 000000 000000* -L0042696 000000 000000 000000 000000* -L0042720 000000 000000 000000 000000* -L0042744 000000 000000 000000 000000* -L0042768 00000000 00000000 00000000 00000000* -L0042800 00000000 00000000 00000000 00000000* -L0042832 00000000 00000000 00000000 00000000* -L0042864 00010000 00000000 00000000 00000000* -L0042896 00000000 00000000 00000000 00000000* -L0042928 00000000 00000000 00000100 00000000* -L0042960 00000000 00000000 00000000 00000000* -L0042992 00000000 00000000 00000000 00000000* -L0043024 00000000 00000000 00000000 00000000* -L0043056 000000 000000 000000 000000* -L0043080 000000 000000 000000 000000* -L0043104 000000 000000 000000 000000* -L0043128 000000 000000 000000 000000* -L0043152 000000 000000 000000 000000* -L0043176 000000 000000 000000 000000* -L0043200 00000000 00000000 00000000 00000000* -L0043232 01000000 00000000 00000000 00000000* -L0043264 00000000 00000000 00000000 00000000* -L0043296 00010000 00000000 00000000 00000000* -L0043328 00010000 00000000 00000000 00000000* -L0043360 00000000 00000000 00000000 00000000* -L0043392 00000000 00000000 00000000 00000000* -L0043424 00000000 00000000 00000000 00000000* -L0043456 01110100 00000000 00000000 00000000* -L0043488 011101 000010 000000 000000* -L0043512 000000 000010 000000 000000* -L0043536 000000 000010 000000 000000* -L0043560 000000 000010 000000 000000* -L0043584 110010 000010 000000 000000* -L0043608 110010 000010 000000 000000* -L0043632 00000000 00010000 00000000 00000000* -L0043664 00000000 00000000 00000000 00000000* -L0043696 00000000 00000000 00000000 00000000* -L0043728 00000000 00000000 00000000 00000000* -L0043760 00000000 00000000 00000000 00000000* -L0043792 00000000 00000000 00000000 01000000* -L0043824 00000000 00000000 00000000 00000000* -L0043856 00000000 00000000 00000000 00000000* -L0043888 00000000 00000000 00000000 00000000* -L0043920 000000 000000 000000 000000* -L0043944 000000 000000 000000 000000* -L0043968 000000 000000 000000 000000* -L0043992 000000 000000 000000 000000* -L0044016 000000 000000 000000 000000* -L0044040 000000 000000 000000 000000* -L0044064 01010000 00000000 00000000 00000000* -L0044096 00000000 00000000 00000000 00000000* -L0044128 00010000 00000000 00000100 00000000* -L0044160 00000000 00000000 00000100 00000000* -L0044192 00000000 00000000 00000000 00000000* -L0044224 11110100 00011100 00000000 00000000* -L0044256 10000000 00011100 00001000 00000000* -L0044288 11110100 00011100 00000000 00000000* -L0044320 10000000 00011100 00000000 00000000* -L0044352 000000 000010 000000 000000* -L0044376 110010 000100 000000 000000* -L0044400 000000 000100 000000 000000* -L0044424 110010 000100 000000 000000* -L0044448 000000 000110 000011 000000* -L0044472 000000 000010 000000 000000* -L0044496 00000000 00000000 00000000 00000000* -L0044528 00000000 00000000 00000000 00000000* -L0044560 00000000 00000000 00000000 00000000* -L0044592 00010000 00000000 00000000 00000000* -L0044624 00010000 00000000 00000100 00000000* -L0044656 00000000 00000000 00000000 00000000* -L0044688 00000000 00000000 00000000 00000000* -L0044720 00000000 00000000 00000100 00000000* -L0044752 01110100 00000000 00000000 00000000* -L0044784 011101 000000 000000 000000* -L0044808 000000 000010 000000 000000* -L0044832 000000 000000 000000 000000* -L0044856 000000 000010 000000 000000* -L0044880 110010 000000 000000 000000* -L0044904 110010 000000 000011 000000* -L0044928 00000000 00000000 00000000 00000000* -L0044960 01000000 00000000 00000000 00000000* -L0044992 00000000 00000000 00000100 00000000* -L0045024 00010000 00000000 00000100 00000000* -L0045056 00010000 00000000 00000000 00000000* -L0045088 00000000 00011100 00000000 00000000* -L0045120 00000000 00011100 00001000 00000000* -L0045152 00000000 00011100 00000000 00000000* -L0045184 01110100 00011100 00000000 00000000* -L0045216 011101 000000 000000 000000* -L0045240 000000 000100 000000 000000* -L0045264 000000 000110 000000 000000* -L0045288 000000 000100 000000 000000* -L0045312 110010 000100 000011 000000* -L0045336 110010 000000 000000 000000* -L0045360 00000000 00000000 00000000 00000000* -L0045392 00000000 00000000 00000000 00000000* -L0045424 00000000 00000000 00000000 00000000* -L0045456 00000000 00000000 00000000 00000000* -L0045488 00000000 00000000 00000100 00000000* -L0045520 00000000 00000000 00000000 00000000* -L0045552 00000000 00000000 00000000 00000000* -L0045584 00000000 00000000 00000100 00000000* -L0045616 00000000 00000000 00000000 00000000* -L0045648 000000 000010 000000 000000* -L0045672 000000 000000 000000 000000* -L0045696 000000 000000 000000 000000* -L0045720 000000 000010 000000 000000* -L0045744 000000 000000 000000 000000* -L0045768 000000 000000 000011 000000* -L0045792 00000000 00000000 00000000 00000000* -L0045824 00000000 00000000 00000000 00000000* -L0045856 00000000 00000000 00000000 00000000* -L0045888 00000000 00000000 00000000 00000000* -L0045920 00000000 00000000 00000000 00000000* -L0045952 00000000 00000000 00000000 00000000* -L0045984 00000000 00000000 00000000 00000000* -L0046016 00000000 00000000 00000000 00000000* -L0046048 00000000 00000000 00000000 00000000* -L0046080 000000 000000 000000 000000* -L0046104 000000 000000 000000 000000* -L0046128 000000 000000 000000 000000* -L0046152 000000 000000 000000 000000* -L0046176 000000 000000 000000 000000* -L0046200 000010 000000 000000 000000* -L0046224 00000000 00000000 00000000 01000000* -L0046256 00000000 00000000 00000000 00000000* -L0046288 00000000 00000000 00000000 00000000* -L0046320 00000000 00000000 00000000 00000000* -L0046352 00000000 00000000 00000000 00000000* -L0046384 00000000 00000000 00000000 00000000* -L0046416 00000000 00000000 00000000 00000000* -L0046448 00000000 00000000 00000000 00000000* -L0046480 00000000 00000000 00000000 00000000* -L0046512 000000 000000 000000 000000* -L0046536 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000* -L0046584 000000 000000 000000 000000* -L0046608 000010 000000 000000 000000* -L0046632 000000 000000 000000 000000* -C18F6* -572B diff --git a/out/cpld.rev.C.svf b/out/cpld.rev.C.svf deleted file mode 100644 index 65d57a6..0000000 --- a/out/cpld.rev.C.svf +++ /dev/null @@ -1,5207 +0,0 @@ -// Created using Xilinx Cse Software [ISE - 14.7] -// Date: Mon Apr 26 19:59:46 2021 - -TRST OFF; -ENDIR IDLE; -ENDDR IDLE; -STATE RESET; -STATE IDLE; -FREQUENCY 1E6 HZ; -//Operation: Erase -p 0 -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -//Loading device with 'idcode' instruction. -SIR 8 TDI (fe) SMASK (ff) ; -SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f9604093) MASK (0fffffff) ; -//Check for Read/Write Protect. -SIR 8 TDI (ff) TDO (01) MASK (e3) ; -//Boundary Scan Chain Contents -//Position 1: xc9572xl -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -// Loading devices with 'ispen' or 'bypass' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -// Loading devices with 'fbulk' instruction. -SIR 8 TDI (ed) ; -SDR 18 TDI (03ffff) SMASK (03ffff) ; -RUNTEST 200000 TCK; -SDR 18 TDI (03fffd) TDO (000001) MASK (000003) ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -SIR 8 TDI (ff) ; -SDR 1 TDI (00) SMASK (01) ; -//Operation: Program -p 0 -e -v -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -//Loading device with 'idcode' instruction. -SIR 8 TDI (fe) ; -SDR 32 TDI (00000000) SMASK (ffffffff) TDO (f9604093) MASK (0fffffff) ; -//Check for Read/Write Protect. -SIR 8 TDI (ff) TDO (01) MASK (e3) ; -//Boundary Scan Chain Contents -//Position 1: xc9572xl -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -// Loading devices with 'ispen' or 'bypass' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -// Loading devices with 'fbulk' instruction. -SIR 8 TDI (ed) ; -SDR 18 TDI (03ffff) SMASK (03ffff) ; -RUNTEST 200000 TCK; -SDR 18 TDI (03fffd) TDO (000001) MASK (000003) ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -// Loading devices with 'ispen' or 'bypass' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -// Loading device with 'fpgm' instruction. -SIR 8 TDI (ea) ; -SDR 50 TDI (00000000000001) SMASK (03ffffffffffff) ; -SDR 50 TDI (00000400000001) ; -SDR 50 TDI (00000800000021) ; -SDR 50 TDI (00000c00000001) ; -SDR 50 TDI (00001000000001) ; -SDR 50 TDI (00002000000001) ; -SDR 50 TDI (00002400000001) ; -SDR 50 TDI (00002800000001) ; -SDR 50 TDI (00002c00000001) ; -SDR 50 TDI (00003000000001) ; -SDR 50 TDI (00004000000001) ; -SDR 50 TDI (00004400000001) ; -SDR 50 TDI (00004800000001) ; -SDR 50 TDI (00004c00000001) ; -SDR 50 TDI (00005000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00008000000020) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00008000000021) ; -SDR 50 TDI (00008400000001) ; -SDR 50 TDI (00008800000001) ; -SDR 50 TDI (00008c00000001) ; -SDR 50 TDI (00009000000001) ; -SDR 50 TDI (0000a000000001) ; -SDR 50 TDI (0000a400000001) ; -SDR 50 TDI (0000a800000001) ; -SDR 50 TDI (0000ac00000001) ; -SDR 50 TDI (0000b000000001) ; -SDR 50 TDI (0000c000000001) ; -SDR 50 TDI (0000c400000001) ; -SDR 50 TDI (0000c800000001) ; -SDR 50 TDI (0000cc00000001) ; -SDR 50 TDI (0000d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00010000000100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00010000000101) ; -SDR 50 TDI (00010400000101) ; -SDR 50 TDI (00010800000001) ; -SDR 50 TDI (00010c00000101) ; -SDR 50 TDI (00011000000001) ; -SDR 50 TDI (00012000000005) ; -SDR 50 TDI (00012400000001) ; -SDR 50 TDI (00012800000005) ; -SDR 50 TDI (00012c00000001) ; -SDR 50 TDI (00013000000001) ; -SDR 50 TDI (00014000000001) ; -SDR 50 TDI (00014400000001) ; -SDR 50 TDI (00014800000001) ; -SDR 50 TDI (00014c00000001) ; -SDR 50 TDI (00015000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00018000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00018000000001) ; -SDR 50 TDI (00018400000001) ; -SDR 50 TDI (00018800000001) ; -SDR 50 TDI (00018c00000001) ; -SDR 50 TDI (00019000000001) ; -SDR 50 TDI (0001a000000001) ; -SDR 50 TDI (0001a400000005) ; -SDR 50 TDI (0001a800000001) ; -SDR 50 TDI (0001ac00000005) ; -SDR 50 TDI (0001b000000001) ; -SDR 50 TDI (0001c000000001) ; -SDR 50 TDI (0001c400000001) ; -SDR 50 TDI (0001c800000001) ; -SDR 50 TDI (0001cc00000001) ; -SDR 50 TDI (0001d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00020000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00020000000001) ; -SDR 50 TDI (00020400000001) ; -SDR 50 TDI (00020800000001) ; -SDR 50 TDI (00020c00000001) ; -SDR 50 TDI (00021000000001) ; -SDR 50 TDI (00022000000001) ; -SDR 50 TDI (00022400000001) ; -SDR 50 TDI (00022800000001) ; -SDR 50 TDI (00022c00000001) ; -SDR 50 TDI (00023000000001) ; -SDR 50 TDI (00024000000005) ; -SDR 50 TDI (00024400000001) ; -SDR 50 TDI (00024800000001) ; -SDR 50 TDI (00024c00000005) ; -SDR 50 TDI (00025000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00028000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00028000000001) ; -SDR 50 TDI (00028400000001) ; -SDR 50 TDI (00028800000001) ; -SDR 50 TDI (00028c00000001) ; -SDR 50 TDI (00029000000001) ; -SDR 50 TDI (0002a000000001) ; -SDR 50 TDI (0002a400000001) ; -SDR 50 TDI (0002a800000001) ; -SDR 50 TDI (0002ac00000001) ; -SDR 50 TDI (0002b000000001) ; -SDR 50 TDI (0002c000000001) ; -SDR 50 TDI (0002c400000001) ; -SDR 50 TDI (0002c800000005) ; -SDR 50 TDI (0002cc00000001) ; -SDR 50 TDI (0002d000000007) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00030000000100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00030000000101) ; -SDR 50 TDI (00030400000329) ; -SDR 50 TDI (00030800000101) ; -SDR 50 TDI (00030c00000001) ; -SDR 50 TDI (00031000000101) ; -SDR 50 TDI (00032000000201) ; -SDR 50 TDI (000324000003b9) ; -SDR 50 TDI (00032800000301) ; -SDR 50 TDI (00032c00000001) ; -SDR 50 TDI (00033000000001) ; -SDR 50 TDI (00034000000001) ; -SDR 50 TDI (0003440000004d) ; -SDR 50 TDI (00034800000001) ; -SDR 50 TDI (00034c00000001) ; -SDR 50 TDI (00035000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00038080000108) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00038080000109) ; -SDR 50 TDI (00038408000301) ; -SDR 50 TDI (00038800000001) ; -SDR 50 TDI (00038c00000001) ; -SDR 50 TDI (00039000000001) ; -SDR 50 TDI (0003a080000201) ; -SDR 50 TDI (0003a438800001) ; -SDR 50 TDI (0003a800000001) ; -SDR 50 TDI (0003ac00000001) ; -SDR 50 TDI (0003b000000001) ; -SDR 50 TDI (0003c080000001) ; -SDR 50 TDI (0003c40c000401) ; -SDR 50 TDI (0003c800000001) ; -SDR 50 TDI (0003cc00000001) ; -SDR 50 TDI (0003d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00040000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00040000000001) ; -SDR 50 TDI (00040400000001) ; -SDR 50 TDI (00040800000001) ; -SDR 50 TDI (00040c00000001) ; -SDR 50 TDI (00041000000001) ; -SDR 50 TDI (00042000000009) ; -SDR 50 TDI (00042400008001) ; -SDR 50 TDI (00042800000001) ; -SDR 50 TDI (00042c00008009) ; -SDR 50 TDI (00043000000001) ; -SDR 50 TDI (00044000000001) ; -SDR 50 TDI (00044400000001) ; -SDR 50 TDI (00044800000001) ; -SDR 50 TDI (00044c00000001) ; -SDR 50 TDI (00045000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00048000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00048000000001) ; -SDR 50 TDI (00048400000001) ; -SDR 50 TDI (00048800000001) ; -SDR 50 TDI (00048c00000001) ; -SDR 50 TDI (00049000000001) ; -SDR 50 TDI (0004a000008001) ; -SDR 50 TDI (0004a400000001) ; -SDR 50 TDI (0004a800008009) ; -SDR 50 TDI (0004ac00000001) ; -SDR 50 TDI (0004b000000009) ; -SDR 50 TDI (0004c000000001) ; -SDR 50 TDI (0004c400000001) ; -SDR 50 TDI (0004c800000001) ; -SDR 50 TDI (0004cc00000001) ; -SDR 50 TDI (0004d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00050000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00050000000001) ; -SDR 50 TDI (00050400000001) ; -SDR 50 TDI (00050800000001) ; -SDR 50 TDI (00050c00000001) ; -SDR 50 TDI (00051000000001) ; -SDR 50 TDI (00052000000001) ; -SDR 50 TDI (00052400002001) ; -SDR 50 TDI (00052800000001) ; -SDR 50 TDI (00052c00002001) ; -SDR 50 TDI (00053000000001) ; -SDR 50 TDI (00054000000009) ; -SDR 50 TDI (00054400000001) ; -SDR 50 TDI (00054800000001) ; -SDR 50 TDI (00054c00000009) ; -SDR 50 TDI (00055000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00058000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00058000000001) ; -SDR 50 TDI (00058400000001) ; -SDR 50 TDI (00058800000001) ; -SDR 50 TDI (00058c00000001) ; -SDR 50 TDI (00059000000001) ; -SDR 50 TDI (0005a000002001) ; -SDR 50 TDI (0005a400000001) ; -SDR 50 TDI (0005a800002001) ; -SDR 50 TDI (0005ac00000001) ; -SDR 50 TDI (0005b000000001) ; -SDR 50 TDI (0005c000000001) ; -SDR 50 TDI (0005c400000001) ; -SDR 50 TDI (0005c800000009) ; -SDR 50 TDI (0005cc00000001) ; -SDR 50 TDI (0005d00000000b) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00060200020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00060200020201) ; -SDR 50 TDI (00060600020301) ; -SDR 50 TDI (00060900030301) ; -SDR 50 TDI (00060f00000101) ; -SDR 50 TDI (00061302020101) ; -SDR 50 TDI (00062102020301) ; -SDR 50 TDI (00062602004001) ; -SDR 50 TDI (00062b02020301) ; -SDR 50 TDI (00062e02004001) ; -SDR 50 TDI (00063000000011) ; -SDR 50 TDI (00064000000001) ; -SDR 50 TDI (00064400000001) ; -SDR 50 TDI (00064800000001) ; -SDR 50 TDI (00064c00000001) ; -SDR 50 TDI (00065000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00068000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00068000000001) ; -SDR 50 TDI (00068400000001) ; -SDR 50 TDI (00068800000001) ; -SDR 50 TDI (00068c00000001) ; -SDR 50 TDI (00069000000001) ; -SDR 50 TDI (0006a000004001) ; -SDR 50 TDI (0006a400000001) ; -SDR 50 TDI (0006a800004001) ; -SDR 50 TDI (0006ac00000011) ; -SDR 50 TDI (0006b000000001) ; -SDR 50 TDI (0006c000000001) ; -SDR 50 TDI (0006c400000001) ; -SDR 50 TDI (0006c800000001) ; -SDR 50 TDI (0006cc00000001) ; -SDR 50 TDI (0006d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00070000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00070000000201) ; -SDR 50 TDI (00070601020301) ; -SDR 50 TDI (00070b00030101) ; -SDR 50 TDI (00070d00000101) ; -SDR 50 TDI (00071102020101) ; -SDR 50 TDI (00072102020311) ; -SDR 50 TDI (00072402000001) ; -SDR 50 TDI (00072902020301) ; -SDR 50 TDI (00072c02000011) ; -SDR 50 TDI (00073000000001) ; -SDR 50 TDI (00074000000001) ; -SDR 50 TDI (00074400000001) ; -SDR 50 TDI (00074800000001) ; -SDR 50 TDI (00074c00000001) ; -SDR 50 TDI (00075000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00078080000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00078080000201) ; -SDR 50 TDI (00078609000201) ; -SDR 50 TDI (00078b00010101) ; -SDR 50 TDI (00078d00000001) ; -SDR 50 TDI (00079100000101) ; -SDR 50 TDI (0007a180000301) ; -SDR 50 TDI (0007a438000001) ; -SDR 50 TDI (0007a902000311) ; -SDR 50 TDI (0007ac00000001) ; -SDR 50 TDI (0007b000000011) ; -SDR 50 TDI (0007c080000001) ; -SDR 50 TDI (0007c40c000401) ; -SDR 50 TDI (0007c800000001) ; -SDR 50 TDI (0007cc00000001) ; -SDR 50 TDI (0007d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00080000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00080000000201) ; -SDR 50 TDI (00080400020301) ; -SDR 50 TDI (00080800020101) ; -SDR 50 TDI (00080c00000001) ; -SDR 50 TDI (00081000020101) ; -SDR 50 TDI (00082002020301) ; -SDR 50 TDI (00082402000001) ; -SDR 50 TDI (00082800020301) ; -SDR 50 TDI (00082c02000001) ; -SDR 50 TDI (00083000000001) ; -SDR 50 TDI (00084000000001) ; -SDR 50 TDI (00084400002001) ; -SDR 50 TDI (00084800000001) ; -SDR 50 TDI (00084c00002001) ; -SDR 50 TDI (00085000000007) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00088000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00088000000001) ; -SDR 50 TDI (00088400000001) ; -SDR 50 TDI (00088800000001) ; -SDR 50 TDI (00088c00000001) ; -SDR 50 TDI (00089000000001) ; -SDR 50 TDI (0008a000000001) ; -SDR 50 TDI (0008a400000001) ; -SDR 50 TDI (0008a802000001) ; -SDR 50 TDI (0008ac00000001) ; -SDR 50 TDI (0008b000000001) ; -SDR 50 TDI (0008c000002001) ; -SDR 50 TDI (0008c400000001) ; -SDR 50 TDI (0008c800002001) ; -SDR 50 TDI (0008cc00000005) ; -SDR 50 TDI (0008d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00090080000220) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00090080000221) ; -SDR 50 TDI (00090408020301) ; -SDR 50 TDI (00090800820101) ; -SDR 50 TDI (00090c00800021) ; -SDR 50 TDI (00091000820101) ; -SDR 50 TDI (00092082020301) ; -SDR 50 TDI (0009243a400001) ; -SDR 50 TDI (00092800820301) ; -SDR 50 TDI (00092c02000001) ; -SDR 50 TDI (00093000000001) ; -SDR 50 TDI (00094080004001) ; -SDR 50 TDI (0009440c004001) ; -SDR 50 TDI (00094800000001) ; -SDR 50 TDI (00094c00c00001) ; -SDR 50 TDI (00095000c00003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00098000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00098000000001) ; -SDR 50 TDI (00098400000001) ; -SDR 50 TDI (00098800000021) ; -SDR 50 TDI (00098c00000001) ; -SDR 50 TDI (00099000000021) ; -SDR 50 TDI (0009a000000001) ; -SDR 50 TDI (0009a400000001) ; -SDR 50 TDI (0009a800000001) ; -SDR 50 TDI (0009ac00000001) ; -SDR 50 TDI (0009b000004001) ; -SDR 50 TDI (0009c000000001) ; -SDR 50 TDI (0009c400000001) ; -SDR 50 TDI (0009c800004001) ; -SDR 50 TDI (0009cc00004001) ; -SDR 50 TDI (0009d000004003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000a0000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000a0000000201) ; -SDR 50 TDI (000a0400000201) ; -SDR 50 TDI (000a0800000101) ; -SDR 50 TDI (000a0c00000001) ; -SDR 50 TDI (000a1000000101) ; -SDR 50 TDI (000a2000022321) ; -SDR 50 TDI (000a2402800001) ; -SDR 50 TDI (000a2800002301) ; -SDR 50 TDI (000a2c02000021) ; -SDR 50 TDI (000a3000000001) ; -SDR 50 TDI (000a4000000001) ; -SDR 50 TDI (000a4400000001) ; -SDR 50 TDI (000a4800000001) ; -SDR 50 TDI (000a4c00000001) ; -SDR 50 TDI (000a5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000a8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000a8000000001) ; -SDR 50 TDI (000a8400000001) ; -SDR 50 TDI (000a8800000001) ; -SDR 50 TDI (000a8c00000001) ; -SDR 50 TDI (000a9000020001) ; -SDR 50 TDI (000aa002000001) ; -SDR 50 TDI (000aa400002001) ; -SDR 50 TDI (000aa800000021) ; -SDR 50 TDI (000aac00002001) ; -SDR 50 TDI (000ab000000021) ; -SDR 50 TDI (000ac000000001) ; -SDR 50 TDI (000ac400000001) ; -SDR 50 TDI (000ac800000001) ; -SDR 50 TDI (000acc00000001) ; -SDR 50 TDI (000ad000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000b0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000b0000000001) ; -SDR 50 TDI (000b0400000001) ; -SDR 50 TDI (000b0a00000001) ; -SDR 50 TDI (000b0c00000001) ; -SDR 50 TDI (000b1000000001) ; -SDR 50 TDI (000b2200000001) ; -SDR 50 TDI (000b2400000001) ; -SDR 50 TDI (000b2800000009) ; -SDR 50 TDI (000b2e02000001) ; -SDR 50 TDI (000b3000000001) ; -SDR 50 TDI (000b4000002001) ; -SDR 50 TDI (000b4400000001) ; -SDR 50 TDI (000b4800002001) ; -SDR 50 TDI (000b4c00000001) ; -SDR 50 TDI (000b5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000b8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000b8000000001) ; -SDR 50 TDI (000b8400000001) ; -SDR 50 TDI (000b8800000001) ; -SDR 50 TDI (000b8c00000001) ; -SDR 50 TDI (000b9000000001) ; -SDR 50 TDI (000ba000020009) ; -SDR 50 TDI (000ba402000001) ; -SDR 50 TDI (000ba800000001) ; -SDR 50 TDI (000bac02000001) ; -SDR 50 TDI (000bb000000001) ; -SDR 50 TDI (000bc000000001) ; -SDR 50 TDI (000bc400002001) ; -SDR 50 TDI (000bc800000001) ; -SDR 50 TDI (000bcc00002001) ; -SDR 50 TDI (000bd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000c0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000c0000000001) ; -SDR 50 TDI (000c0400000009) ; -SDR 50 TDI (000c0800000001) ; -SDR 50 TDI (000c0c00000021) ; -SDR 50 TDI (000c1000000021) ; -SDR 50 TDI (000c2000000001) ; -SDR 50 TDI (000c2400000001) ; -SDR 50 TDI (000c2800000001) ; -SDR 50 TDI (000c2c000000b9) ; -SDR 50 TDI (000c30000000b9) ; -SDR 50 TDI (000c4000004001) ; -SDR 50 TDI (000c4400004001) ; -SDR 50 TDI (000c4800000001) ; -SDR 50 TDI (000c4c0000004d) ; -SDR 50 TDI (000c500000004f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000c8020002000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000c8020002001) ; -SDR 50 TDI (000c8400000001) ; -SDR 50 TDI (000c8800000001) ; -SDR 50 TDI (000c8c00000001) ; -SDR 50 TDI (000c9000000001) ; -SDR 50 TDI (000ca000000001) ; -SDR 50 TDI (000ca400000001) ; -SDR 50 TDI (000ca800000001) ; -SDR 50 TDI (000cac00000001) ; -SDR 50 TDI (000cb000004001) ; -SDR 50 TDI (000cc000000001) ; -SDR 50 TDI (000cc400000001) ; -SDR 50 TDI (000cc800004001) ; -SDR 50 TDI (000ccc00004001) ; -SDR 50 TDI (000cd000004003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000d0200020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000d0200020201) ; -SDR 50 TDI (000d0601020301) ; -SDR 50 TDI (000d0b00030301) ; -SDR 50 TDI (000d0f00000101) ; -SDR 50 TDI (000d1302020101) ; -SDR 50 TDI (000d2302024301) ; -SDR 50 TDI (000d2602440001) ; -SDR 50 TDI (000d2b02024311) ; -SDR 50 TDI (000d2e02000001) ; -SDR 50 TDI (000d3000000001) ; -SDR 50 TDI (000d4000000001) ; -SDR 50 TDI (000d4400000001) ; -SDR 50 TDI (000d4800000001) ; -SDR 50 TDI (000d4c00000001) ; -SDR 50 TDI (000d5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000d8080000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000d8080000001) ; -SDR 50 TDI (000d8408000001) ; -SDR 50 TDI (000d8800000001) ; -SDR 50 TDI (000d8c00000001) ; -SDR 50 TDI (000d9000000001) ; -SDR 50 TDI (000da080400011) ; -SDR 50 TDI (000da438004001) ; -SDR 50 TDI (000da800000001) ; -SDR 50 TDI (000dac00004001) ; -SDR 50 TDI (000db000000001) ; -SDR 50 TDI (000dc080000001) ; -SDR 50 TDI (000dc40c000001) ; -SDR 50 TDI (000dc800000001) ; -SDR 50 TDI (000dcc00000001) ; -SDR 50 TDI (000dd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000e0000800000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000e0000800001) ; -SDR 50 TDI (000e0400800001) ; -SDR 50 TDI (000e0800000001) ; -SDR 50 TDI (000e0c00000001) ; -SDR 50 TDI (000e1000000001) ; -SDR 50 TDI (000e2000400001) ; -SDR 50 TDI (000e2400000001) ; -SDR 50 TDI (000e2800800001) ; -SDR 50 TDI (000e2c00000001) ; -SDR 50 TDI (000e3000000001) ; -SDR 50 TDI (000e4000c04041) ; -SDR 50 TDI (000e4400404001) ; -SDR 50 TDI (000e4800800001) ; -SDR 50 TDI (000e4c00400041) ; -SDR 50 TDI (000e5000c00003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000e8060000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000e8060000001) ; -SDR 50 TDI (000e8400000001) ; -SDR 50 TDI (000e8800800001) ; -SDR 50 TDI (000e8c00000001) ; -SDR 50 TDI (000e9000000001) ; -SDR 50 TDI (000ea040000001) ; -SDR 50 TDI (000ea400400001) ; -SDR 50 TDI (000ea800000001) ; -SDR 50 TDI (000eac00000001) ; -SDR 50 TDI (000eb000004001) ; -SDR 50 TDI (000ec000000001) ; -SDR 50 TDI (000ec400800001) ; -SDR 50 TDI (000ec800404041) ; -SDR 50 TDI (000ecc00804001) ; -SDR 50 TDI (000ed000004043) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000f0000820028) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000f0000820029) ; -SDR 50 TDI (000f0400820101) ; -SDR 50 TDI (000f0800020221) ; -SDR 50 TDI (000f0c00000101) ; -SDR 50 TDI (000f1000820001) ; -SDR 50 TDI (000f20004200bd) ; -SDR 50 TDI (000f2400000005) ; -SDR 50 TDI (000f28000200bd) ; -SDR 50 TDI (000f2c00000005) ; -SDR 50 TDI (000f3000000001) ; -SDR 50 TDI (000f400040004d) ; -SDR 50 TDI (000f4400c00001) ; -SDR 50 TDI (000f480040004d) ; -SDR 50 TDI (000f4c00000001) ; -SDR 50 TDI (000f5000400003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (000f8080000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (000f8080000001) ; -SDR 50 TDI (000f8408000009) ; -SDR 50 TDI (000f8800800001) ; -SDR 50 TDI (000f8c00800021) ; -SDR 50 TDI (000f9000000021) ; -SDR 50 TDI (000fa08000e001) ; -SDR 50 TDI (000fa43840e001) ; -SDR 50 TDI (000fa800000001) ; -SDR 50 TDI (000fac000000b9) ; -SDR 50 TDI (000fb0000000b9) ; -SDR 50 TDI (000fc080802001) ; -SDR 50 TDI (000fc40c002001) ; -SDR 50 TDI (000fc800000001) ; -SDR 50 TDI (000fcc00c0404d) ; -SDR 50 TDI (000fd00080004f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00100000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00100000000001) ; -SDR 50 TDI (00100601000001) ; -SDR 50 TDI (00100900010201) ; -SDR 50 TDI (00100d00000001) ; -SDR 50 TDI (00101100000001) ; -SDR 50 TDI (00102100008081) ; -SDR 50 TDI (00102400000001) ; -SDR 50 TDI (00102902008001) ; -SDR 50 TDI (00102c00000081) ; -SDR 50 TDI (00103000000001) ; -SDR 50 TDI (00104000000001) ; -SDR 50 TDI (00104400000001) ; -SDR 50 TDI (00104800c00001) ; -SDR 50 TDI (00104c00000001) ; -SDR 50 TDI (00105000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00108200020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00108200020201) ; -SDR 50 TDI (00108400020301) ; -SDR 50 TDI (00108800020101) ; -SDR 50 TDI (00108e00000101) ; -SDR 50 TDI (00109202020101) ; -SDR 50 TDI (0010a202420301) ; -SDR 50 TDI (0010a602008001) ; -SDR 50 TDI (0010aa00020381) ; -SDR 50 TDI (0010ac02008001) ; -SDR 50 TDI (0010b000000081) ; -SDR 50 TDI (0010c000000001) ; -SDR 50 TDI (0010c400400001) ; -SDR 50 TDI (0010c800000001) ; -SDR 50 TDI (0010cc00000001) ; -SDR 50 TDI (0010d000400003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00110000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00110000000001) ; -SDR 50 TDI (00110400000009) ; -SDR 50 TDI (00110a00000001) ; -SDR 50 TDI (00110c00800001) ; -SDR 50 TDI (00111000000001) ; -SDR 50 TDI (00112000400005) ; -SDR 50 TDI (00112400000005) ; -SDR 50 TDI (00112800800005) ; -SDR 50 TDI (00112e00000005) ; -SDR 50 TDI (00113000000001) ; -SDR 50 TDI (00114000800001) ; -SDR 50 TDI (00114420800401) ; -SDR 50 TDI (00114800c00001) ; -SDR 50 TDI (00114c00400001) ; -SDR 50 TDI (00115000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00118000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00118000000001) ; -SDR 50 TDI (00118400800001) ; -SDR 50 TDI (00118a00000001) ; -SDR 50 TDI (00118c00000001) ; -SDR 50 TDI (00119000800001) ; -SDR 50 TDI (0011a000000001) ; -SDR 50 TDI (0011a400400001) ; -SDR 50 TDI (0011a800000001) ; -SDR 50 TDI (0011ae00000001) ; -SDR 50 TDI (0011b000000001) ; -SDR 50 TDI (0011c000400001) ; -SDR 50 TDI (0011c400000001) ; -SDR 50 TDI (0011c800000001) ; -SDR 50 TDI (0011cc00000001) ; -SDR 50 TDI (0011d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00120000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00120000000001) ; -SDR 50 TDI (00120400000001) ; -SDR 50 TDI (00120800000001) ; -SDR 50 TDI (00120c00000001) ; -SDR 50 TDI (00121000000001) ; -SDR 50 TDI (00122000000001) ; -SDR 50 TDI (00122400000001) ; -SDR 50 TDI (00122800000001) ; -SDR 50 TDI (00122c00000001) ; -SDR 50 TDI (00123000000001) ; -SDR 50 TDI (00124000000001) ; -SDR 50 TDI (00124400000001) ; -SDR 50 TDI (00124800000001) ; -SDR 50 TDI (00124c00000001) ; -SDR 50 TDI (00125000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00128000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00128000000001) ; -SDR 50 TDI (00128400000001) ; -SDR 50 TDI (00128800000001) ; -SDR 50 TDI (00128c00000001) ; -SDR 50 TDI (00129000000001) ; -SDR 50 TDI (0012a000000001) ; -SDR 50 TDI (0012a400000001) ; -SDR 50 TDI (0012a800000001) ; -SDR 50 TDI (0012ac00000001) ; -SDR 50 TDI (0012b000000001) ; -SDR 50 TDI (0012c000000001) ; -SDR 50 TDI (0012c400000001) ; -SDR 50 TDI (0012c800000001) ; -SDR 50 TDI (0012cc00000001) ; -SDR 50 TDI (0012d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00130000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00130000000001) ; -SDR 50 TDI (00130400000001) ; -SDR 50 TDI (00130800000001) ; -SDR 50 TDI (00130c00000001) ; -SDR 50 TDI (00131000000001) ; -SDR 50 TDI (00132000000001) ; -SDR 50 TDI (00132400000001) ; -SDR 50 TDI (00132800000001) ; -SDR 50 TDI (00132c00000001) ; -SDR 50 TDI (00133000000001) ; -SDR 50 TDI (00134000000001) ; -SDR 50 TDI (00134400000001) ; -SDR 50 TDI (00134800000001) ; -SDR 50 TDI (00134c00000001) ; -SDR 50 TDI (00135000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00138200020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00138200020201) ; -SDR 50 TDI (00138400020301) ; -SDR 50 TDI (00138800020101) ; -SDR 50 TDI (00138e00000101) ; -SDR 50 TDI (00139202020101) ; -SDR 50 TDI (0013a202020301) ; -SDR 50 TDI (0013a402000001) ; -SDR 50 TDI (0013a800020301) ; -SDR 50 TDI (0013ac00000001) ; -SDR 50 TDI (0013b000000001) ; -SDR 50 TDI (0013c000000001) ; -SDR 50 TDI (0013c400000001) ; -SDR 50 TDI (0013c800000001) ; -SDR 50 TDI (0013cc00000001) ; -SDR 50 TDI (0013d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00140000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00140000000201) ; -SDR 50 TDI (00140400020301) ; -SDR 50 TDI (00140800020101) ; -SDR 50 TDI (00140c00000001) ; -SDR 50 TDI (00141000020101) ; -SDR 50 TDI (00142002000301) ; -SDR 50 TDI (00142402000001) ; -SDR 50 TDI (00142800020301) ; -SDR 50 TDI (00142c02000001) ; -SDR 50 TDI (00143000000009) ; -SDR 50 TDI (00144000000001) ; -SDR 50 TDI (00144400000001) ; -SDR 50 TDI (00144800000001) ; -SDR 50 TDI (00144c00000001) ; -SDR 50 TDI (00145000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00148000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00148000000001) ; -SDR 50 TDI (00148400000001) ; -SDR 50 TDI (00148800000001) ; -SDR 50 TDI (00148c00000101) ; -SDR 50 TDI (00149002000001) ; -SDR 50 TDI (0014a000020001) ; -SDR 50 TDI (0014a400800001) ; -SDR 50 TDI (0014a800000001) ; -SDR 50 TDI (0014ac00000009) ; -SDR 50 TDI (0014b000000001) ; -SDR 50 TDI (0014c000000001) ; -SDR 50 TDI (0014c400000401) ; -SDR 50 TDI (0014c800000001) ; -SDR 50 TDI (0014cc00000001) ; -SDR 50 TDI (0014d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00150000020000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00150000020001) ; -SDR 50 TDI (00150400000001) ; -SDR 50 TDI (00150800000001) ; -SDR 50 TDI (00150c00000001) ; -SDR 50 TDI (00151000000001) ; -SDR 50 TDI (00152000000001) ; -SDR 50 TDI (00152400000001) ; -SDR 50 TDI (00152800000001) ; -SDR 50 TDI (00152c00000001) ; -SDR 50 TDI (00153000000001) ; -SDR 50 TDI (00154000000001) ; -SDR 50 TDI (00154400000001) ; -SDR 50 TDI (00154800000001) ; -SDR 50 TDI (00154c00000001) ; -SDR 50 TDI (00155000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00158000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00158000000001) ; -SDR 50 TDI (00158400000001) ; -SDR 50 TDI (00158800000001) ; -SDR 50 TDI (00158c00000001) ; -SDR 50 TDI (00159000000001) ; -SDR 50 TDI (0015a000000001) ; -SDR 50 TDI (0015a400000001) ; -SDR 50 TDI (0015a800000001) ; -SDR 50 TDI (0015ac00000001) ; -SDR 50 TDI (0015b000000001) ; -SDR 50 TDI (0015c000000001) ; -SDR 50 TDI (0015c400000001) ; -SDR 50 TDI (0015c800000001) ; -SDR 50 TDI (0015cc00000001) ; -SDR 50 TDI (0015d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00160000020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00160000020201) ; -SDR 50 TDI (00160601020301) ; -SDR 50 TDI (00160b00030301) ; -SDR 50 TDI (00160d00000101) ; -SDR 50 TDI (00161100020101) ; -SDR 50 TDI (00162100020301) ; -SDR 50 TDI (00162400000001) ; -SDR 50 TDI (00162902020301) ; -SDR 50 TDI (00162c00000001) ; -SDR 50 TDI (00163000000001) ; -SDR 50 TDI (00164000000001) ; -SDR 50 TDI (00164400000001) ; -SDR 50 TDI (00164800000001) ; -SDR 50 TDI (00164c00000001) ; -SDR 50 TDI (00165000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00168200020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00168200020201) ; -SDR 50 TDI (00168600020301) ; -SDR 50 TDI (00168900030301) ; -SDR 50 TDI (00168f00000101) ; -SDR 50 TDI (00169302020101) ; -SDR 50 TDI (0016a102020301) ; -SDR 50 TDI (0016a602000001) ; -SDR 50 TDI (0016ab02020301) ; -SDR 50 TDI (0016ae02000001) ; -SDR 50 TDI (0016b000000001) ; -SDR 50 TDI (0016c008000001) ; -SDR 50 TDI (0016c400000001) ; -SDR 50 TDI (0016c800000001) ; -SDR 50 TDI (0016cc00000001) ; -SDR 50 TDI (0016d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00170000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00170000000201) ; -SDR 50 TDI (00170601020301) ; -SDR 50 TDI (00170b00030101) ; -SDR 50 TDI (00170d00000101) ; -SDR 50 TDI (00171102020101) ; -SDR 50 TDI (00172102020301) ; -SDR 50 TDI (00172402000001) ; -SDR 50 TDI (00172902020301) ; -SDR 50 TDI (00172c02000001) ; -SDR 50 TDI (00173000000001) ; -SDR 50 TDI (00174000000001) ; -SDR 50 TDI (00174400000001) ; -SDR 50 TDI (00174800000001) ; -SDR 50 TDI (00174c00000001) ; -SDR 50 TDI (00175000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00178000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00178000000201) ; -SDR 50 TDI (00178400020301) ; -SDR 50 TDI (00178800020101) ; -SDR 50 TDI (00178c00000001) ; -SDR 50 TDI (00179000020101) ; -SDR 50 TDI (0017a002020301) ; -SDR 50 TDI (0017a402000001) ; -SDR 50 TDI (0017a802020301) ; -SDR 50 TDI (0017ac02000001) ; -SDR 50 TDI (0017b000000001) ; -SDR 50 TDI (0017c000000001) ; -SDR 50 TDI (0017c400000001) ; -SDR 50 TDI (0017c800000001) ; -SDR 50 TDI (0017cc00000001) ; -SDR 50 TDI (0017d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00180000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00180000000201) ; -SDR 50 TDI (00180400020301) ; -SDR 50 TDI (00180800020101) ; -SDR 50 TDI (00180c00000001) ; -SDR 50 TDI (00181000020101) ; -SDR 50 TDI (00182002020301) ; -SDR 50 TDI (00182402000001) ; -SDR 50 TDI (00182800020301) ; -SDR 50 TDI (00182c02000001) ; -SDR 50 TDI (00183000000001) ; -SDR 50 TDI (00184000000001) ; -SDR 50 TDI (00184400000001) ; -SDR 50 TDI (00184800000001) ; -SDR 50 TDI (00184c00000001) ; -SDR 50 TDI (00185000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00188000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00188000000201) ; -SDR 50 TDI (00188400000201) ; -SDR 50 TDI (00188800000101) ; -SDR 50 TDI (00188c00000001) ; -SDR 50 TDI (00189000020101) ; -SDR 50 TDI (0018a002020301) ; -SDR 50 TDI (0018a402000001) ; -SDR 50 TDI (0018a800000301) ; -SDR 50 TDI (0018ac02000001) ; -SDR 50 TDI (0018b000000001) ; -SDR 50 TDI (0018c000000001) ; -SDR 50 TDI (0018c400000001) ; -SDR 50 TDI (0018c800000001) ; -SDR 50 TDI (0018cc00000001) ; -SDR 50 TDI (0018d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00190002020300) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00190002020301) ; -SDR 50 TDI (0019040a020209) ; -SDR 50 TDI (00190800800001) ; -SDR 50 TDI (00190c00800021) ; -SDR 50 TDI (00191002820221) ; -SDR 50 TDI (00192080000001) ; -SDR 50 TDI (00192438400001) ; -SDR 50 TDI (00192800800001) ; -SDR 50 TDI (00192c000000b9) ; -SDR 50 TDI (001930000000b9) ; -SDR 50 TDI (00194000000001) ; -SDR 50 TDI (0019440c000401) ; -SDR 50 TDI (00194800000001) ; -SDR 50 TDI (00194c00c0004d) ; -SDR 50 TDI (00195000c0004f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00198202020000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00198202020001) ; -SDR 50 TDI (00198602020001) ; -SDR 50 TDI (00198800000001) ; -SDR 50 TDI (00198c00000001) ; -SDR 50 TDI (00199000000101) ; -SDR 50 TDI (0019a000000001) ; -SDR 50 TDI (0019a400000001) ; -SDR 50 TDI (0019a800000001) ; -SDR 50 TDI (0019ac00000001) ; -SDR 50 TDI (0019b000000001) ; -SDR 50 TDI (0019c000000001) ; -SDR 50 TDI (0019c400000001) ; -SDR 50 TDI (0019c800000001) ; -SDR 50 TDI (0019cc00000001) ; -SDR 50 TDI (0019d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001a0200020000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001a0200020001) ; -SDR 50 TDI (001a0600020201) ; -SDR 50 TDI (001a0800000001) ; -SDR 50 TDI (001a0c00000001) ; -SDR 50 TDI (001a1200020101) ; -SDR 50 TDI (001a2000000001) ; -SDR 50 TDI (001a2400000001) ; -SDR 50 TDI (001a2800000001) ; -SDR 50 TDI (001a2c00000001) ; -SDR 50 TDI (001a3000000001) ; -SDR 50 TDI (001a4000000001) ; -SDR 50 TDI (001a4400000001) ; -SDR 50 TDI (001a4800000001) ; -SDR 50 TDI (001a4c00000001) ; -SDR 50 TDI (001a5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001a8302030000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001a8302030001) ; -SDR 50 TDI (001a8702030001) ; -SDR 50 TDI (001a8801000001) ; -SDR 50 TDI (001a8c00000001) ; -SDR 50 TDI (001a9000000101) ; -SDR 50 TDI (001aa000000001) ; -SDR 50 TDI (001aa400000001) ; -SDR 50 TDI (001aa800000001) ; -SDR 50 TDI (001aac00000001) ; -SDR 50 TDI (001ab000000001) ; -SDR 50 TDI (001ac000000001) ; -SDR 50 TDI (001ac400000001) ; -SDR 50 TDI (001ac800000001) ; -SDR 50 TDI (001acc00000001) ; -SDR 50 TDI (001ad000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001b0000010000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001b0000010001) ; -SDR 50 TDI (001b0400000009) ; -SDR 50 TDI (001b0800800001) ; -SDR 50 TDI (001b0c00800021) ; -SDR 50 TDI (001b1000800121) ; -SDR 50 TDI (001b2000000001) ; -SDR 50 TDI (001b2400400001) ; -SDR 50 TDI (001b2800800001) ; -SDR 50 TDI (001b2c000000b9) ; -SDR 50 TDI (001b30000040b9) ; -SDR 50 TDI (001b4000004001) ; -SDR 50 TDI (001b4400004001) ; -SDR 50 TDI (001b4800004001) ; -SDR 50 TDI (001b4c00c0404d) ; -SDR 50 TDI (001b5000c0404f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001b8002002000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001b8002002001) ; -SDR 50 TDI (001b8402000001) ; -SDR 50 TDI (001b8800010001) ; -SDR 50 TDI (001b8c00000001) ; -SDR 50 TDI (001b9000000101) ; -SDR 50 TDI (001ba000000001) ; -SDR 50 TDI (001ba400000001) ; -SDR 50 TDI (001ba800000001) ; -SDR 50 TDI (001bac00000001) ; -SDR 50 TDI (001bb000000001) ; -SDR 50 TDI (001bc000000001) ; -SDR 50 TDI (001bc400000001) ; -SDR 50 TDI (001bc800000001) ; -SDR 50 TDI (001bcc00000001) ; -SDR 50 TDI (001bd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001c0080010300) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001c0080010301) ; -SDR 50 TDI (001c0408000301) ; -SDR 50 TDI (001c0a00020001) ; -SDR 50 TDI (001c0c00000001) ; -SDR 50 TDI (001c1000000001) ; -SDR 50 TDI (001c2080000001) ; -SDR 50 TDI (001c2438800001) ; -SDR 50 TDI (001c2800000001) ; -SDR 50 TDI (001c2c00000001) ; -SDR 50 TDI (001c3000000001) ; -SDR 50 TDI (001c4080000001) ; -SDR 50 TDI (001c440c000401) ; -SDR 50 TDI (001c4800000001) ; -SDR 50 TDI (001c4c00000001) ; -SDR 50 TDI (001c5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001c8100010000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001c8100010001) ; -SDR 50 TDI (001c8502010001) ; -SDR 50 TDI (001c8800000001) ; -SDR 50 TDI (001c8c00000001) ; -SDR 50 TDI (001c9000000101) ; -SDR 50 TDI (001ca000000001) ; -SDR 50 TDI (001ca400000001) ; -SDR 50 TDI (001ca800000001) ; -SDR 50 TDI (001cac00000001) ; -SDR 50 TDI (001cb000000001) ; -SDR 50 TDI (001cc000000001) ; -SDR 50 TDI (001cc400000001) ; -SDR 50 TDI (001cc800000001) ; -SDR 50 TDI (001ccc00000001) ; -SDR 50 TDI (001cd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001d0002010300) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001d0002010301) ; -SDR 50 TDI (001d0402020301) ; -SDR 50 TDI (001d0800000001) ; -SDR 50 TDI (001d0c00000001) ; -SDR 50 TDI (001d1002000201) ; -SDR 50 TDI (001d2000000001) ; -SDR 50 TDI (001d2400000001) ; -SDR 50 TDI (001d2800000001) ; -SDR 50 TDI (001d2c00000001) ; -SDR 50 TDI (001d3000004001) ; -SDR 50 TDI (001d4000004001) ; -SDR 50 TDI (001d4400004001) ; -SDR 50 TDI (001d4800004005) ; -SDR 50 TDI (001d4c00004001) ; -SDR 50 TDI (001d5000004003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001d8161022000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001d8161022001) ; -SDR 50 TDI (001d8501010201) ; -SDR 50 TDI (001d8800000001) ; -SDR 50 TDI (001d8c00000001) ; -SDR 50 TDI (001d9001030101) ; -SDR 50 TDI (001da000000001) ; -SDR 50 TDI (001da400000001) ; -SDR 50 TDI (001da800000001) ; -SDR 50 TDI (001dac00000001) ; -SDR 50 TDI (001db000000001) ; -SDR 50 TDI (001dc000000005) ; -SDR 50 TDI (001dc400000001) ; -SDR 50 TDI (001dc800000001) ; -SDR 50 TDI (001dcc00000001) ; -SDR 50 TDI (001dd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001e0001000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001e0001000001) ; -SDR 50 TDI (001e0400010001) ; -SDR 50 TDI (001e0800000001) ; -SDR 50 TDI (001e0c00000001) ; -SDR 50 TDI (001e1200010101) ; -SDR 50 TDI (001e2000000001) ; -SDR 50 TDI (001e2400000001) ; -SDR 50 TDI (001e2800000001) ; -SDR 50 TDI (001e2c00000001) ; -SDR 50 TDI (001e3000000001) ; -SDR 50 TDI (001e4000000001) ; -SDR 50 TDI (001e4400000001) ; -SDR 50 TDI (001e4800000001) ; -SDR 50 TDI (001e4c00000001) ; -SDR 50 TDI (001e5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001e8282020000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001e8282020001) ; -SDR 50 TDI (001e8408030301) ; -SDR 50 TDI (001e8800000001) ; -SDR 50 TDI (001e8c00000001) ; -SDR 50 TDI (001e9000010001) ; -SDR 50 TDI (001ea080000001) ; -SDR 50 TDI (001ea438800001) ; -SDR 50 TDI (001ea800000001) ; -SDR 50 TDI (001eac00000001) ; -SDR 50 TDI (001eb000000001) ; -SDR 50 TDI (001ec080000001) ; -SDR 50 TDI (001ec40c000401) ; -SDR 50 TDI (001ec800000001) ; -SDR 50 TDI (001ecc00000001) ; -SDR 50 TDI (001ed000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001f0102010100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001f0102010101) ; -SDR 50 TDI (001f0502010301) ; -SDR 50 TDI (001f0800000001) ; -SDR 50 TDI (001f0c00000001) ; -SDR 50 TDI (001f1100010101) ; -SDR 50 TDI (001f2000000001) ; -SDR 50 TDI (001f2400000001) ; -SDR 50 TDI (001f2800000001) ; -SDR 50 TDI (001f2c00000001) ; -SDR 50 TDI (001f3000000001) ; -SDR 50 TDI (001f4000000001) ; -SDR 50 TDI (001f4400000001) ; -SDR 50 TDI (001f4800000001) ; -SDR 50 TDI (001f4c00000001) ; -SDR 50 TDI (001f5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (001f8101000300) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (001f8101000301) ; -SDR 50 TDI (001f8400010101) ; -SDR 50 TDI (001f8800000001) ; -SDR 50 TDI (001f8c00000001) ; -SDR 50 TDI (001f9001010001) ; -SDR 50 TDI (001fa000000001) ; -SDR 50 TDI (001fa400000001) ; -SDR 50 TDI (001fa800000001) ; -SDR 50 TDI (001fac00000001) ; -SDR 50 TDI (001fb000000001) ; -SDR 50 TDI (001fc000000001) ; -SDR 50 TDI (001fc400000001) ; -SDR 50 TDI (001fc800000001) ; -SDR 50 TDI (001fcc00000001) ; -SDR 50 TDI (001fd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00200101000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00200101000001) ; -SDR 50 TDI (00200500010001) ; -SDR 50 TDI (00200800000001) ; -SDR 50 TDI (00200c00000001) ; -SDR 50 TDI (00201101010101) ; -SDR 50 TDI (00202000000001) ; -SDR 50 TDI (00202400800001) ; -SDR 50 TDI (00202800000001) ; -SDR 50 TDI (00202c00000001) ; -SDR 50 TDI (00203000000001) ; -SDR 50 TDI (00204000000001) ; -SDR 50 TDI (00204400000001) ; -SDR 50 TDI (00204800000001) ; -SDR 50 TDI (00204c00000001) ; -SDR 50 TDI (00205000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00208003010100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00208003010101) ; -SDR 50 TDI (00208502010001) ; -SDR 50 TDI (00208800000001) ; -SDR 50 TDI (00208c00000001) ; -SDR 50 TDI (00209001010101) ; -SDR 50 TDI (0020a000000001) ; -SDR 50 TDI (0020a400000001) ; -SDR 50 TDI (0020a800000001) ; -SDR 50 TDI (0020ac00000001) ; -SDR 50 TDI (0020b000000001) ; -SDR 50 TDI (0020c000000001) ; -SDR 50 TDI (0020c400000001) ; -SDR 50 TDI (0020c800000001) ; -SDR 50 TDI (0020cc00000001) ; -SDR 50 TDI (0020d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00210201000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00210201000001) ; -SDR 50 TDI (00210401010201) ; -SDR 50 TDI (00210800000001) ; -SDR 50 TDI (00210c00000001) ; -SDR 50 TDI (00211001010101) ; -SDR 50 TDI (00212000000001) ; -SDR 50 TDI (00212400000001) ; -SDR 50 TDI (00212800000001) ; -SDR 50 TDI (00212c00000001) ; -SDR 50 TDI (00213000000001) ; -SDR 50 TDI (00214000000001) ; -SDR 50 TDI (00214400000001) ; -SDR 50 TDI (00214800000001) ; -SDR 50 TDI (00214c00000001) ; -SDR 50 TDI (0021500000000b) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00218181010100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00218181010101) ; -SDR 50 TDI (00218508000001) ; -SDR 50 TDI (00218800000201) ; -SDR 50 TDI (00218c00000001) ; -SDR 50 TDI (00219101000101) ; -SDR 50 TDI (0021a080000001) ; -SDR 50 TDI (0021a438000001) ; -SDR 50 TDI (0021a800000001) ; -SDR 50 TDI (0021ac00000001) ; -SDR 50 TDI (0021b000000001) ; -SDR 50 TDI (0021c080000001) ; -SDR 50 TDI (0021c40c000401) ; -SDR 50 TDI (0021c800000001) ; -SDR 50 TDI (0021cc00000009) ; -SDR 50 TDI (0021d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00220000000200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00220000000201) ; -SDR 50 TDI (00220400000001) ; -SDR 50 TDI (00220800000001) ; -SDR 50 TDI (00220c00000001) ; -SDR 50 TDI (00221000020001) ; -SDR 50 TDI (00222000000001) ; -SDR 50 TDI (00222400000001) ; -SDR 50 TDI (00222800000001) ; -SDR 50 TDI (00222c00000001) ; -SDR 50 TDI (00223000000001) ; -SDR 50 TDI (00224000000001) ; -SDR 50 TDI (00224400000001) ; -SDR 50 TDI (00224800000001) ; -SDR 50 TDI (00224c00000001) ; -SDR 50 TDI (00225000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00228000020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00228000020201) ; -SDR 50 TDI (00228400020201) ; -SDR 50 TDI (00228800000001) ; -SDR 50 TDI (00228c00000001) ; -SDR 50 TDI (00229000020201) ; -SDR 50 TDI (0022a000000001) ; -SDR 50 TDI (0022a400800001) ; -SDR 50 TDI (0022a800000001) ; -SDR 50 TDI (0022ac00000001) ; -SDR 50 TDI (0022b000000001) ; -SDR 50 TDI (0022c000000001) ; -SDR 50 TDI (0022c400000001) ; -SDR 50 TDI (0022c800000001) ; -SDR 50 TDI (0022cc00000001) ; -SDR 50 TDI (0022d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00230001010100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00230001010101) ; -SDR 50 TDI (00230400000101) ; -SDR 50 TDI (00230800000001) ; -SDR 50 TDI (00230c00000001) ; -SDR 50 TDI (00231200000001) ; -SDR 50 TDI (00232000400001) ; -SDR 50 TDI (00232400000001) ; -SDR 50 TDI (00232800000001) ; -SDR 50 TDI (00232c00000001) ; -SDR 50 TDI (00233000000001) ; -SDR 50 TDI (00234000000001) ; -SDR 50 TDI (00234400400001) ; -SDR 50 TDI (00234800c00001) ; -SDR 50 TDI (00234c00000001) ; -SDR 50 TDI (00235000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00238000800228) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00238000800229) ; -SDR 50 TDI (00238400000201) ; -SDR 50 TDI (00238800000021) ; -SDR 50 TDI (00238c00000001) ; -SDR 50 TDI (00239200000001) ; -SDR 50 TDI (0023a0000000bd) ; -SDR 50 TDI (0023a400000005) ; -SDR 50 TDI (0023a8008000b9) ; -SDR 50 TDI (0023ac00000001) ; -SDR 50 TDI (0023b000000001) ; -SDR 50 TDI (0023c00000004d) ; -SDR 50 TDI (0023c400000401) ; -SDR 50 TDI (0023c80000004d) ; -SDR 50 TDI (0023cc00000001) ; -SDR 50 TDI (0023d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00240000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00240000000001) ; -SDR 50 TDI (00240400000001) ; -SDR 50 TDI (00240800000201) ; -SDR 50 TDI (00240c00000001) ; -SDR 50 TDI (00241102000001) ; -SDR 50 TDI (0024200000e001) ; -SDR 50 TDI (0024240000e001) ; -SDR 50 TDI (0024280000e021) ; -SDR 50 TDI (00242c0000e001) ; -SDR 50 TDI (00243000000001) ; -SDR 50 TDI (00244000002001) ; -SDR 50 TDI (00244400002001) ; -SDR 50 TDI (00244800006001) ; -SDR 50 TDI (00244c00006001) ; -SDR 50 TDI (00245000004003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00248000020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00248000020201) ; -SDR 50 TDI (00248400020201) ; -SDR 50 TDI (00248800000001) ; -SDR 50 TDI (00248c00000001) ; -SDR 50 TDI (00249200020201) ; -SDR 50 TDI (0024a000000021) ; -SDR 50 TDI (0024a400000001) ; -SDR 50 TDI (0024a800000001) ; -SDR 50 TDI (0024ac00000001) ; -SDR 50 TDI (0024b000004001) ; -SDR 50 TDI (0024c000004001) ; -SDR 50 TDI (0024c400004001) ; -SDR 50 TDI (0024c800000001) ; -SDR 50 TDI (0024cc00000001) ; -SDR 50 TDI (0024d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00250002020200) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00250002020201) ; -SDR 50 TDI (00250402000001) ; -SDR 50 TDI (00250800000001) ; -SDR 50 TDI (00250c00000001) ; -SDR 50 TDI (00251002020201) ; -SDR 50 TDI (00252000000001) ; -SDR 50 TDI (00252400000001) ; -SDR 50 TDI (00252800000001) ; -SDR 50 TDI (00252c00000001) ; -SDR 50 TDI (00253000000001) ; -SDR 50 TDI (00254000000001) ; -SDR 50 TDI (00254400000001) ; -SDR 50 TDI (00254800000001) ; -SDR 50 TDI (00254c00000001) ; -SDR 50 TDI (00255000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00258101030100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00258101030101) ; -SDR 50 TDI (00258403000301) ; -SDR 50 TDI (00258800000001) ; -SDR 50 TDI (00258c00000001) ; -SDR 50 TDI (00259003020301) ; -SDR 50 TDI (0025a000000001) ; -SDR 50 TDI (0025a400000001) ; -SDR 50 TDI (0025a800000001) ; -SDR 50 TDI (0025ac00000001) ; -SDR 50 TDI (0025b000000001) ; -SDR 50 TDI (0025c004000001) ; -SDR 50 TDI (0025c400000001) ; -SDR 50 TDI (0025c800000001) ; -SDR 50 TDI (0025cc00000001) ; -SDR 50 TDI (0025d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00260080000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00260080000001) ; -SDR 50 TDI (00260408000001) ; -SDR 50 TDI (00260800000201) ; -SDR 50 TDI (00260c00000001) ; -SDR 50 TDI (00261200000001) ; -SDR 50 TDI (00262080000001) ; -SDR 50 TDI (00262438800001) ; -SDR 50 TDI (00262800000081) ; -SDR 50 TDI (00262c00000001) ; -SDR 50 TDI (00263000000001) ; -SDR 50 TDI (00264000000001) ; -SDR 50 TDI (0026440c000401) ; -SDR 50 TDI (00264800000001) ; -SDR 50 TDI (00264c00000001) ; -SDR 50 TDI (00265000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00268000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00268000000001) ; -SDR 50 TDI (00268400000001) ; -SDR 50 TDI (00268800000001) ; -SDR 50 TDI (00268c00000001) ; -SDR 50 TDI (00269000000001) ; -SDR 50 TDI (0026a000000081) ; -SDR 50 TDI (0026a400000001) ; -SDR 50 TDI (0026a800000001) ; -SDR 50 TDI (0026ac00000001) ; -SDR 50 TDI (0026b000000001) ; -SDR 50 TDI (0026c000000001) ; -SDR 50 TDI (0026c400000001) ; -SDR 50 TDI (0026c800000001) ; -SDR 50 TDI (0026cc00000001) ; -SDR 50 TDI (0026d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00270101010100) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00270101010101) ; -SDR 50 TDI (00270401010001) ; -SDR 50 TDI (00270800000001) ; -SDR 50 TDI (00270c00000001) ; -SDR 50 TDI (00271000000001) ; -SDR 50 TDI (00272000000001) ; -SDR 50 TDI (00272501010101) ; -SDR 50 TDI (00272800000001) ; -SDR 50 TDI (00272c00000001) ; -SDR 50 TDI (00273000000001) ; -SDR 50 TDI (00274000000001) ; -SDR 50 TDI (00274400000001) ; -SDR 50 TDI (00274800000041) ; -SDR 50 TDI (00274c00000001) ; -SDR 50 TDI (00275000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00278000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00278000000001) ; -SDR 50 TDI (00278400000001) ; -SDR 50 TDI (00278800000001) ; -SDR 50 TDI (00278c00000001) ; -SDR 50 TDI (00279000000001) ; -SDR 50 TDI (0027a000000001) ; -SDR 50 TDI (0027a400800001) ; -SDR 50 TDI (0027a800000001) ; -SDR 50 TDI (0027ac00000001) ; -SDR 50 TDI (0027b000000001) ; -SDR 50 TDI (0027c000000041) ; -SDR 50 TDI (0027c400000001) ; -SDR 50 TDI (0027c800000001) ; -SDR 50 TDI (0027cc00000001) ; -SDR 50 TDI (0027d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00280000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00280000000001) ; -SDR 50 TDI (00280400000001) ; -SDR 50 TDI (00280800000001) ; -SDR 50 TDI (00280c00000001) ; -SDR 50 TDI (00281000000001) ; -SDR 50 TDI (00282000000001) ; -SDR 50 TDI (00282400000001) ; -SDR 50 TDI (00282800000001) ; -SDR 50 TDI (00282c00000001) ; -SDR 50 TDI (00283000000001) ; -SDR 50 TDI (00284000000001) ; -SDR 50 TDI (00284400000001) ; -SDR 50 TDI (00284800000001) ; -SDR 50 TDI (00284c00000001) ; -SDR 50 TDI (00285000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00288000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00288000000001) ; -SDR 50 TDI (00288400000001) ; -SDR 50 TDI (00288800000001) ; -SDR 50 TDI (00288c00000001) ; -SDR 50 TDI (00289000000001) ; -SDR 50 TDI (0028a000000001) ; -SDR 50 TDI (0028a400000001) ; -SDR 50 TDI (0028a800000001) ; -SDR 50 TDI (0028ac00000001) ; -SDR 50 TDI (0028b000000001) ; -SDR 50 TDI (0028c000000021) ; -SDR 50 TDI (0028c400000001) ; -SDR 50 TDI (0028c800000001) ; -SDR 50 TDI (0028cc00000001) ; -SDR 50 TDI (0028d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00290000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00290000000001) ; -SDR 50 TDI (00290400000001) ; -SDR 50 TDI (00290800000001) ; -SDR 50 TDI (00290c00000001) ; -SDR 50 TDI (00291000000001) ; -SDR 50 TDI (00292000000001) ; -SDR 50 TDI (00292400000001) ; -SDR 50 TDI (00292800000001) ; -SDR 50 TDI (00292c00000001) ; -SDR 50 TDI (00293000000001) ; -SDR 50 TDI (00294000000001) ; -SDR 50 TDI (00294400000001) ; -SDR 50 TDI (00294800000001) ; -SDR 50 TDI (00294c00000001) ; -SDR 50 TDI (00295000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00298000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00298000000001) ; -SDR 50 TDI (00298400000001) ; -SDR 50 TDI (00298800000001) ; -SDR 50 TDI (00298c00000001) ; -SDR 50 TDI (00299000000001) ; -SDR 50 TDI (0029a000000001) ; -SDR 50 TDI (0029a400000001) ; -SDR 50 TDI (0029a800000001) ; -SDR 50 TDI (0029ac00000001) ; -SDR 50 TDI (0029b000000001) ; -SDR 50 TDI (0029c000000001) ; -SDR 50 TDI (0029c400000001) ; -SDR 50 TDI (0029c800000001) ; -SDR 50 TDI (0029cc00000001) ; -SDR 50 TDI (0029d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002a0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002a0000000001) ; -SDR 50 TDI (002a0400000001) ; -SDR 50 TDI (002a0800000001) ; -SDR 50 TDI (002a0c00000001) ; -SDR 50 TDI (002a1000000001) ; -SDR 50 TDI (002a2000000001) ; -SDR 50 TDI (002a2400000001) ; -SDR 50 TDI (002a2800000001) ; -SDR 50 TDI (002a2c00000001) ; -SDR 50 TDI (002a3000000001) ; -SDR 50 TDI (002a4000000001) ; -SDR 50 TDI (002a4400000001) ; -SDR 50 TDI (002a4800000001) ; -SDR 50 TDI (002a4c00000001) ; -SDR 50 TDI (002a5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002a8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002a8000000001) ; -SDR 50 TDI (002a8400000001) ; -SDR 50 TDI (002a8800000001) ; -SDR 50 TDI (002a8c00000001) ; -SDR 50 TDI (002a9000000001) ; -SDR 50 TDI (002aa000000001) ; -SDR 50 TDI (002aa400800001) ; -SDR 50 TDI (002aa800000001) ; -SDR 50 TDI (002aac00000001) ; -SDR 50 TDI (002ab000000001) ; -SDR 50 TDI (002ac000000001) ; -SDR 50 TDI (002ac400000001) ; -SDR 50 TDI (002ac800000001) ; -SDR 50 TDI (002acc00000001) ; -SDR 50 TDI (002ad000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002b0080000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002b0080000001) ; -SDR 50 TDI (002b0400000001) ; -SDR 50 TDI (002b0800000001) ; -SDR 50 TDI (002b0c00000001) ; -SDR 50 TDI (002b1000000001) ; -SDR 50 TDI (002b2000000001) ; -SDR 50 TDI (002b2400000001) ; -SDR 50 TDI (002b2800000001) ; -SDR 50 TDI (002b2c00000001) ; -SDR 50 TDI (002b3000000001) ; -SDR 50 TDI (002b4000000001) ; -SDR 50 TDI (002b4400000001) ; -SDR 50 TDI (002b4800000009) ; -SDR 50 TDI (002b4c00000001) ; -SDR 50 TDI (002b5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002b8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002b8000000001) ; -SDR 50 TDI (002b8400000001) ; -SDR 50 TDI (002b8800000001) ; -SDR 50 TDI (002b8c00000001) ; -SDR 50 TDI (002b9000000001) ; -SDR 50 TDI (002ba000000001) ; -SDR 50 TDI (002ba400000001) ; -SDR 50 TDI (002ba800000001) ; -SDR 50 TDI (002bac00000001) ; -SDR 50 TDI (002bb000000001) ; -SDR 50 TDI (002bc000000009) ; -SDR 50 TDI (002bc400000001) ; -SDR 50 TDI (002bc800000001) ; -SDR 50 TDI (002bcc00000001) ; -SDR 50 TDI (002bd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002c0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002c0000000001) ; -SDR 50 TDI (002c0400000001) ; -SDR 50 TDI (002c0800000001) ; -SDR 50 TDI (002c0c00000001) ; -SDR 50 TDI (002c1000000001) ; -SDR 50 TDI (002c2000000001) ; -SDR 50 TDI (002c2400000001) ; -SDR 50 TDI (002c2800000001) ; -SDR 50 TDI (002c2c00000001) ; -SDR 50 TDI (002c3000000081) ; -SDR 50 TDI (002c4000000001) ; -SDR 50 TDI (002c4400000001) ; -SDR 50 TDI (002c4800000001) ; -SDR 50 TDI (002c4c00000001) ; -SDR 50 TDI (002c5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002c8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002c8000000001) ; -SDR 50 TDI (002c8400000001) ; -SDR 50 TDI (002c8800000001) ; -SDR 50 TDI (002c8c00000001) ; -SDR 50 TDI (002c9000000001) ; -SDR 50 TDI (002ca000000001) ; -SDR 50 TDI (002ca400000001) ; -SDR 50 TDI (002ca800000001) ; -SDR 50 TDI (002cac00000081) ; -SDR 50 TDI (002cb000000001) ; -SDR 50 TDI (002cc000000001) ; -SDR 50 TDI (002cc400000001) ; -SDR 50 TDI (002cc800000001) ; -SDR 50 TDI (002ccc00000001) ; -SDR 50 TDI (002cd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002d0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002d0000000001) ; -SDR 50 TDI (002d0400000001) ; -SDR 50 TDI (002d0800000001) ; -SDR 50 TDI (002d0c00000001) ; -SDR 50 TDI (002d1000000001) ; -SDR 50 TDI (002d2000000001) ; -SDR 50 TDI (002d2400000005) ; -SDR 50 TDI (002d2800000001) ; -SDR 50 TDI (002d2c00000005) ; -SDR 50 TDI (002d3000000001) ; -SDR 50 TDI (002d4000000001) ; -SDR 50 TDI (002d4400000001) ; -SDR 50 TDI (002d4800000001) ; -SDR 50 TDI (002d4c00000001) ; -SDR 50 TDI (002d5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002d8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002d8000000001) ; -SDR 50 TDI (002d8400000001) ; -SDR 50 TDI (002d8800000001) ; -SDR 50 TDI (002d8c00000001) ; -SDR 50 TDI (002d9000000001) ; -SDR 50 TDI (002da000000005) ; -SDR 50 TDI (002da400000001) ; -SDR 50 TDI (002da800000005) ; -SDR 50 TDI (002dac00000001) ; -SDR 50 TDI (002db000000001) ; -SDR 50 TDI (002dc000000401) ; -SDR 50 TDI (002dc400000001) ; -SDR 50 TDI (002dc800000001) ; -SDR 50 TDI (002dcc00000001) ; -SDR 50 TDI (002dd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002e0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002e0000000001) ; -SDR 50 TDI (002e0400000001) ; -SDR 50 TDI (002e0800000001) ; -SDR 50 TDI (002e0c00000001) ; -SDR 50 TDI (002e1000000001) ; -SDR 50 TDI (002e2000000001) ; -SDR 50 TDI (002e2400000001) ; -SDR 50 TDI (002e2800000001) ; -SDR 50 TDI (002e2c00000001) ; -SDR 50 TDI (002e3000000001) ; -SDR 50 TDI (002e4000000001) ; -SDR 50 TDI (002e4400000001) ; -SDR 50 TDI (002e4800000001) ; -SDR 50 TDI (002e4c00000001) ; -SDR 50 TDI (002e5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002e8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002e8000000001) ; -SDR 50 TDI (002e8400000001) ; -SDR 50 TDI (002e8800000001) ; -SDR 50 TDI (002e8c00000001) ; -SDR 50 TDI (002e9000000001) ; -SDR 50 TDI (002ea000000001) ; -SDR 50 TDI (002ea400000001) ; -SDR 50 TDI (002ea80000e005) ; -SDR 50 TDI (002eac0000e005) ; -SDR 50 TDI (002eb000000001) ; -SDR 50 TDI (002ec000000001) ; -SDR 50 TDI (002ec400000001) ; -SDR 50 TDI (002ec800002001) ; -SDR 50 TDI (002ecc00002001) ; -SDR 50 TDI (002ed000004003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002f0000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002f0000000001) ; -SDR 50 TDI (002f0400000001) ; -SDR 50 TDI (002f0800000001) ; -SDR 50 TDI (002f0c00000001) ; -SDR 50 TDI (002f1000000001) ; -SDR 50 TDI (002f2000000001) ; -SDR 50 TDI (002f2400000001) ; -SDR 50 TDI (002f2800000001) ; -SDR 50 TDI (002f2c00000001) ; -SDR 50 TDI (002f3000000001) ; -SDR 50 TDI (002f4000000001) ; -SDR 50 TDI (002f4400000001) ; -SDR 50 TDI (002f4800000001) ; -SDR 50 TDI (002f4c00000001) ; -SDR 50 TDI (002f5000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (002f8000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (002f8000000001) ; -SDR 50 TDI (002f8400000001) ; -SDR 50 TDI (002f8800000001) ; -SDR 50 TDI (002f8c00000001) ; -SDR 50 TDI (002f9000000001) ; -SDR 50 TDI (002fa010000001) ; -SDR 50 TDI (002fa400000001) ; -SDR 50 TDI (002fa800000001) ; -SDR 50 TDI (002fac00000001) ; -SDR 50 TDI (002fb000000001) ; -SDR 50 TDI (002fc000000001) ; -SDR 50 TDI (002fc400000001) ; -SDR 50 TDI (002fc800000001) ; -SDR 50 TDI (002fcc00000001) ; -SDR 50 TDI (002fd000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00300000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00300000000001) ; -SDR 50 TDI (00300400000001) ; -SDR 50 TDI (00300800000001) ; -SDR 50 TDI (00300c00000001) ; -SDR 50 TDI (00301000000001) ; -SDR 50 TDI (00302000000001) ; -SDR 50 TDI (00302400000001) ; -SDR 50 TDI (00302800000001) ; -SDR 50 TDI (00302c00000001) ; -SDR 50 TDI (00303000000021) ; -SDR 50 TDI (00304000000001) ; -SDR 50 TDI (00304400000001) ; -SDR 50 TDI (00304800000001) ; -SDR 50 TDI (00304c00000001) ; -SDR 50 TDI (00305000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00308000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00308000000001) ; -SDR 50 TDI (00308400000001) ; -SDR 50 TDI (00308800000001) ; -SDR 50 TDI (00308c00000001) ; -SDR 50 TDI (00309000000001) ; -SDR 50 TDI (0030a020000001) ; -SDR 50 TDI (0030a400000001) ; -SDR 50 TDI (0030a800000001) ; -SDR 50 TDI (0030ac00000021) ; -SDR 50 TDI (0030b000000001) ; -SDR 50 TDI (0030c000000001) ; -SDR 50 TDI (0030c400000001) ; -SDR 50 TDI (0030c800000001) ; -SDR 50 TDI (0030cc00000001) ; -SDR 50 TDI (0030d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00310000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00310000000001) ; -SDR 50 TDI (00310400000001) ; -SDR 50 TDI (00310800000001) ; -SDR 50 TDI (00310c00000001) ; -SDR 50 TDI (00311000000021) ; -SDR 50 TDI (00312000000001) ; -SDR 50 TDI (00312400000001) ; -SDR 50 TDI (00312800000001) ; -SDR 50 TDI (00312c00000001) ; -SDR 50 TDI (00313000000001) ; -SDR 50 TDI (00314000000001) ; -SDR 50 TDI (00314400000001) ; -SDR 50 TDI (00314800000001) ; -SDR 50 TDI (00314c00000001) ; -SDR 50 TDI (00315000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00318000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00318000000001) ; -SDR 50 TDI (00318400000001) ; -SDR 50 TDI (00318800000001) ; -SDR 50 TDI (00318c00000021) ; -SDR 50 TDI (00319000000001) ; -SDR 50 TDI (0031a000800001) ; -SDR 50 TDI (0031a400000001) ; -SDR 50 TDI (0031a800000001) ; -SDR 50 TDI (0031ac00000001) ; -SDR 50 TDI (0031b000000001) ; -SDR 50 TDI (0031c000000001) ; -SDR 50 TDI (0031c400000001) ; -SDR 50 TDI (0031c800000001) ; -SDR 50 TDI (0031cc00000001) ; -SDR 50 TDI (0031d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00320000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00320000000001) ; -SDR 50 TDI (00320400000009) ; -SDR 50 TDI (00320800000001) ; -SDR 50 TDI (00320c00000021) ; -SDR 50 TDI (00321000000021) ; -SDR 50 TDI (00322000000001) ; -SDR 50 TDI (00322400000001) ; -SDR 50 TDI (00322800000001) ; -SDR 50 TDI (00322c000000b9) ; -SDR 50 TDI (003230000040b9) ; -SDR 50 TDI (00324000004001) ; -SDR 50 TDI (00324400004001) ; -SDR 50 TDI (00324800004001) ; -SDR 50 TDI (00324c0000404d) ; -SDR 50 TDI (0032500000404f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00328000002000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00328000002001) ; -SDR 50 TDI (00328400000001) ; -SDR 50 TDI (00328800000001) ; -SDR 50 TDI (00328c00000001) ; -SDR 50 TDI (00329000000001) ; -SDR 50 TDI (0032a008000001) ; -SDR 50 TDI (0032a400000001) ; -SDR 50 TDI (0032a800000001) ; -SDR 50 TDI (0032ac00000001) ; -SDR 50 TDI (0032b000000001) ; -SDR 50 TDI (0032c000000001) ; -SDR 50 TDI (0032c400000001) ; -SDR 50 TDI (0032c800000001) ; -SDR 50 TDI (0032cc00000001) ; -SDR 50 TDI (0032d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00330000000028) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00330000000029) ; -SDR 50 TDI (00330400000001) ; -SDR 50 TDI (00330800800021) ; -SDR 50 TDI (00330c00800001) ; -SDR 50 TDI (00331000000001) ; -SDR 50 TDI (0033200000e0bd) ; -SDR 50 TDI (0033240040e005) ; -SDR 50 TDI (0033280000e0bd) ; -SDR 50 TDI (00332c0000e005) ; -SDR 50 TDI (00333000004001) ; -SDR 50 TDI (0033400000204d) ; -SDR 50 TDI (00334400002001) ; -SDR 50 TDI (0033480000204d) ; -SDR 50 TDI (00334c00c06001) ; -SDR 50 TDI (00335000004003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00338000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00338000000001) ; -SDR 50 TDI (00338400000001) ; -SDR 50 TDI (00338800000001) ; -SDR 50 TDI (00338c00000021) ; -SDR 50 TDI (00339000800021) ; -SDR 50 TDI (0033a000000001) ; -SDR 50 TDI (0033a400000001) ; -SDR 50 TDI (0033a800800001) ; -SDR 50 TDI (0033ac000000b9) ; -SDR 50 TDI (0033b0000000b9) ; -SDR 50 TDI (0033c000004001) ; -SDR 50 TDI (0033c400000001) ; -SDR 50 TDI (0033c800004001) ; -SDR 50 TDI (0033cc0000004d) ; -SDR 50 TDI (0033d000c0004f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00340000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00340000000001) ; -SDR 50 TDI (00340400000009) ; -SDR 50 TDI (00340800800001) ; -SDR 50 TDI (00340c00800021) ; -SDR 50 TDI (00341000000021) ; -SDR 50 TDI (0034200000e001) ; -SDR 50 TDI (0034240040e001) ; -SDR 50 TDI (0034280000e001) ; -SDR 50 TDI (00342c0000e0b9) ; -SDR 50 TDI (003430000000b9) ; -SDR 50 TDI (00344000002001) ; -SDR 50 TDI (00344400006001) ; -SDR 50 TDI (00344800002001) ; -SDR 50 TDI (00344c00c0204d) ; -SDR 50 TDI (0034500000004f) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00348000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00348000000001) ; -SDR 50 TDI (00348400000001) ; -SDR 50 TDI (00348800000001) ; -SDR 50 TDI (00348c00000001) ; -SDR 50 TDI (00349000800001) ; -SDR 50 TDI (0034a000000001) ; -SDR 50 TDI (0034a400000001) ; -SDR 50 TDI (0034a800800001) ; -SDR 50 TDI (0034ac00000001) ; -SDR 50 TDI (0034b000004001) ; -SDR 50 TDI (0034c000000001) ; -SDR 50 TDI (0034c400000001) ; -SDR 50 TDI (0034c800004001) ; -SDR 50 TDI (0034cc00000001) ; -SDR 50 TDI (0034d000c00003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00350000000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00350000000001) ; -SDR 50 TDI (00350400000001) ; -SDR 50 TDI (00350800000001) ; -SDR 50 TDI (00350c00000001) ; -SDR 50 TDI (00351000000001) ; -SDR 50 TDI (00352000000001) ; -SDR 50 TDI (00352400000001) ; -SDR 50 TDI (00352800000001) ; -SDR 50 TDI (00352c00000001) ; -SDR 50 TDI (00353000000001) ; -SDR 50 TDI (00354000000001) ; -SDR 50 TDI (00354400000001) ; -SDR 50 TDI (00354800000001) ; -SDR 50 TDI (00354c00000001) ; -SDR 50 TDI (00355000000043) ; -RUNTEST 20000 TCK; -SDR 50 TDI (00358008000000) TDO (00000000000001) MASK (00000000000003) ; -SDR 50 TDI (00358008000001) ; -SDR 50 TDI (00358400000001) ; -SDR 50 TDI (00358800000001) ; -SDR 50 TDI (00358c00000001) ; -SDR 50 TDI (00359000000001) ; -SDR 50 TDI (0035a000000001) ; -SDR 50 TDI (0035a400000001) ; -SDR 50 TDI (0035a800000001) ; -SDR 50 TDI (0035ac00000001) ; -SDR 50 TDI (0035b000000001) ; -SDR 50 TDI (0035c000000001) ; -SDR 50 TDI (0035c400000001) ; -SDR 50 TDI (0035c800000001) ; -SDR 50 TDI (0035cc00000041) ; -SDR 50 TDI (0035d000000003) ; -RUNTEST 20000 TCK; -SDR 50 TDI (0035d000000000) TDO (00000000000001) MASK (00000000000003) ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -//Loading device with 'ispen' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -//Loading device with 'ispen' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) ; -// Loading device with a 'fvfy' instruction. -SIR 8 TDI (ee) ; -SDR 50 TDI (00000000000003) SMASK (03ffffffffffff) ; -RUNTEST 1 TCK; -SDR 50 TDI (00000400000003) TDO (00000000000001) MASK (03ffffffffffff) ; -RUNTEST 1 TCK; -SDR 50 TDI (00000800000023) TDO (00000400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00000c00000003) TDO (00000800000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00001000000003) TDO (00000c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00002000000003) TDO (00001000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00002400000003) TDO (00002000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00002800000003) TDO (00002400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00002c00000003) TDO (00002800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00003000000003) TDO (00002c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00004000000003) TDO (00003000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00004400000003) TDO (00004000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00004800000003) TDO (00004400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00004c00000003) TDO (00004800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00005000000003) TDO (00004c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00008000000023) TDO (00005000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00008400000003) TDO (00008000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00008800000003) TDO (00008400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00008c00000003) TDO (00008800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00009000000003) TDO (00008c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000a000000003) TDO (00009000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000a400000003) TDO (0000a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000a800000003) TDO (0000a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000ac00000003) TDO (0000a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000b000000003) TDO (0000ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000c000000003) TDO (0000b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000c400000003) TDO (0000c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000c800000003) TDO (0000c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000cc00000003) TDO (0000c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0000d000000003) TDO (0000cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00010000000103) TDO (0000d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00010400000103) TDO (00010000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00010800000003) TDO (00010400000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00010c00000103) TDO (00010800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00011000000003) TDO (00010c00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00012000000007) TDO (00011000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00012400000003) TDO (00012000000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00012800000007) TDO (00012400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00012c00000003) TDO (00012800000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00013000000003) TDO (00012c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00014000000003) TDO (00013000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00014400000003) TDO (00014000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00014800000003) TDO (00014400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00014c00000003) TDO (00014800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00015000000003) TDO (00014c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00018000000003) TDO (00015000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00018400000003) TDO (00018000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00018800000003) TDO (00018400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00018c00000003) TDO (00018800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00019000000003) TDO (00018c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001a000000003) TDO (00019000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001a400000007) TDO (0001a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001a800000003) TDO (0001a400000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001ac00000007) TDO (0001a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001b000000003) TDO (0001ac00000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001c000000003) TDO (0001b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001c400000003) TDO (0001c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001c800000003) TDO (0001c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001cc00000003) TDO (0001c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0001d000000003) TDO (0001cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00020000000003) TDO (0001d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00020400000003) TDO (00020000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00020800000003) TDO (00020400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00020c00000003) TDO (00020800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00021000000003) TDO (00020c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00022000000003) TDO (00021000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00022400000003) TDO (00022000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00022800000003) TDO (00022400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00022c00000003) TDO (00022800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00023000000003) TDO (00022c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00024000000007) TDO (00023000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00024400000003) TDO (00024000000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00024800000003) TDO (00024400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00024c00000007) TDO (00024800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00025000000003) TDO (00024c00000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00028000000003) TDO (00025000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00028400000003) TDO (00028000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00028800000003) TDO (00028400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00028c00000003) TDO (00028800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00029000000003) TDO (00028c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002a000000003) TDO (00029000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002a400000003) TDO (0002a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002a800000003) TDO (0002a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002ac00000003) TDO (0002a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002b000000003) TDO (0002ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002c000000003) TDO (0002b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002c400000003) TDO (0002c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002c800000007) TDO (0002c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002cc00000003) TDO (0002c800000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (0002d000000007) TDO (0002cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00030000000103) TDO (0002d000000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003040000032b) TDO (00030000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00030800000103) TDO (00030400000329) ; -RUNTEST 1 TCK; -SDR 50 TDI (00030c00000003) TDO (00030800000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00031000000103) TDO (00030c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00032000000203) TDO (00031000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000324000003bb) TDO (00032000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00032800000303) TDO (000324000003b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (00032c00000003) TDO (00032800000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00033000000003) TDO (00032c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00034000000003) TDO (00033000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003440000004f) TDO (00034000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00034800000003) TDO (0003440000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00034c00000003) TDO (00034800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00035000000003) TDO (00034c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003808000010b) TDO (00035000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00038408000303) TDO (00038080000109) ; -RUNTEST 1 TCK; -SDR 50 TDI (00038800000003) TDO (00038408000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00038c00000003) TDO (00038800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00039000000003) TDO (00038c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003a080000203) TDO (00039000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003a438800003) TDO (0003a080000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003a800000003) TDO (0003a438800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003ac00000003) TDO (0003a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003b000000003) TDO (0003ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003c080000003) TDO (0003b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003c40c000403) TDO (0003c080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003c800000003) TDO (0003c40c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003cc00000003) TDO (0003c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0003d000000003) TDO (0003cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00040000000003) TDO (0003d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00040400000003) TDO (00040000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00040800000003) TDO (00040400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00040c00000003) TDO (00040800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00041000000003) TDO (00040c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004200000000b) TDO (00041000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00042400008003) TDO (00042000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00042800000003) TDO (00042400008001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00042c0000800b) TDO (00042800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00043000000003) TDO (00042c00008009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00044000000003) TDO (00043000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00044400000003) TDO (00044000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00044800000003) TDO (00044400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00044c00000003) TDO (00044800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00045000000003) TDO (00044c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00048000000003) TDO (00045000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00048400000003) TDO (00048000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00048800000003) TDO (00048400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00048c00000003) TDO (00048800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00049000000003) TDO (00048c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004a000008003) TDO (00049000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004a400000003) TDO (0004a000008001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004a80000800b) TDO (0004a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004ac00000003) TDO (0004a800008009) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004b00000000b) TDO (0004ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004c000000003) TDO (0004b000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004c400000003) TDO (0004c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004c800000003) TDO (0004c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004cc00000003) TDO (0004c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0004d000000003) TDO (0004cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00050000000003) TDO (0004d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00050400000003) TDO (00050000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00050800000003) TDO (00050400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00050c00000003) TDO (00050800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00051000000003) TDO (00050c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00052000000003) TDO (00051000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00052400002003) TDO (00052000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00052800000003) TDO (00052400002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00052c00002003) TDO (00052800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00053000000003) TDO (00052c00002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005400000000b) TDO (00053000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00054400000003) TDO (00054000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00054800000003) TDO (00054400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00054c0000000b) TDO (00054800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00055000000003) TDO (00054c00000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00058000000003) TDO (00055000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00058400000003) TDO (00058000000001) MASK (03fffcfcfcfcff) ; -RUNTEST 1 TCK; -SDR 50 TDI (00058800000003) TDO (00058400000001) MASK (03ffffffffffff) ; -RUNTEST 1 TCK; -SDR 50 TDI (00058c00000003) TDO (00058800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00059000000003) TDO (00058c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005a000002003) TDO (00059000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005a400000003) TDO (0005a000002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005a800002003) TDO (0005a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005ac00000003) TDO (0005a800002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005b000000003) TDO (0005ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005c000000003) TDO (0005b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005c400000003) TDO (0005c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005c80000000b) TDO (0005c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005cc00000003) TDO (0005c800000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (0005d00000000b) TDO (0005cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00060200020203) TDO (0005d000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00060600020303) TDO (00060200020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00060900030303) TDO (00060600020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00060f00000103) TDO (00060900030301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00061302020103) TDO (00060f00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00062102020303) TDO (00061302020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00062602004003) TDO (00062102020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00062b02020303) TDO (00062602004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00062e02004003) TDO (00062b02020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00063000000013) TDO (00062e02004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00064000000003) TDO (00063000000011) ; -RUNTEST 1 TCK; -SDR 50 TDI (00064400000003) TDO (00064000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00064800000003) TDO (00064400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00064c00000003) TDO (00064800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00065000000003) TDO (00064c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00068000000003) TDO (00065000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00068400000003) TDO (00068000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00068800000003) TDO (00068400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00068c00000003) TDO (00068800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00069000000003) TDO (00068c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006a000004003) TDO (00069000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006a400000003) TDO (0006a000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006a800004003) TDO (0006a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006ac00000013) TDO (0006a800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006b000000003) TDO (0006ac00000011) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006c000000003) TDO (0006b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006c400000003) TDO (0006c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006c800000003) TDO (0006c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006cc00000003) TDO (0006c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0006d000000003) TDO (0006cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00070000000203) TDO (0006d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00070601020303) TDO (00070000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00070b00030103) TDO (00070601020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00070d00000103) TDO (00070b00030101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00071102020103) TDO (00070d00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00072102020313) TDO (00071102020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00072402000003) TDO (00072102020311) ; -RUNTEST 1 TCK; -SDR 50 TDI (00072902020303) TDO (00072402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00072c02000013) TDO (00072902020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00073000000003) TDO (00072c02000011) ; -RUNTEST 1 TCK; -SDR 50 TDI (00074000000003) TDO (00073000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00074400000003) TDO (00074000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00074800000003) TDO (00074400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00074c00000003) TDO (00074800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00075000000003) TDO (00074c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00078080000203) TDO (00075000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00078609000203) TDO (00078080000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00078b00010103) TDO (00078609000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00078d00000003) TDO (00078b00010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00079100000103) TDO (00078d00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007a180000303) TDO (00079100000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007a438000003) TDO (0007a180000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007a902000313) TDO (0007a438000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007ac00000003) TDO (0007a902000311) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007b000000013) TDO (0007ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007c080000003) TDO (0007b000000011) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007c40c000403) TDO (0007c080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007c800000003) TDO (0007c40c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007cc00000003) TDO (0007c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0007d000000003) TDO (0007cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00080000000203) TDO (0007d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00080400020303) TDO (00080000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00080800020103) TDO (00080400020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00080c00000003) TDO (00080800020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00081000020103) TDO (00080c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00082002020303) TDO (00081000020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00082402000003) TDO (00082002020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00082800020303) TDO (00082402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00082c02000003) TDO (00082800020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00083000000003) TDO (00082c02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00084000000003) TDO (00083000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00084400002003) TDO (00084000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00084800000003) TDO (00084400002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00084c00002003) TDO (00084800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00085000000007) TDO (00084c00002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00088000000003) TDO (00085000000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00088400000003) TDO (00088000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00088800000003) TDO (00088400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00088c00000003) TDO (00088800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00089000000003) TDO (00088c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008a000000003) TDO (00089000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008a400000003) TDO (0008a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008a802000003) TDO (0008a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008ac00000003) TDO (0008a802000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008b000000003) TDO (0008ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008c000002003) TDO (0008b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008c400000003) TDO (0008c000002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008c800002003) TDO (0008c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008cc00000007) TDO (0008c800002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0008d000000003) TDO (0008cc00000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00090080000223) TDO (0008d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00090408020303) TDO (00090080000221) ; -RUNTEST 1 TCK; -SDR 50 TDI (00090800820103) TDO (00090408020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00090c00800023) TDO (00090800820101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00091000820103) TDO (00090c00800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00092082020303) TDO (00091000820101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009243a400003) TDO (00092082020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00092800820303) TDO (0009243a400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00092c02000003) TDO (00092800820301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00093000000003) TDO (00092c02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00094080004003) TDO (00093000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009440c004003) TDO (00094080004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00094800000003) TDO (0009440c004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00094c00c00003) TDO (00094800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00095000c00003) TDO (00094c00c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00098000000003) TDO (00095000c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00098400000003) TDO (00098000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00098800000023) TDO (00098400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00098c00000003) TDO (00098800000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00099000000023) TDO (00098c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009a000000003) TDO (00099000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009a400000003) TDO (0009a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009a800000003) TDO (0009a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009ac00000003) TDO (0009a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009b000004003) TDO (0009ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009c000000003) TDO (0009b000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009c400000003) TDO (0009c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009c800004003) TDO (0009c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009cc00004003) TDO (0009c800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0009d000004003) TDO (0009cc00004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a0000000203) TDO (0009d000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a0400000203) TDO (000a0000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a0800000103) TDO (000a0400000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a0c00000003) TDO (000a0800000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a1000000103) TDO (000a0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a2000022323) TDO (000a1000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a2402800003) TDO (000a2000022321) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a2800002303) TDO (000a2402800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a2c02000023) TDO (000a2800002301) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a3000000003) TDO (000a2c02000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a4000000003) TDO (000a3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a4400000003) TDO (000a4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a4800000003) TDO (000a4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a4c00000003) TDO (000a4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a5000000003) TDO (000a4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a8000000003) TDO (000a5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a8400000003) TDO (000a8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a8800000003) TDO (000a8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a8c00000003) TDO (000a8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000a9000020003) TDO (000a8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000aa002000003) TDO (000a9000020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000aa400002003) TDO (000aa002000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000aa800000023) TDO (000aa400002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000aac00002003) TDO (000aa800000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ab000000023) TDO (000aac00002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ac000000003) TDO (000ab000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ac400000003) TDO (000ac000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ac800000003) TDO (000ac400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000acc00000003) TDO (000ac800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ad000000003) TDO (000acc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b0000000003) TDO (000ad000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b0400000003) TDO (000b0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b0a00000003) TDO (000b0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b0c00000003) TDO (000b0a00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b1000000003) TDO (000b0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b2200000003) TDO (000b1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b2400000003) TDO (000b2200000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b280000000b) TDO (000b2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b2e02000003) TDO (000b2800000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b3000000003) TDO (000b2e02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b4000002003) TDO (000b3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b4400000003) TDO (000b4000002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b4800002003) TDO (000b4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b4c00000003) TDO (000b4800002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b5000000003) TDO (000b4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b8000000003) TDO (000b5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b8400000003) TDO (000b8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b8800000003) TDO (000b8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b8c00000003) TDO (000b8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000b9000000003) TDO (000b8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ba00002000b) TDO (000b9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ba402000003) TDO (000ba000020009) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ba800000003) TDO (000ba402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bac02000003) TDO (000ba800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bb000000003) TDO (000bac02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bc000000003) TDO (000bb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bc400002003) TDO (000bc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bc800000003) TDO (000bc400002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bcc00002003) TDO (000bc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000bd000000003) TDO (000bcc00002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c0000000003) TDO (000bd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c040000000b) TDO (000c0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c0800000003) TDO (000c0400000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c0c00000023) TDO (000c0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c1000000023) TDO (000c0c00000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c2000000003) TDO (000c1000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c2400000003) TDO (000c2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c2800000003) TDO (000c2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c2c000000bb) TDO (000c2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c30000000bb) TDO (000c2c000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c4000004003) TDO (000c30000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c4400004003) TDO (000c4000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c4800000003) TDO (000c4400004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c4c0000004f) TDO (000c4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c500000004f) TDO (000c4c0000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c8020002003) TDO (000c500000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c8400000003) TDO (000c8020002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c8800000003) TDO (000c8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c8c00000003) TDO (000c8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000c9000000003) TDO (000c8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ca000000003) TDO (000c9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ca400000003) TDO (000ca000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ca800000003) TDO (000ca400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000cac00000003) TDO (000ca800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000cb000004003) TDO (000cac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000cc000000003) TDO (000cb000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000cc400000003) TDO (000cc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000cc800004003) TDO (000cc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ccc00004003) TDO (000cc800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000cd000004003) TDO (000ccc00004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d0200020203) TDO (000cd000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d0601020303) TDO (000d0200020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d0b00030303) TDO (000d0601020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d0f00000103) TDO (000d0b00030301) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d1302020103) TDO (000d0f00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d2302024303) TDO (000d1302020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d2602440003) TDO (000d2302024301) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d2b02024313) TDO (000d2602440001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d2e02000003) TDO (000d2b02024311) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d3000000003) TDO (000d2e02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d4000000003) TDO (000d3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d4400000003) TDO (000d4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d4800000003) TDO (000d4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d4c00000003) TDO (000d4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d5000000003) TDO (000d4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d8080000003) TDO (000d5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d8408000003) TDO (000d8080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d8800000003) TDO (000d8408000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d8c00000003) TDO (000d8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000d9000000003) TDO (000d8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000da080400013) TDO (000d9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000da438004003) TDO (000da080400011) ; -RUNTEST 1 TCK; -SDR 50 TDI (000da800000003) TDO (000da438004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000dac00004003) TDO (000da800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000db000000003) TDO (000dac00004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000dc080000003) TDO (000db000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000dc40c000003) TDO (000dc080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000dc800000003) TDO (000dc40c000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000dcc00000003) TDO (000dc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000dd000000003) TDO (000dcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e0000800003) TDO (000dd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e0400800003) TDO (000e0000800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e0800000003) TDO (000e0400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e0c00000003) TDO (000e0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e1000000003) TDO (000e0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e2000400003) TDO (000e1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e2400000003) TDO (000e2000400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e2800800003) TDO (000e2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e2c00000003) TDO (000e2800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e3000000003) TDO (000e2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e4000c04043) TDO (000e3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e4400404003) TDO (000e4000c04041) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e4800800003) TDO (000e4400404001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e4c00400043) TDO (000e4800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e5000c00003) TDO (000e4c00400041) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e8060000003) TDO (000e5000c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e8400000003) TDO (000e8060000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e8800800003) TDO (000e8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e8c00000003) TDO (000e8800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000e9000000003) TDO (000e8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ea040000003) TDO (000e9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ea400400003) TDO (000ea040000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ea800000003) TDO (000ea400400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000eac00000003) TDO (000ea800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000eb000004003) TDO (000eac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ec000000003) TDO (000eb000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ec400800003) TDO (000ec000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ec800404043) TDO (000ec400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ecc00804003) TDO (000ec800404041) ; -RUNTEST 1 TCK; -SDR 50 TDI (000ed000004043) TDO (000ecc00804001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f000082002b) TDO (000ed000004041) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f0400820103) TDO (000f0000820029) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f0800020223) TDO (000f0400820101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f0c00000103) TDO (000f0800020221) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f1000820003) TDO (000f0c00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f20004200bf) TDO (000f1000820001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f2400000007) TDO (000f20004200bd) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f28000200bf) TDO (000f2400000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f2c00000007) TDO (000f28000200bd) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f3000000003) TDO (000f2c00000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f400040004f) TDO (000f3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f4400c00003) TDO (000f400040004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f480040004f) TDO (000f4400c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f4c00000003) TDO (000f480040004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f5000400003) TDO (000f4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f8080000003) TDO (000f5000400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f840800000b) TDO (000f8080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f8800800003) TDO (000f8408000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f8c00800023) TDO (000f8800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000f9000000023) TDO (000f8c00800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fa08000e003) TDO (000f9000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fa43840e003) TDO (000fa08000e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fa800000003) TDO (000fa43840e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fac000000bb) TDO (000fa800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fb0000000bb) TDO (000fac000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fc080802003) TDO (000fb0000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fc40c002003) TDO (000fc080802001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fc800000003) TDO (000fc40c002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fcc00c0404f) TDO (000fc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (000fd00080004f) TDO (000fcc00c0404d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00100000000003) TDO (000fd00080004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00100601000003) TDO (00100000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00100900010203) TDO (00100601000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00100d00000003) TDO (00100900010201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00101100000003) TDO (00100d00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00102100008083) TDO (00101100000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00102400000003) TDO (00102100008081) ; -RUNTEST 1 TCK; -SDR 50 TDI (00102902008003) TDO (00102400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00102c00000083) TDO (00102902008001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00103000000003) TDO (00102c00000081) ; -RUNTEST 1 TCK; -SDR 50 TDI (00104000000003) TDO (00103000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00104400000003) TDO (00104000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00104800c00003) TDO (00104400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00104c00000003) TDO (00104800c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00105000000003) TDO (00104c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00108200020203) TDO (00105000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00108400020303) TDO (00108200020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00108800020103) TDO (00108400020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00108e00000103) TDO (00108800020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00109202020103) TDO (00108e00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010a202420303) TDO (00109202020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010a602008003) TDO (0010a202420301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010aa00020383) TDO (0010a602008001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010ac02008003) TDO (0010aa00020381) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010b000000083) TDO (0010ac02008001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010c000000003) TDO (0010b000000081) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010c400400003) TDO (0010c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010c800000003) TDO (0010c400400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010cc00000003) TDO (0010c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0010d000400003) TDO (0010cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00110000000003) TDO (0010d000400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011040000000b) TDO (00110000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00110a00000003) TDO (00110400000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00110c00800003) TDO (00110a00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00111000000003) TDO (00110c00800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00112000400007) TDO (00111000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00112400000007) TDO (00112000400005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00112800800007) TDO (00112400000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00112e00000007) TDO (00112800800005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00113000000003) TDO (00112e00000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00114000800003) TDO (00113000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00114420800403) TDO (00114000800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00114800c00003) TDO (00114420800401) ; -RUNTEST 1 TCK; -SDR 50 TDI (00114c00400003) TDO (00114800c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00115000000003) TDO (00114c00400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00118000000003) TDO (00115000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00118400800003) TDO (00118000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00118a00000003) TDO (00118400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00118c00000003) TDO (00118a00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00119000800003) TDO (00118c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011a000000003) TDO (00119000800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011a400400003) TDO (0011a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011a800000003) TDO (0011a400400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011ae00000003) TDO (0011a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011b000000003) TDO (0011ae00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011c000400003) TDO (0011b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011c400000003) TDO (0011c000400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011c800000003) TDO (0011c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011cc00000003) TDO (0011c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0011d000000003) TDO (0011cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00120000000003) TDO (0011d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00120400000003) TDO (00120000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00120800000003) TDO (00120400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00120c00000003) TDO (00120800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00121000000003) TDO (00120c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00122000000003) TDO (00121000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00122400000003) TDO (00122000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00122800000003) TDO (00122400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00122c00000003) TDO (00122800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00123000000003) TDO (00122c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00124000000003) TDO (00123000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00124400000003) TDO (00124000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00124800000003) TDO (00124400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00124c00000003) TDO (00124800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00125000000003) TDO (00124c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00128000000003) TDO (00125000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00128400000003) TDO (00128000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00128800000003) TDO (00128400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00128c00000003) TDO (00128800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00129000000003) TDO (00128c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012a000000003) TDO (00129000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012a400000003) TDO (0012a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012a800000003) TDO (0012a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012ac00000003) TDO (0012a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012b000000003) TDO (0012ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012c000000003) TDO (0012b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012c400000003) TDO (0012c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012c800000003) TDO (0012c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012cc00000003) TDO (0012c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0012d000000003) TDO (0012cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00130000000003) TDO (0012d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00130400000003) TDO (00130000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00130800000003) TDO (00130400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00130c00000003) TDO (00130800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00131000000003) TDO (00130c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00132000000003) TDO (00131000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00132400000003) TDO (00132000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00132800000003) TDO (00132400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00132c00000003) TDO (00132800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00133000000003) TDO (00132c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00134000000003) TDO (00133000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00134400000003) TDO (00134000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00134800000003) TDO (00134400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00134c00000003) TDO (00134800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00135000000003) TDO (00134c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00138200020203) TDO (00135000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00138400020303) TDO (00138200020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00138800020103) TDO (00138400020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00138e00000103) TDO (00138800020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00139202020103) TDO (00138e00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013a202020303) TDO (00139202020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013a402000003) TDO (0013a202020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013a800020303) TDO (0013a402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013ac00000003) TDO (0013a800020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013b000000003) TDO (0013ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013c000000003) TDO (0013b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013c400000003) TDO (0013c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013c800000003) TDO (0013c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013cc00000003) TDO (0013c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0013d000000003) TDO (0013cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00140000000203) TDO (0013d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00140400020303) TDO (00140000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00140800020103) TDO (00140400020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00140c00000003) TDO (00140800020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00141000020103) TDO (00140c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00142002000303) TDO (00141000020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00142402000003) TDO (00142002000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00142800020303) TDO (00142402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00142c02000003) TDO (00142800020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014300000000b) TDO (00142c02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00144000000003) TDO (00143000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00144400000003) TDO (00144000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00144800000003) TDO (00144400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00144c00000003) TDO (00144800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00145000000003) TDO (00144c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00148000000003) TDO (00145000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00148400000003) TDO (00148000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00148800000003) TDO (00148400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00148c00000103) TDO (00148800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00149002000003) TDO (00148c00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014a000020003) TDO (00149002000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014a400800003) TDO (0014a000020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014a800000003) TDO (0014a400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014ac0000000b) TDO (0014a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014b000000003) TDO (0014ac00000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014c000000003) TDO (0014b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014c400000403) TDO (0014c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014c800000003) TDO (0014c400000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014cc00000003) TDO (0014c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0014d000000003) TDO (0014cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00150000020003) TDO (0014d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00150400000003) TDO (00150000020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00150800000003) TDO (00150400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00150c00000003) TDO (00150800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00151000000003) TDO (00150c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00152000000003) TDO (00151000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00152400000003) TDO (00152000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00152800000003) TDO (00152400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00152c00000003) TDO (00152800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00153000000003) TDO (00152c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00154000000003) TDO (00153000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00154400000003) TDO (00154000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00154800000003) TDO (00154400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00154c00000003) TDO (00154800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00155000000003) TDO (00154c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00158000000003) TDO (00155000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00158400000003) TDO (00158000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00158800000003) TDO (00158400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00158c00000003) TDO (00158800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00159000000003) TDO (00158c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015a000000003) TDO (00159000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015a400000003) TDO (0015a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015a800000003) TDO (0015a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015ac00000003) TDO (0015a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015b000000003) TDO (0015ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015c000000003) TDO (0015b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015c400000003) TDO (0015c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015c800000003) TDO (0015c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015cc00000003) TDO (0015c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0015d000000003) TDO (0015cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00160000020203) TDO (0015d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00160601020303) TDO (00160000020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00160b00030303) TDO (00160601020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00160d00000103) TDO (00160b00030301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00161100020103) TDO (00160d00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00162100020303) TDO (00161100020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00162400000003) TDO (00162100020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00162902020303) TDO (00162400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00162c00000003) TDO (00162902020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00163000000003) TDO (00162c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00164000000003) TDO (00163000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00164400000003) TDO (00164000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00164800000003) TDO (00164400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00164c00000003) TDO (00164800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00165000000003) TDO (00164c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00168200020203) TDO (00165000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00168600020303) TDO (00168200020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00168900030303) TDO (00168600020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00168f00000103) TDO (00168900030301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00169302020103) TDO (00168f00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016a102020303) TDO (00169302020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016a602000003) TDO (0016a102020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016ab02020303) TDO (0016a602000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016ae02000003) TDO (0016ab02020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016b000000003) TDO (0016ae02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016c008000003) TDO (0016b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016c400000003) TDO (0016c008000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016c800000003) TDO (0016c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016cc00000003) TDO (0016c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0016d000000003) TDO (0016cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00170000000203) TDO (0016d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00170601020303) TDO (00170000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00170b00030103) TDO (00170601020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00170d00000103) TDO (00170b00030101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00171102020103) TDO (00170d00000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00172102020303) TDO (00171102020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00172402000003) TDO (00172102020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00172902020303) TDO (00172402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00172c02000003) TDO (00172902020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00173000000003) TDO (00172c02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00174000000003) TDO (00173000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00174400000003) TDO (00174000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00174800000003) TDO (00174400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00174c00000003) TDO (00174800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00175000000003) TDO (00174c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00178000000203) TDO (00175000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00178400020303) TDO (00178000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00178800020103) TDO (00178400020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00178c00000003) TDO (00178800020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00179000020103) TDO (00178c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017a002020303) TDO (00179000020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017a402000003) TDO (0017a002020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017a802020303) TDO (0017a402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017ac02000003) TDO (0017a802020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017b000000003) TDO (0017ac02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017c000000003) TDO (0017b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017c400000003) TDO (0017c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017c800000003) TDO (0017c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017cc00000003) TDO (0017c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0017d000000003) TDO (0017cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00180000000203) TDO (0017d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00180400020303) TDO (00180000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00180800020103) TDO (00180400020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00180c00000003) TDO (00180800020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00181000020103) TDO (00180c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00182002020303) TDO (00181000020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00182402000003) TDO (00182002020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00182800020303) TDO (00182402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00182c02000003) TDO (00182800020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00183000000003) TDO (00182c02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00184000000003) TDO (00183000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00184400000003) TDO (00184000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00184800000003) TDO (00184400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00184c00000003) TDO (00184800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00185000000003) TDO (00184c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00188000000203) TDO (00185000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00188400000203) TDO (00188000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00188800000103) TDO (00188400000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00188c00000003) TDO (00188800000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00189000020103) TDO (00188c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018a002020303) TDO (00189000020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018a402000003) TDO (0018a002020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018a800000303) TDO (0018a402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018ac02000003) TDO (0018a800000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018b000000003) TDO (0018ac02000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018c000000003) TDO (0018b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018c400000003) TDO (0018c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018c800000003) TDO (0018c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018cc00000003) TDO (0018c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0018d000000003) TDO (0018cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00190002020303) TDO (0018d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019040a02020b) TDO (00190002020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00190800800003) TDO (0019040a020209) ; -RUNTEST 1 TCK; -SDR 50 TDI (00190c00800023) TDO (00190800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00191002820223) TDO (00190c00800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00192080000003) TDO (00191002820221) ; -RUNTEST 1 TCK; -SDR 50 TDI (00192438400003) TDO (00192080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00192800800003) TDO (00192438400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00192c000000bb) TDO (00192800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001930000000bb) TDO (00192c000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (00194000000003) TDO (001930000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019440c000403) TDO (00194000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00194800000003) TDO (0019440c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (00194c00c0004f) TDO (00194800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00195000c0004f) TDO (00194c00c0004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00198202020003) TDO (00195000c0004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00198602020003) TDO (00198202020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00198800000003) TDO (00198602020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00198c00000003) TDO (00198800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00199000000103) TDO (00198c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019a000000003) TDO (00199000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019a400000003) TDO (0019a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019a800000003) TDO (0019a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019ac00000003) TDO (0019a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019b000000003) TDO (0019ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019c000000003) TDO (0019b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019c400000003) TDO (0019c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019c800000003) TDO (0019c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019cc00000003) TDO (0019c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0019d000000003) TDO (0019cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a0200020003) TDO (0019d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a0600020203) TDO (001a0200020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a0800000003) TDO (001a0600020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a0c00000003) TDO (001a0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a1200020103) TDO (001a0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a2000000003) TDO (001a1200020101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a2400000003) TDO (001a2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a2800000003) TDO (001a2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a2c00000003) TDO (001a2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a3000000003) TDO (001a2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a4000000003) TDO (001a3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a4400000003) TDO (001a4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a4800000003) TDO (001a4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a4c00000003) TDO (001a4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a5000000003) TDO (001a4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a8302030003) TDO (001a5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a8702030003) TDO (001a8302030001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a8801000003) TDO (001a8702030001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a8c00000003) TDO (001a8801000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001a9000000103) TDO (001a8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001aa000000003) TDO (001a9000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001aa400000003) TDO (001aa000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001aa800000003) TDO (001aa400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001aac00000003) TDO (001aa800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ab000000003) TDO (001aac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ac000000003) TDO (001ab000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ac400000003) TDO (001ac000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ac800000003) TDO (001ac400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001acc00000003) TDO (001ac800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ad000000003) TDO (001acc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b0000010003) TDO (001ad000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b040000000b) TDO (001b0000010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b0800800003) TDO (001b0400000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b0c00800023) TDO (001b0800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b1000800123) TDO (001b0c00800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b2000000003) TDO (001b1000800121) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b2400400003) TDO (001b2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b2800800003) TDO (001b2400400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b2c000000bb) TDO (001b2800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b30000040bb) TDO (001b2c000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b4000004003) TDO (001b30000040b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b4400004003) TDO (001b4000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b4800004003) TDO (001b4400004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b4c00c0404f) TDO (001b4800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b5000c0404f) TDO (001b4c00c0404d) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b8002002003) TDO (001b5000c0404d) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b8402000003) TDO (001b8002002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b8800010003) TDO (001b8402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b8c00000003) TDO (001b8800010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001b9000000103) TDO (001b8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ba000000003) TDO (001b9000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ba400000003) TDO (001ba000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ba800000003) TDO (001ba400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bac00000003) TDO (001ba800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bb000000003) TDO (001bac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bc000000003) TDO (001bb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bc400000003) TDO (001bc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bc800000003) TDO (001bc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bcc00000003) TDO (001bc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001bd000000003) TDO (001bcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c0080010303) TDO (001bd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c0408000303) TDO (001c0080010301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c0a00020003) TDO (001c0408000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c0c00000003) TDO (001c0a00020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c1000000003) TDO (001c0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c2080000003) TDO (001c1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c2438800003) TDO (001c2080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c2800000003) TDO (001c2438800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c2c00000003) TDO (001c2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c3000000003) TDO (001c2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c4080000003) TDO (001c3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c440c000403) TDO (001c4080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c4800000003) TDO (001c440c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c4c00000003) TDO (001c4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c5000000003) TDO (001c4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c8100010003) TDO (001c5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c8502010003) TDO (001c8100010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c8800000003) TDO (001c8502010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c8c00000003) TDO (001c8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001c9000000103) TDO (001c8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ca000000003) TDO (001c9000000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ca400000003) TDO (001ca000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ca800000003) TDO (001ca400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001cac00000003) TDO (001ca800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001cb000000003) TDO (001cac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001cc000000003) TDO (001cb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001cc400000003) TDO (001cc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001cc800000003) TDO (001cc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ccc00000003) TDO (001cc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001cd000000003) TDO (001ccc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d0002010303) TDO (001cd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d0402020303) TDO (001d0002010301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d0800000003) TDO (001d0402020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d0c00000003) TDO (001d0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d1002000203) TDO (001d0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d2000000003) TDO (001d1002000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d2400000003) TDO (001d2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d2800000003) TDO (001d2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d2c00000003) TDO (001d2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d3000004003) TDO (001d2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d4000004003) TDO (001d3000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d4400004003) TDO (001d4000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d4800004007) TDO (001d4400004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d4c00004003) TDO (001d4800004005) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d5000004003) TDO (001d4c00004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d8161022003) TDO (001d5000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d8501010203) TDO (001d8161022001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d8800000003) TDO (001d8501010201) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d8c00000003) TDO (001d8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001d9001030103) TDO (001d8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001da000000003) TDO (001d9001030101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001da400000003) TDO (001da000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001da800000003) TDO (001da400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001dac00000003) TDO (001da800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001db000000003) TDO (001dac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001dc000000007) TDO (001db000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001dc400000003) TDO (001dc000000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (001dc800000003) TDO (001dc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001dcc00000003) TDO (001dc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001dd000000003) TDO (001dcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e0001000003) TDO (001dd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e0400010003) TDO (001e0001000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e0800000003) TDO (001e0400010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e0c00000003) TDO (001e0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e1200010103) TDO (001e0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e2000000003) TDO (001e1200010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e2400000003) TDO (001e2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e2800000003) TDO (001e2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e2c00000003) TDO (001e2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e3000000003) TDO (001e2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e4000000003) TDO (001e3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e4400000003) TDO (001e4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e4800000003) TDO (001e4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e4c00000003) TDO (001e4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e5000000003) TDO (001e4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e8282020003) TDO (001e5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e8408030303) TDO (001e8282020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e8800000003) TDO (001e8408030301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e8c00000003) TDO (001e8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001e9000010003) TDO (001e8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ea080000003) TDO (001e9000010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ea438800003) TDO (001ea080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ea800000003) TDO (001ea438800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001eac00000003) TDO (001ea800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001eb000000003) TDO (001eac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ec080000003) TDO (001eb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ec40c000403) TDO (001ec080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ec800000003) TDO (001ec40c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ecc00000003) TDO (001ec800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001ed000000003) TDO (001ecc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f0102010103) TDO (001ed000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f0502010303) TDO (001f0102010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f0800000003) TDO (001f0502010301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f0c00000003) TDO (001f0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f1100010103) TDO (001f0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f2000000003) TDO (001f1100010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f2400000003) TDO (001f2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f2800000003) TDO (001f2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f2c00000003) TDO (001f2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f3000000003) TDO (001f2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f4000000003) TDO (001f3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f4400000003) TDO (001f4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f4800000003) TDO (001f4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f4c00000003) TDO (001f4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f5000000003) TDO (001f4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f8101000303) TDO (001f5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f8400010103) TDO (001f8101000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f8800000003) TDO (001f8400010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f8c00000003) TDO (001f8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001f9001010003) TDO (001f8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fa000000003) TDO (001f9001010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fa400000003) TDO (001fa000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fa800000003) TDO (001fa400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fac00000003) TDO (001fa800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fb000000003) TDO (001fac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fc000000003) TDO (001fb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fc400000003) TDO (001fc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fc800000003) TDO (001fc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fcc00000003) TDO (001fc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (001fd000000003) TDO (001fcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00200101000003) TDO (001fd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00200500010003) TDO (00200101000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00200800000003) TDO (00200500010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00200c00000003) TDO (00200800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00201101010103) TDO (00200c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00202000000003) TDO (00201101010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00202400800003) TDO (00202000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00202800000003) TDO (00202400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00202c00000003) TDO (00202800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00203000000003) TDO (00202c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00204000000003) TDO (00203000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00204400000003) TDO (00204000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00204800000003) TDO (00204400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00204c00000003) TDO (00204800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00205000000003) TDO (00204c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00208003010103) TDO (00205000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00208502010003) TDO (00208003010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00208800000003) TDO (00208502010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00208c00000003) TDO (00208800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00209001010103) TDO (00208c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020a000000003) TDO (00209001010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020a400000003) TDO (0020a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020a800000003) TDO (0020a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020ac00000003) TDO (0020a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020b000000003) TDO (0020ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020c000000003) TDO (0020b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020c400000003) TDO (0020c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020c800000003) TDO (0020c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020cc00000003) TDO (0020c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0020d000000003) TDO (0020cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00210201000003) TDO (0020d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00210401010203) TDO (00210201000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00210800000003) TDO (00210401010201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00210c00000003) TDO (00210800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00211001010103) TDO (00210c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00212000000003) TDO (00211001010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00212400000003) TDO (00212000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00212800000003) TDO (00212400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00212c00000003) TDO (00212800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00213000000003) TDO (00212c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00214000000003) TDO (00213000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00214400000003) TDO (00214000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00214800000003) TDO (00214400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00214c00000003) TDO (00214800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021500000000b) TDO (00214c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00218181010103) TDO (00215000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00218508000003) TDO (00218181010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00218800000203) TDO (00218508000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00218c00000003) TDO (00218800000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00219101000103) TDO (00218c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021a080000003) TDO (00219101000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021a438000003) TDO (0021a080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021a800000003) TDO (0021a438000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021ac00000003) TDO (0021a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021b000000003) TDO (0021ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021c080000003) TDO (0021b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021c40c000403) TDO (0021c080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021c800000003) TDO (0021c40c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021cc0000000b) TDO (0021c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0021d000000003) TDO (0021cc00000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00220000000203) TDO (0021d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00220400000003) TDO (00220000000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00220800000003) TDO (00220400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00220c00000003) TDO (00220800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00221000020003) TDO (00220c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00222000000003) TDO (00221000020001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00222400000003) TDO (00222000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00222800000003) TDO (00222400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00222c00000003) TDO (00222800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00223000000003) TDO (00222c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00224000000003) TDO (00223000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00224400000003) TDO (00224000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00224800000003) TDO (00224400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00224c00000003) TDO (00224800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00225000000003) TDO (00224c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00228000020203) TDO (00225000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00228400020203) TDO (00228000020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00228800000003) TDO (00228400020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00228c00000003) TDO (00228800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00229000020203) TDO (00228c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022a000000003) TDO (00229000020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022a400800003) TDO (0022a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022a800000003) TDO (0022a400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022ac00000003) TDO (0022a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022b000000003) TDO (0022ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022c000000003) TDO (0022b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022c400000003) TDO (0022c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022c800000003) TDO (0022c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022cc00000003) TDO (0022c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0022d000000003) TDO (0022cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00230001010103) TDO (0022d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00230400000103) TDO (00230001010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00230800000003) TDO (00230400000101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00230c00000003) TDO (00230800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00231200000003) TDO (00230c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00232000400003) TDO (00231200000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00232400000003) TDO (00232000400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00232800000003) TDO (00232400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00232c00000003) TDO (00232800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00233000000003) TDO (00232c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00234000000003) TDO (00233000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00234400400003) TDO (00234000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00234800c00003) TDO (00234400400001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00234c00000003) TDO (00234800c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00235000000003) TDO (00234c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023800080022b) TDO (00235000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00238400000203) TDO (00238000800229) ; -RUNTEST 1 TCK; -SDR 50 TDI (00238800000023) TDO (00238400000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00238c00000003) TDO (00238800000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00239200000003) TDO (00238c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023a0000000bf) TDO (00239200000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023a400000007) TDO (0023a0000000bd) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023a8008000bb) TDO (0023a400000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023ac00000003) TDO (0023a8008000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023b000000003) TDO (0023ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023c00000004f) TDO (0023b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023c400000403) TDO (0023c00000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023c80000004f) TDO (0023c400000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023cc00000003) TDO (0023c80000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (0023d000000003) TDO (0023cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00240000000003) TDO (0023d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00240400000003) TDO (00240000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00240800000203) TDO (00240400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00240c00000003) TDO (00240800000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00241102000003) TDO (00240c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024200000e003) TDO (00241102000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024240000e003) TDO (0024200000e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024280000e023) TDO (0024240000e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00242c0000e003) TDO (0024280000e021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00243000000003) TDO (00242c0000e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00244000002003) TDO (00243000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00244400002003) TDO (00244000002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00244800006003) TDO (00244400002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00244c00006003) TDO (00244800006001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00245000004003) TDO (00244c00006001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00248000020203) TDO (00245000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00248400020203) TDO (00248000020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00248800000003) TDO (00248400020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00248c00000003) TDO (00248800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00249200020203) TDO (00248c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024a000000023) TDO (00249200020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024a400000003) TDO (0024a000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024a800000003) TDO (0024a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024ac00000003) TDO (0024a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024b000004003) TDO (0024ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024c000004003) TDO (0024b000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024c400004003) TDO (0024c000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024c800000003) TDO (0024c400004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024cc00000003) TDO (0024c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0024d000000003) TDO (0024cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00250002020203) TDO (0024d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00250402000003) TDO (00250002020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00250800000003) TDO (00250402000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00250c00000003) TDO (00250800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00251002020203) TDO (00250c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00252000000003) TDO (00251002020201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00252400000003) TDO (00252000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00252800000003) TDO (00252400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00252c00000003) TDO (00252800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00253000000003) TDO (00252c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00254000000003) TDO (00253000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00254400000003) TDO (00254000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00254800000003) TDO (00254400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00254c00000003) TDO (00254800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00255000000003) TDO (00254c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00258101030103) TDO (00255000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00258403000303) TDO (00258101030101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00258800000003) TDO (00258403000301) ; -RUNTEST 1 TCK; -SDR 50 TDI (00258c00000003) TDO (00258800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00259003020303) TDO (00258c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025a000000003) TDO (00259003020301) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025a400000003) TDO (0025a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025a800000003) TDO (0025a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025ac00000003) TDO (0025a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025b000000003) TDO (0025ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025c004000003) TDO (0025b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025c400000003) TDO (0025c004000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025c800000003) TDO (0025c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025cc00000003) TDO (0025c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0025d000000003) TDO (0025cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00260080000003) TDO (0025d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00260408000003) TDO (00260080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00260800000203) TDO (00260408000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00260c00000003) TDO (00260800000201) ; -RUNTEST 1 TCK; -SDR 50 TDI (00261200000003) TDO (00260c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00262080000003) TDO (00261200000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00262438800003) TDO (00262080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00262800000083) TDO (00262438800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00262c00000003) TDO (00262800000081) ; -RUNTEST 1 TCK; -SDR 50 TDI (00263000000003) TDO (00262c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00264000000003) TDO (00263000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026440c000403) TDO (00264000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00264800000003) TDO (0026440c000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (00264c00000003) TDO (00264800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00265000000003) TDO (00264c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00268000000003) TDO (00265000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00268400000003) TDO (00268000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00268800000003) TDO (00268400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00268c00000003) TDO (00268800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00269000000003) TDO (00268c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026a000000083) TDO (00269000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026a400000003) TDO (0026a000000081) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026a800000003) TDO (0026a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026ac00000003) TDO (0026a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026b000000003) TDO (0026ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026c000000003) TDO (0026b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026c400000003) TDO (0026c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026c800000003) TDO (0026c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026cc00000003) TDO (0026c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0026d000000003) TDO (0026cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00270101010103) TDO (0026d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00270401010003) TDO (00270101010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00270800000003) TDO (00270401010001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00270c00000003) TDO (00270800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00271000000003) TDO (00270c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00272000000003) TDO (00271000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00272501010103) TDO (00272000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00272800000003) TDO (00272501010101) ; -RUNTEST 1 TCK; -SDR 50 TDI (00272c00000003) TDO (00272800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00273000000003) TDO (00272c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00274000000003) TDO (00273000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00274400000003) TDO (00274000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00274800000043) TDO (00274400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00274c00000003) TDO (00274800000041) ; -RUNTEST 1 TCK; -SDR 50 TDI (00275000000003) TDO (00274c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00278000000003) TDO (00275000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00278400000003) TDO (00278000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00278800000003) TDO (00278400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00278c00000003) TDO (00278800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00279000000003) TDO (00278c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027a000000003) TDO (00279000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027a400800003) TDO (0027a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027a800000003) TDO (0027a400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027ac00000003) TDO (0027a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027b000000003) TDO (0027ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027c000000043) TDO (0027b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027c400000003) TDO (0027c000000041) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027c800000003) TDO (0027c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027cc00000003) TDO (0027c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0027d000000003) TDO (0027cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00280000000003) TDO (0027d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00280400000003) TDO (00280000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00280800000003) TDO (00280400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00280c00000003) TDO (00280800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00281000000003) TDO (00280c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00282000000003) TDO (00281000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00282400000003) TDO (00282000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00282800000003) TDO (00282400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00282c00000003) TDO (00282800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00283000000003) TDO (00282c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00284000000003) TDO (00283000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00284400000003) TDO (00284000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00284800000003) TDO (00284400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00284c00000003) TDO (00284800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00285000000003) TDO (00284c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00288000000003) TDO (00285000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00288400000003) TDO (00288000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00288800000003) TDO (00288400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00288c00000003) TDO (00288800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00289000000003) TDO (00288c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028a000000003) TDO (00289000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028a400000003) TDO (0028a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028a800000003) TDO (0028a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028ac00000003) TDO (0028a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028b000000003) TDO (0028ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028c000000023) TDO (0028b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028c400000003) TDO (0028c000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028c800000003) TDO (0028c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028cc00000003) TDO (0028c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0028d000000003) TDO (0028cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00290000000003) TDO (0028d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00290400000003) TDO (00290000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00290800000003) TDO (00290400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00290c00000003) TDO (00290800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00291000000003) TDO (00290c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00292000000003) TDO (00291000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00292400000003) TDO (00292000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00292800000003) TDO (00292400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00292c00000003) TDO (00292800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00293000000003) TDO (00292c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00294000000003) TDO (00293000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00294400000003) TDO (00294000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00294800000003) TDO (00294400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00294c00000003) TDO (00294800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00295000000003) TDO (00294c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00298000000003) TDO (00295000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00298400000003) TDO (00298000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00298800000003) TDO (00298400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00298c00000003) TDO (00298800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00299000000003) TDO (00298c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029a000000003) TDO (00299000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029a400000003) TDO (0029a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029a800000003) TDO (0029a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029ac00000003) TDO (0029a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029b000000003) TDO (0029ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029c000000003) TDO (0029b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029c400000003) TDO (0029c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029c800000003) TDO (0029c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029cc00000003) TDO (0029c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0029d000000003) TDO (0029cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a0000000003) TDO (0029d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a0400000003) TDO (002a0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a0800000003) TDO (002a0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a0c00000003) TDO (002a0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a1000000003) TDO (002a0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a2000000003) TDO (002a1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a2400000003) TDO (002a2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a2800000003) TDO (002a2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a2c00000003) TDO (002a2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a3000000003) TDO (002a2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a4000000003) TDO (002a3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a4400000003) TDO (002a4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a4800000003) TDO (002a4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a4c00000003) TDO (002a4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a5000000003) TDO (002a4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a8000000003) TDO (002a5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a8400000003) TDO (002a8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a8800000003) TDO (002a8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a8c00000003) TDO (002a8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002a9000000003) TDO (002a8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002aa000000003) TDO (002a9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002aa400800003) TDO (002aa000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002aa800000003) TDO (002aa400800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002aac00000003) TDO (002aa800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ab000000003) TDO (002aac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ac000000003) TDO (002ab000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ac400000003) TDO (002ac000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ac800000003) TDO (002ac400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002acc00000003) TDO (002ac800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ad000000003) TDO (002acc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b0080000003) TDO (002ad000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b0400000003) TDO (002b0080000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b0800000003) TDO (002b0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b0c00000003) TDO (002b0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b1000000003) TDO (002b0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b2000000003) TDO (002b1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b2400000003) TDO (002b2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b2800000003) TDO (002b2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b2c00000003) TDO (002b2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b3000000003) TDO (002b2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b4000000003) TDO (002b3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b4400000003) TDO (002b4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b480000000b) TDO (002b4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b4c00000003) TDO (002b4800000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b5000000003) TDO (002b4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b8000000003) TDO (002b5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b8400000003) TDO (002b8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b8800000003) TDO (002b8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b8c00000003) TDO (002b8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002b9000000003) TDO (002b8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ba000000003) TDO (002b9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ba400000003) TDO (002ba000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ba800000003) TDO (002ba400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bac00000003) TDO (002ba800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bb000000003) TDO (002bac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bc00000000b) TDO (002bb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bc400000003) TDO (002bc000000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bc800000003) TDO (002bc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bcc00000003) TDO (002bc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002bd000000003) TDO (002bcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c0000000003) TDO (002bd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c0400000003) TDO (002c0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c0800000003) TDO (002c0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c0c00000003) TDO (002c0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c1000000003) TDO (002c0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c2000000003) TDO (002c1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c2400000003) TDO (002c2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c2800000003) TDO (002c2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c2c00000003) TDO (002c2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c3000000083) TDO (002c2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c4000000003) TDO (002c3000000081) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c4400000003) TDO (002c4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c4800000003) TDO (002c4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c4c00000003) TDO (002c4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c5000000003) TDO (002c4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c8000000003) TDO (002c5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c8400000003) TDO (002c8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c8800000003) TDO (002c8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c8c00000003) TDO (002c8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002c9000000003) TDO (002c8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ca000000003) TDO (002c9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ca400000003) TDO (002ca000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ca800000003) TDO (002ca400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002cac00000083) TDO (002ca800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002cb000000003) TDO (002cac00000081) ; -RUNTEST 1 TCK; -SDR 50 TDI (002cc000000003) TDO (002cb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002cc400000003) TDO (002cc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002cc800000003) TDO (002cc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ccc00000003) TDO (002cc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002cd000000003) TDO (002ccc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d0000000003) TDO (002cd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d0400000003) TDO (002d0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d0800000003) TDO (002d0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d0c00000003) TDO (002d0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d1000000003) TDO (002d0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d2000000003) TDO (002d1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d2400000007) TDO (002d2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d2800000003) TDO (002d2400000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d2c00000007) TDO (002d2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d3000000003) TDO (002d2c00000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d4000000003) TDO (002d3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d4400000003) TDO (002d4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d4800000003) TDO (002d4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d4c00000003) TDO (002d4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d5000000003) TDO (002d4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d8000000003) TDO (002d5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d8400000003) TDO (002d8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d8800000003) TDO (002d8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d8c00000003) TDO (002d8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002d9000000003) TDO (002d8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002da000000007) TDO (002d9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002da400000003) TDO (002da000000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (002da800000007) TDO (002da400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002dac00000003) TDO (002da800000005) ; -RUNTEST 1 TCK; -SDR 50 TDI (002db000000003) TDO (002dac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002dc000000403) TDO (002db000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002dc400000003) TDO (002dc000000401) ; -RUNTEST 1 TCK; -SDR 50 TDI (002dc800000003) TDO (002dc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002dcc00000003) TDO (002dc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002dd000000003) TDO (002dcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e0000000003) TDO (002dd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e0400000003) TDO (002e0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e0800000003) TDO (002e0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e0c00000003) TDO (002e0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e1000000003) TDO (002e0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e2000000003) TDO (002e1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e2400000003) TDO (002e2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e2800000003) TDO (002e2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e2c00000003) TDO (002e2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e3000000003) TDO (002e2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e4000000003) TDO (002e3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e4400000003) TDO (002e4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e4800000003) TDO (002e4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e4c00000003) TDO (002e4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e5000000003) TDO (002e4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e8000000003) TDO (002e5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e8400000003) TDO (002e8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e8800000003) TDO (002e8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e8c00000003) TDO (002e8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002e9000000003) TDO (002e8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ea000000003) TDO (002e9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ea400000003) TDO (002ea000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ea80000e007) TDO (002ea400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002eac0000e007) TDO (002ea80000e005) ; -RUNTEST 1 TCK; -SDR 50 TDI (002eb000000003) TDO (002eac0000e005) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ec000000003) TDO (002eb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ec400000003) TDO (002ec000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ec800002003) TDO (002ec400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ecc00002003) TDO (002ec800002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002ed000004003) TDO (002ecc00002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f0000000003) TDO (002ed000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f0400000003) TDO (002f0000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f0800000003) TDO (002f0400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f0c00000003) TDO (002f0800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f1000000003) TDO (002f0c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f2000000003) TDO (002f1000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f2400000003) TDO (002f2000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f2800000003) TDO (002f2400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f2c00000003) TDO (002f2800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f3000000003) TDO (002f2c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f4000000003) TDO (002f3000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f4400000003) TDO (002f4000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f4800000003) TDO (002f4400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f4c00000003) TDO (002f4800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f5000000003) TDO (002f4c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f8000000003) TDO (002f5000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f8400000003) TDO (002f8000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f8800000003) TDO (002f8400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f8c00000003) TDO (002f8800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002f9000000003) TDO (002f8c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fa010000003) TDO (002f9000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fa400000003) TDO (002fa010000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fa800000003) TDO (002fa400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fac00000003) TDO (002fa800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fb000000003) TDO (002fac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fc000000003) TDO (002fb000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fc400000003) TDO (002fc000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fc800000003) TDO (002fc400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fcc00000003) TDO (002fc800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (002fd000000003) TDO (002fcc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00300000000003) TDO (002fd000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00300400000003) TDO (00300000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00300800000003) TDO (00300400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00300c00000003) TDO (00300800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00301000000003) TDO (00300c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00302000000003) TDO (00301000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00302400000003) TDO (00302000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00302800000003) TDO (00302400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00302c00000003) TDO (00302800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00303000000023) TDO (00302c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00304000000003) TDO (00303000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00304400000003) TDO (00304000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00304800000003) TDO (00304400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00304c00000003) TDO (00304800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00305000000003) TDO (00304c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00308000000003) TDO (00305000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00308400000003) TDO (00308000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00308800000003) TDO (00308400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00308c00000003) TDO (00308800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00309000000003) TDO (00308c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030a020000003) TDO (00309000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030a400000003) TDO (0030a020000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030a800000003) TDO (0030a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030ac00000023) TDO (0030a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030b000000003) TDO (0030ac00000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030c000000003) TDO (0030b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030c400000003) TDO (0030c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030c800000003) TDO (0030c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030cc00000003) TDO (0030c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0030d000000003) TDO (0030cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00310000000003) TDO (0030d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00310400000003) TDO (00310000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00310800000003) TDO (00310400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00310c00000003) TDO (00310800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00311000000023) TDO (00310c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00312000000003) TDO (00311000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00312400000003) TDO (00312000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00312800000003) TDO (00312400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00312c00000003) TDO (00312800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00313000000003) TDO (00312c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00314000000003) TDO (00313000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00314400000003) TDO (00314000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00314800000003) TDO (00314400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00314c00000003) TDO (00314800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00315000000003) TDO (00314c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00318000000003) TDO (00315000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00318400000003) TDO (00318000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00318800000003) TDO (00318400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00318c00000023) TDO (00318800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00319000000003) TDO (00318c00000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031a000800003) TDO (00319000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031a400000003) TDO (0031a000800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031a800000003) TDO (0031a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031ac00000003) TDO (0031a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031b000000003) TDO (0031ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031c000000003) TDO (0031b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031c400000003) TDO (0031c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031c800000003) TDO (0031c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031cc00000003) TDO (0031c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0031d000000003) TDO (0031cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00320000000003) TDO (0031d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032040000000b) TDO (00320000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00320800000003) TDO (00320400000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00320c00000023) TDO (00320800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00321000000023) TDO (00320c00000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00322000000003) TDO (00321000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00322400000003) TDO (00322000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00322800000003) TDO (00322400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00322c000000bb) TDO (00322800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (003230000040bb) TDO (00322c000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (00324000004003) TDO (003230000040b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (00324400004003) TDO (00324000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00324800004003) TDO (00324400004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00324c0000404f) TDO (00324800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032500000404f) TDO (00324c0000404d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00328000002003) TDO (0032500000404d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00328400000003) TDO (00328000002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00328800000003) TDO (00328400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00328c00000003) TDO (00328800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00329000000003) TDO (00328c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032a008000003) TDO (00329000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032a400000003) TDO (0032a008000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032a800000003) TDO (0032a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032ac00000003) TDO (0032a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032b000000003) TDO (0032ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032c000000003) TDO (0032b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032c400000003) TDO (0032c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032c800000003) TDO (0032c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032cc00000003) TDO (0032c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0032d000000003) TDO (0032cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033000000002b) TDO (0032d000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00330400000003) TDO (00330000000029) ; -RUNTEST 1 TCK; -SDR 50 TDI (00330800800023) TDO (00330400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00330c00800003) TDO (00330800800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (00331000000003) TDO (00330c00800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033200000e0bf) TDO (00331000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033240040e007) TDO (0033200000e0bd) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033280000e0bf) TDO (0033240040e005) ; -RUNTEST 1 TCK; -SDR 50 TDI (00332c0000e007) TDO (0033280000e0bd) ; -RUNTEST 1 TCK; -SDR 50 TDI (00333000004003) TDO (00332c0000e005) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033400000204f) TDO (00333000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00334400002003) TDO (0033400000204d) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033480000204f) TDO (00334400002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00334c00c06003) TDO (0033480000204d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00335000004003) TDO (00334c00c06001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00338000000003) TDO (00335000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00338400000003) TDO (00338000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00338800000003) TDO (00338400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00338c00000023) TDO (00338800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00339000800023) TDO (00338c00000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033a000000003) TDO (00339000800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033a400000003) TDO (0033a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033a800800003) TDO (0033a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033ac000000bb) TDO (0033a800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033b0000000bb) TDO (0033ac000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033c000004003) TDO (0033b0000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033c400000003) TDO (0033c000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033c800004003) TDO (0033c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033cc0000004f) TDO (0033c800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0033d000c0004f) TDO (0033cc0000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00340000000003) TDO (0033d000c0004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034040000000b) TDO (00340000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00340800800003) TDO (00340400000009) ; -RUNTEST 1 TCK; -SDR 50 TDI (00340c00800023) TDO (00340800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00341000000023) TDO (00340c00800021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034200000e003) TDO (00341000000021) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034240040e003) TDO (0034200000e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034280000e003) TDO (0034240040e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00342c0000e0bb) TDO (0034280000e001) ; -RUNTEST 1 TCK; -SDR 50 TDI (003430000000bb) TDO (00342c0000e0b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (00344000002003) TDO (003430000000b9) ; -RUNTEST 1 TCK; -SDR 50 TDI (00344400006003) TDO (00344000002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00344800002003) TDO (00344400006001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00344c00c0204f) TDO (00344800002001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034500000004f) TDO (00344c00c0204d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00348000000003) TDO (0034500000004d) ; -RUNTEST 1 TCK; -SDR 50 TDI (00348400000003) TDO (00348000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00348800000003) TDO (00348400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00348c00000003) TDO (00348800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00349000800003) TDO (00348c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034a000000003) TDO (00349000800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034a400000003) TDO (0034a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034a800800003) TDO (0034a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034ac00000003) TDO (0034a800800001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034b000004003) TDO (0034ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034c000000003) TDO (0034b000004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034c400000003) TDO (0034c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034c800004003) TDO (0034c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034cc00000003) TDO (0034c800004001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0034d000c00003) TDO (0034cc00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00350000000003) TDO (0034d000c00001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00350400000003) TDO (00350000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00350800000003) TDO (00350400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00350c00000003) TDO (00350800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00351000000003) TDO (00350c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00352000000003) TDO (00351000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00352400000003) TDO (00352000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00352800000003) TDO (00352400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00352c00000003) TDO (00352800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00353000000003) TDO (00352c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00354000000003) TDO (00353000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00354400000003) TDO (00354000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00354800000003) TDO (00354400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00354c00000003) TDO (00354800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00355000000043) TDO (00354c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00358008000003) TDO (00355000000041) ; -RUNTEST 1 TCK; -SDR 50 TDI (00358400000003) TDO (00358008000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00358800000003) TDO (00358400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00358c00000003) TDO (00358800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (00359000000003) TDO (00358c00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035a000000003) TDO (00359000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035a400000003) TDO (0035a000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035a800000003) TDO (0035a400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035ac00000003) TDO (0035a800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035b000000003) TDO (0035ac00000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035c000000003) TDO (0035b000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035c400000003) TDO (0035c000000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035c800000003) TDO (0035c400000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035cc00000043) TDO (0035c800000001) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035d000000003) TDO (0035cc00000041) ; -RUNTEST 1 TCK; -SDR 50 TDI (0035d000000003) TDO (0035d000000001) ; -//Loading device with 'ispen' instruction. -SIR 8 TDI (e8) ; -SDR 6 TDI (05) SMASK (3f) ; -//Loading device with 'bypass' instruction. -SIR 8 TDI (ff) ; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -// Loading devices with 'conld' or 'bypass' instruction. -SIR 8 TDI (f0) ; -RUNTEST 100 TCK; -TIR 0 ; -HIR 0 ; -HDR 0 ; -TDR 0 ; -TIR 0 ; -HIR 0 ; -TDR 0 ; -HDR 0 ; -SIR 8 TDI (ff) ; -SDR 1 TDI (00) SMASK (01) ;