From 15ff6cea5ba4dc39b7b686cf9e6d5e22658af369 Mon Sep 17 00:00:00 2001 From: UzixLS Date: Sun, 17 May 2020 11:48:26 +0300 Subject: [PATCH] wip --- LICENSE | 21 + README.md | 23 + cpld/clocks.sdc | 4 + cpld/top.v | 149 + cpld/zx-tsid.qpf | 30 + cpld/zx-tsid.qsf | 86 + out/pcb3d-bottom.png | Bin 0 -> 643441 bytes out/pcb3d-top.png | Bin 0 -> 866296 bytes pcb/zx-tsid-cache.lib | 555 ++++ pcb/zx-tsid.kicad_pcb | 6867 +++++++++++++++++++++++++++++++++++++++++ pcb/zx-tsid.pro | 249 ++ pcb/zx-tsid.sch | 1869 +++++++++++ 12 files changed, 9853 insertions(+) create mode 100644 LICENSE create mode 100644 README.md create mode 100644 cpld/clocks.sdc create mode 100644 cpld/top.v create mode 100644 cpld/zx-tsid.qpf create mode 100644 cpld/zx-tsid.qsf create mode 100644 out/pcb3d-bottom.png create mode 100644 out/pcb3d-top.png create mode 100644 pcb/zx-tsid-cache.lib create mode 100644 pcb/zx-tsid.kicad_pcb create mode 100644 pcb/zx-tsid.pro create mode 100644 pcb/zx-tsid.sch diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..09ce504 --- /dev/null +++ b/LICENSE @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2020 Eugene Lozovoy + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/README.md b/README.md new file mode 100644 index 0000000..7893534 --- /dev/null +++ b/README.md @@ -0,0 +1,23 @@ +## ZX-TSid +Sound card for ZX Spectrum edge connector (ZX-BUS). Contains MOS SID, YM2149 (AY-3-8910) and covox. + +### Current status +Work in progress, both pcb and firmware isn't finished yet and may contain serious issues. + +### SID +MOS-6581 or MOS-8580 may be installed, but with some cautions. This addon doesn't contain buffers between SID and bus connector, and that may cause SID's damage by ESD if no luck. As I have no real SID, I've checked this addon only with SwinSID. + +SID accessible via #xxCF port, where xx - SID's register number. + +### YM2149 (AY-3-8910) +Accessible by TurboSound standard as a second sound chip. ABC or ACB stereo panning selectable with onboard jumpers. + +### Covox +Monophonic covox accessible via #xxFB port (Pentagon standard). + +### Line input +You may connect your ZX Spectrum audio output to second 3.5mm jack and it will be mixed in sound card output signal. + +### Compatibility +SID and Covox (theoretically) should work with any ZX Spectrum containing edge connector (48K, 128K, +3, clones, etc.). +YM2149 uses IORQGE signal, which is incompletely implemented in original ZX Spectrums and most clones (such as Harlequin). Sizif-512 and Karabas-128 clones implements IORQGE in right way. diff --git a/cpld/clocks.sdc b/cpld/clocks.sdc new file mode 100644 index 0000000..cbe7fc2 --- /dev/null +++ b/cpld/clocks.sdc @@ -0,0 +1,4 @@ +create_clock -period 7.2MHz -name {clk} [get_ports {clk}] + +derive_clock_uncertainty +derive_clocks -period 7.2MHz diff --git a/cpld/top.v b/cpld/top.v new file mode 100644 index 0000000..7f3e9ec --- /dev/null +++ b/cpld/top.v @@ -0,0 +1,149 @@ +`define AY_ENABLE +`define AY_TURBOSOUND_MODE +`define SID_ENABLE +`define DAC_ENABLE +`define BEEPER_ENABLE + +module top( + input n_rst, + input clk, + input [7:0] a, + input a14, + input a15, + input [7:0] d, + input n_wr, + input n_m1, + input n_iorq, + output reg n_iorqge, + + output dac, + output reg ay_bc1, + output reg ay_bdir, + output reg ay_clk, + output reg sid_cs, + output sid_clk +); + +wire ioreq = n_iorq == 0 && n_m1 == 1'b1; + + +/* SID */ +`ifdef SID_ENABLE +wire port_cf = a == 8'hCF; +always @(posedge clk) + sid_cs <= ioreq && port_cf; + +reg [1:0] sid_clk0; +assign sid_clk = sid_clk0[1]; +always @(posedge clk or negedge n_rst) begin + if (!n_rst) + sid_clk0 <= 0; + else + sid_clk0 <= sid_clk0 + 1'b1; +end + +`else /* SID_ENABLE */ +wire port_cf = 0; +always @* sid_clk <= 0; +always @* sid_cs <= 0; + +`endif /* SID_ENABLE */ + + +/* AY */ +`ifdef AY_ENABLE +wire port_fffd = a15 == 1'b1 && a[1] == 0 ; +wire port_bffd = a15 == 1'b1 && a14 == 1'b1 && a[1] == 0; +reg ay_sel; +always @(posedge clk or negedge n_rst) begin + if (!n_rst) begin + ay_bc1 <= 0; + ay_bdir <= 0; + `ifdef AY_TURBOSOUND_MODE + ay_sel <= 0; + `else + ay_sel <= 1'b1; + `endif + end + else begin + ay_bc1 <= ioreq && port_bffd; + ay_bdir <= ioreq && port_fffd && n_wr == 1'b0; + `ifdef AY_TURBOSOUND_MODE + if (ioreq && port_fffd && n_wr == 1'b0 && d[7:3] == 5'b11111) + ay_sel <= d[2:0] == 3'b001; + `endif + end +end +always @(posedge clk or negedge n_rst) begin + if (!n_rst) + ay_clk <= 0; + else + ay_clk <= ~ay_clk; +end + +`else /* AY_ENABLE */ +wire port_fffd = 0; +wire port_bffd = 0; +wire ay_sel = 0; +always @* ay_bc1 <= 0; +always @* ay_bdir <= 0; + +`endif /* AY_ENABLE */ + + +/* BEEPER & TAPE OUT */ +`ifdef BEEPER_ENABLE +wire port_fe = a[0] == 0; +reg beeper, tape_out; +always @(posedge clk or negedge n_rst) begin + if (!n_rst) begin + beeper <= 1'b0; + tape_out <= 1'b0; + end + else if (ioreq && port_fe && n_wr == 1'b0) begin + beeper <= d[4]; + tape_out <= d[3]; + end +end + +`else /* BEEPER_ENABLE */ +wire beeper = 0; +wire tape_out = 0; + +`endif /* BEEPER_ENABLE */ + + +`ifdef DAC_ENABLE +/* COVOX */ +reg [7:0] covox_data; +wire port_fb = a == 8'hFB; +always @(posedge clk or negedge n_rst) begin + if (!n_rst) + covox_data <= 0; + else if (ioreq && port_fb && n_wr == 0) + covox_data <= d; +end + +reg [8:0] dac_acc; +assign dac = dac_acc[8]; +wire [8:0] dac_acc_next = covox_data + {1'b0, beeper, tape_out, 5'b00000}; +always @(posedge clk or negedge n_rst) begin + if (!n_rst) + dac_acc <= 0; + else + dac_acc <= dac_acc[7:0] + dac_acc_next[8:1]; +end + +`else /* DAC_ENABLE */ +wire port_fb = 0; +assign dac <= 1'bz; + +`endif /* DAC_ENABLE */ + + +/* BUS CONTROL */ +always @(posedge clk) + n_iorqge <= (port_cf || port_fb || ((port_bffd || port_fffd) && ay_sel))? 1'b1 : 1'bz; + + +endmodule diff --git a/cpld/zx-tsid.qpf b/cpld/zx-tsid.qpf new file mode 100644 index 0000000..e6f5a96 --- /dev/null +++ b/cpld/zx-tsid.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version +# Date created = 13:37:37 May 16, 2020 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "13:37:37 May 16, 2020" + +# Revisions + +PROJECT_REVISION = "zx-tsid" diff --git a/cpld/zx-tsid.qsf b/cpld/zx-tsid.qsf new file mode 100644 index 0000000..9b8ea49 --- /dev/null +++ b/cpld/zx-tsid.qsf @@ -0,0 +1,86 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 64-Bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version +# Date created = 13:37:37 May 16, 2020 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# zx-tsid_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY MAX3000A +set_global_assignment -name DEVICE "EPM3064ATC44-10" +set_global_assignment -name TOP_LEVEL_ENTITY top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:37:37 MAY 16, 2020" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 44 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name SDC_FILE clocks.sdc +set_global_assignment -name VERILOG_FILE top.v +set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 +set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 +set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name MAX7000_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_location_assignment PIN_8 -to a[0] +set_location_assignment PIN_10 -to d[7] +set_location_assignment PIN_12 -to a15 +set_location_assignment PIN_13 -to a14 +set_location_assignment PIN_14 -to d[0] +set_location_assignment PIN_15 -to d[1] +set_location_assignment PIN_18 -to d[2] +set_location_assignment PIN_19 -to dac +set_location_assignment PIN_20 -to sid_clk +set_location_assignment PIN_21 -to sid_cs +set_location_assignment PIN_22 -to ay_clk +set_location_assignment PIN_37 -to clk +set_location_assignment PIN_23 -to ay_bdir +set_location_assignment PIN_25 -to ay_bc1 +set_location_assignment PIN_27 -to n_m1 +set_location_assignment PIN_28 -to a[4] +set_location_assignment PIN_31 -to a[5] +set_location_assignment PIN_33 -to a[6] +set_location_assignment PIN_34 -to a[7] +set_location_assignment PIN_35 -to n_iorqge +set_location_assignment PIN_38 -to n_wr +set_location_assignment PIN_40 -to n_iorq +set_location_assignment PIN_2 -to a[2] +set_location_assignment PIN_3 -to d[5] +set_location_assignment PIN_5 -to a[1] +set_location_assignment PIN_6 -to d[6] +set_location_assignment PIN_42 -to d[4] +set_location_assignment PIN_43 -to a[3] +set_location_assignment PIN_44 -to d[3] +set_location_assignment PIN_39 -to n_rst \ No newline at end of file diff --git a/out/pcb3d-bottom.png b/out/pcb3d-bottom.png new file mode 100644 index 0000000000000000000000000000000000000000..a51da978b448e29ea17cb1e4c747f2d307a1d551 GIT binary patch literal 643441 zcmdqJ2UJtrw>Qc;7LK4IBA_6kND+{t^w1P3(xpq6-U&!2K#p?gO-kqi=`}=3fB+#1 z0#YLcLJ!hw=n0*?{NLyK{{QjD9rxb%?j7Iz#J1te z8Y(KP8)~nV^r)z)Z&OiS8vEmS%9%e+Gw)Hpu6e#P@us4>+3}C}Z{5H$J1VLNRBB2u z3<7dCXWT#DR|}mz1RMEcep)*;Q`6DDWp0{672C}Y+3h$b)pKWAU*+TbUfBPLuaCay zpsS(kk5h=%8~A-r!B`rzhdpT>^n0wswTw4qoc8V(2|n9;%WSYRDLy7 z%lEKyq8Z&=>gex5->Z5nLNm5<+4~Q@rQ9C1@CyiRUK+-WyZ(!~45qmM>|7Hn%oL+NbsqovrQ^;2gGu@q zvAZy0oaJg9e$Dzz{oDF~S0fTCT(e_5z5Z5<|2{7Lza>eR_$Y)vw3zOH5dO2@`roJf z-_+#)K$rgaCh4E{s#_VLy%8<`H!PG~`KRXUiccE+HTSnE4&ML&Vygcf=sy64e_;CV;?tk0B|3vbC>GB@}qkuZ~FKRTe{jbuLe+szF zP_`72`$pz(rBN4|{GYz;pLhM&eAmCs_}}VM6}D$0mv8iof-fUwFd?M(cyvYiCerMe(R*e@#nEdT2Cw-@p>h?f|>{mSE zCt*@elIpp*ASb8G_`MJvCwh8DV`-%U%%Axn#!|)R{krJi3>KFDK}KrLsBv_%$&YYczXLIDlT|?PT%7t3w?B|F zwE~eBsJCZZrO^#1Zyg;8>yUjQint8R-hc7Aa>@BFd&r6m5Z^p;wr-3jg$PT^AN?Hc zh)gsxN~>~#nBZV$!V`4;mp5Fuy8f1{}9+f_Wp(|hpxUbNbJGCN?XA(wQ*bp5l=!}P_3hu9zqc-7++V!d3WNrI1ADAs9qim z8zZ?O!bHYlV*teDR%6?F!c6Ac{3f{VWIY{-4^~r}Z99)=R+uOoC9x~C%{q-38*N!3 zgSTLf_~6}?f#Tv*nW@Tj;js?S?K9+-=J_WhnJlPW22_snX>Jy>Gd)UcP59YJU@ZOU{)NwXVn3L?!-Ye;7sVTemrE9PS^rq3@41X%jr^Ee-@STzI(MrJvU;` z64t;G0YZ3!eTiRfM023h*E>+OD=l?(s>GA8X26jB_0eq3b&7pCIATx~RjI5@gj;~- zC?~2dKwme<9XPag{y=;-q&@7|@eDl1pM$n%nx%nhdX;RKUHtu)MXul6Kop9|mW}yQ zY(``{yWD@Bc%GR_VM%tTbk!)yHGj)zz&M{@IvD>{<4%&#nselAv#-!=9Y@ET%G(r< zYiJxYF?Uc{YSreWy#Wh4|HQP#NAw9i?&w_Z@9)3Z+dJ<|U$_3udbOo-_5#erC}WLJS+w}M^IZ3XOhPl|g3ea)O9G-4 zwP%&gMSJ?x>%+I@LxZ<_mrjP}9AFLTgQJU1WZ8`AB;L}*Euv3=Lr5q>UGB!nRyQ3- z$iYzL|1GtaAs0C{rbE5mqY&b#utIPE88<+Sm<;{or*QNWgpo5w|HC9^n>@G7dH?XE z*c{>nuX+C9kHfTdd2=(f=AdGxtDx-cM9SSzR}55t&&PY;s|8X2;}%S~#E}b>h@j)x zAFgXYIXYf}Llqjqhg%>5Y?O5j6Aj2H-=<(Baq^1V(HYjz zSnmV&5R#GveWo;k{tHOg6#%rf5eVMz)RE}$)Y)L;KCP>piA=juYc%jp)ce2tV$9lH5sXsM5A+N2;#L5YNQA}TY}qw;)w zQ`g$_2-=8`Dj+n@hRVq4nZn|M;8VkWozq>y6cCRlR|f`retxPyD=ii9Ow{{mb!euu zb7%E4@ni#dpS=NYULA720Y@Foe<%w>j3%YwR8()N$a_{7`?aMNczE!d1~5MV>6h}uO7w|;mw~s}8w)^O zQQmtI(x!uXjN|2^1{I+tdBkJ?<{bPLNR_T!Zhi*-g_V4Wwvj|3*sZ^;`z=#s*-|cB z(8$0iSE&B8iU1mgruDPj`~`HYjE?nIfTIk4)pYjAgpfIZ?^6}|Fr(^hNNvsgm1pSr znexjKxo2(OseTtT-D_}{yb)8H79v&M;agPws+)ZY`EIn8K)2LwFjY81o#7|nTFlKK z29*i)Rd3OTcl<`?ry|jZhZoHE&RvD6sJ?u>=(RfjT3dRQB=2d{D=#dSw=nvp#_}v5 zu^D;To1Iav$h8D;aNsX_k&F4!sZ2svhlNbOvw29+&`hW-5R$o}+cUe+a^iqKK50r* z;Pg03P9sWgzmkt*{vF{cB?>*ON?DSg)`(GBEE4i7N73m+GM%kJg}3Q-xl`CdU?Y>! zULoJ%5k4{Q_s+m`aWRE~sAt&sm-IDu2`?L2I}=+$CwvnRsHlF%QKEa0h3L&MA7wdc z-VIIeUhOmNvI!|h42nLIVJu2=U%Qq1uwt{ctmxh&>`LiG4DEeVAmQb9#>7{K#d7iI zvI^zQk9FPxz832Y8*JZWJZaWmd~3y+<7V6>V+`LN4cU&lxYk|En_l&Ggr^h}7ivXF zG$B0Df5ZD4!gS@uP9*qfN?~6VJflDhx<*Bn!9z(^UB$Y{-3uwkKTKaZS^Obtm+?h4 z&5qd0_jclbNV>MALKxO(&)=(d{pRX~T*r*1l2S?OrBlsy-V5iGO}mnJRp+ZkH^vXj zt*{r`3}IJF7FYyk#{A!6Rv&uD#Chj!H`-vYdYAukt_nVBL7z_od1r4_wkxyc2*(SA z=IEkq)D7~OV?<_4mU$Z5)c8nzotxIIBdgLJRyboNx3&b)1Gs`wGA4$-QpYwIC5lvU|%%a_0=D@s%6 ztIG^RBl*+rWM${+@qxUv3OOy|n<1sOMiPPE&;u=rnmyliQ1tCnjhmqP`imht!1LL> zze2aV<;h6&nT9R!#-F#pm3(=N4_LsQU{0fyO!F^aivg-Kgi(qc^F+WMB8e? zH<{=pc-zOP2r+CbP^`2eRy;JRp1!x;P_K_3ys*XO3d;3p62AY4wk6yVDIYM)(5l+p zFn@y$qCpI6o7LqAG^*e_&K_nL*|ymSCDhowGQZ90%I0VtKDoDBgY!$A>JCjV4$?8# zKFbDbdv$9z_zTI8Kr)lT=Cx}+uGt&)BF6eGic>OCeuq33Pc(UO;iw+9`v{B5HC(Wx zTkNi%%y;Jm^hrGT)KqFhDA_y?dH#`yM1s#i>S~);}I;@BGb{2WM;7%4(z8>PJ*1!@a}#!Chk%fidbZp`{CEqH$(7pzWqLa zVzViT1|G|xFo8|<{r@kIqz*}CQiT{OJ9I*thFEqo3QINJ`)T}8v41Vs6z8% zc!+hBpi4tj3B9mk*WmcwT^ckB zQWwK`cr+^a%$s-gw@A&7PkT(E<1BN4^?nWgWi6dZm1-CaD!Bhiy74n|Mgnc_F({Z3 zJ_!bHxd{#mx^2~aE6@&e#(LA1jq}kN0zl)GBtT_H*yJziDvZ-lQNxyqZfoh{jw}w8XItaEz+~Em|ggLTy9NFdz4OzXwXXE9+*)&*$SbL4f4W>EG6l-r~&GibOBCyHsc`*CyTpnJn``t{;hqAOAMfYcTFas2|98@rP$N%}G3u7K5Px zgqQTAlg?8N!W1^P`#`j0%w!15=8tlvCYoZsZ_v+7P7Eg#=m>V=6rPPv%9u@T;*5+q zH3;!OV{~_HXZ}nvAUdqaS_Qk>5U8|c$;)FG+BKiai3#WD>>)=w(sFHAIbQXc?n(#J z+&Gfy?I~3(eJDs6jY^r`pUFEl)PFYatc0v1W>kq_>eoPQDk3wlh_47B_w%QhOL+J8 z!|De7E7${oRkE`jEe#h;DbW}UoNxmIXWH1NtWTnBC;mMq`5#Y+-CGgp@^XWq_fq>k@KYnZCB4r>=x$O^}f&`TB!IwBdO|P z7f4lCcm%PA$#(TV)I$GgCh~BYk4(alnH3Iz=VerPv(YEU;Ln?UZB0~H zch)Px^Mc#^7PC#F`uFC#P31Wh>UhrXDa*W1AZ?nq)n5B-sz3aBdW2VrE;TcY50MrM z-a6?oHzkC&*d@2vZA0OSxYc=|j_R-kf25+JqYoGmzGvog+pK!sp1VhD=5|t8DQK;_ zB!$5Okt}>ACOVCuBVb#YXH9gz@&zP^!~2D^@{J4b zr5jl3-oQ=R+|XV(D*eV=SxWdJ@~3d!$+!JfPu=vQZehDwYxocAFoSj zcpFjB!hA9w-!AX^N=DUq^wXwAc^*qlxSTP}aplb@)0C&LwHFfgkV>1ShEL=H#vgLL zqTl@b9gVC&9z=EbM)2kx|DJ1hCHjmR?2;mem^FU8uC4m^ zF>$`9oC>eI5D6$05QKnCyP+ z8O{<3RxuMjSx^zq^{-f%>h!rt4C&kcjal~Seg?oW8yIXhwogB0;k1kS0@KKCERpG= zS)(5fTPu-Ej2JtNwPBHJ(lA`XD(3}4I4`MxZfBY z^V+diHOSdKfnu8U1QC0Fva&M3tNL|6cl4>A*HDCwmzs6WbmQ%UdHO7*p{U%a9I;_Q zU6XiOvdW6%vE|mFbTSiamvq6llWl!$3ju0f{=itoC+%BRTwoi2Th|8oL0uFCYzuxP@Fuw z7JDZ->%qA*3>rD!-Qd?Z+BCN9#+Q3VU@-Rl%+J!q3{K7>I2RRN-5&OqoU0)vJgHZw ziG7*25MG3F@V`{Yv|agvkp?OemBD;ibPd_XRB4E7gFfM$Wjhw{JJdGyz}&X_yB45K zx%;;q(PDp65zUHvurDYQ7yUe;SA`C!!tI%C#V9uUZnoJeWVGw|-!khI+@@y@4FUaJzK;(bra?4JiM#49i)ZMyfB zUmsQ~`pA8NV$!c|Hq47S39A0gj+fLcor$(9X~VNRCmIQsB~EDb78^_ee@CVCQDv6D zyV!SIr_W6rc)&0TyejisBEN{Qqx=l@AL@%89r^7_WX(&4jJ%h%P3}f;-d0ZHvQ}YH z?#wUuz3mP6WqMz zY2Q8^FHW1>X|K?&Er~Jxm5O5vAx-_$$z5@HOr8Ya?Pln zH$QE9#R7#JwM4?c(Zkir>Du>=i>FyOp{7ffTC@utf);vA0@<#Yx#C~7jNL4Tb!bWZ zz6p1R8;*U#ejBO&x?Z|CVq2lLXScCBNP@a8Royo?(RZzj=90hH<;xp6iar^$I-1rY z7f@w1Rg520;Uk!LPEW?r8M5`3t0j9PYa0v$1`Vx>Yb@n$CF|nxez^y}gY_PS+>^DG zg~##FRL3lGzm&DIVG7z(u;$Z$j(nM0d&L~o3dMRyu@x#_&CCV7T!PBEuvkFPpNtqg zL}aPxrrL!YJj&tT^}nfdkcD(wd{ic1nQ0x8N zxE1~0kawK=Y#Axbu59T|UbZ$yf>=dfY|pQ8C^2+iTbq!7RFK;1@#6Kf=#QDC*Qlwu zHJ{L`D6DbkXU5wZxr*JinzG(>xj6}(b-HWg6^mE30KTVRPwPbMUflXtQ?B=351F@q zDC02_H1TIw4Ip&09~1*eJ=Wy1Pg(P;P+eJiygJC4k8W|B1RDvCMAi6DW(wgFp-S>02w_B`B!E061J;Ut> z{<}yCu1jXv_RWl1foQX3Gb8KXMPVz^y-FVSRG-4K%18QpkVf)>ap;`FfWPFQyN@KU zL@Xz0{}f$)rNu8u6nb#&TVA+30C$Kl9Ppv>jpL4y8|dfdgY_jQe3kG|d!Q-el;?o0 z4~swh$tfyV$o~?f%T*vJ5Uo$m%R=+v_55{1SJ&=)om`h9fb4ykU3nV; z&iO)#z&%J4tDbA+XHWh;x;(6(px-v+jss*PI6n#{K4Y4ayJIPWZPvC+gq6=v&{R4Z zJt8Q&<_32j6RI_6_xo-oeK*d4^-@j1f!2lBK1J>|A%r+JV8o61z5(QwnthD<*yMFtaR=_nT>llm+WM;lCW1dwCLcUK9+xFRWe4LUHwK!8d;?6d^A@PR}izUUEa_m6b zRXN&~C+Yy!`O?jc0Y+2r)Yd%%AA>CofMLK#(B{^)aNr3|-{;A~WN42^95$xi3^i3MTpJqj`r-Lw}}#L;V~i{s{9~UgSNwv=nK$xm21+yK4d1d>wtyb-6$3 z47Rx&jkJ%m)1QDifk6wU>bQ~u#U&$W=4EyP|6@kj>+ti!D&_9`6RU)ohs~{0eY=fj zCR5i(U3=eG5Q^;{l^&w^Zu}|H@npc5#qVZWN(ev*A;g#(-n~}NRoR59LyVY8YFN%p zy2;J7iOs&raUG}CG&8GuD5QydNR|olQgK)odTC7zGO`ST(6vnOV?mAB3Knxqca9t0 zu0Q;QzthHI1RHS!e9ouNW>lK@Yxq2aJ>KzO3YB2fdGIs=V^U~1DrIJcpBfb!C| zwE^5)_^ug?Hfz7Db=)&|&+v?ELw>?|;!lmmjP;jKcQVzxgACz0$45QRVE4Wd=JJKl zDyo3C`SO^T9|AtwrV(${&f^Ext}<3g6~Ed`G3SMOcBIw6u=l^F*Vg``yxkTUU$OHk zk4B^7iBO$XjbxBkZf%$)NS!Y=oy~)LYJmU1z||ruUu=YKG65RyN?c2tp59}mw|TxZP7Hsgi%cZ?_GFz-{-_f<)Fhf9gY{R} z75S>|YJTJnY^EkPD4VJRVhTJYW14kG2z~<#shRDXtP|eZ>&ePRbXnf<8v}jLtZAmK z{_<^&$eD)Hp`IiLW)tz%Dp?DLjiLvgksdl}?sU*oAcy!OsM+|2hk{+odT?J1v;=#S zBPW?nfNX~%>^iC9=qYB5R~K^2D$E%htreDzWk@|c&FDHT7e4(E`o-iVw*cOd$n^!n zE>NI8D*&|!Kg`Yr-*{t2?2dBQLybXh6l6+FFf+WoucDvCt5xCeyL(@RbS0sx1nd1q z>kZlJbI*YflpT|wZYVQrU)|j*48@N^?;Oy~6(r{KUrExzDn8Z^_{WiJpE8 zUdI@nEL1yIT{Y}uV{bt zH@-Jp=nd=cw%y_04Y_sM>k$DB3)L8tx73FzFRJXTdwaZyHf<7-1Y3Kc&h6Y=>>YxR z>=3NV%ksJo?$|lB(NuCMV-JvVwr|hQs_Yae(C8b{@6r?h3Bj9-7CChCcE7H5`G>HL z{-;I)K_32S;WS4)|GMXJh3(v2*SniJaFT-Z@o70++|>ZW%lx09i79?WiiNQo?@jO8;LjMk!oKG7_~~uK{b* z8hb=8JW%Yb%kmAXdk4j>OGw@ZnW*QeaL-v8)sg>l;x(GjR9rjr;N;|g7gHa#FS<7m zo}TO8^>CiW%awOxe}~dtUqJK?WE*T|_UT6tNt=}MpUDx2)gO<7~m{GRfF zckKS4jRSYD-ITMFM+R+n$;2w8t2mr?-``liAy13!)5y2t3qACh?XO#*kP|W#~6^la>RfHj1_MQXlE&9MEi15v4$DiK!q=FMRtiR*v zGSZB+MljP5zU{i~oP>ct6f?1@o7Y34jKD1-fmQzou75?_k4eqeavqU-ep zMD>D7iCiU{%WtS;gWao~>PoSC{MTO=8{E3AUa%SM_SmInZ+Zr{(9bUkX;cn(uG*mE z;a00d+@a~~1!?Epc#ViiUfS}ol68VDmsb&c0>nyRf4df6ce?s!sb_H#IxhKLZ}Zg? z_w<=SRHy;;K+~=S&#P|az~c9L?iuzg`e(+??yW6`S(K=Kh)fHs=mdX)68XB!E8A9Iy^Q9_>D2dENrki436MZG{U@&2v*tF80!W(@L%{;>LZi0d4wb!N=Dj?3Ky)VHM+av!Jsf;_{LhD{A`9^)OHA zd+yB*h-M?z> zlulwHk7!!th+d3d0_iC#igu;&O?9)%r)~hDbU?_ZZU} zc&y$`I~AZ{-?xFs*ZOx$2FmnAYYiw18Bkfb!hC> z-s^Q*8hQz;F@Fmu-5r~spDvs*wY>dx#pN@s|| z9|6s=|EvlmAQa@*c&@(-F=&qgDybVwGnf_FrDOSp?3)0TECvHiW z^psrhWNVpg^&6ycb3eE<{k0C$#wotMFAC{~r9fT7@U5!jfGL-#NTwD3TPByL{VgUC znuTRk1Qp#o&TM2ZE2ZCy*NG=O=ikwXA;PHp5&6it2x)C};OUgr=^!hQ+KmqnTG&$c z-Xn)2^aOfzwh0c=-`}~~A`utmu9A)$b({qdu@z>e13iJ<&~k)OLH2(9*~t+=4a9!u z5a@WxSL^+fB6WyVKL$uJiNV z5V59gI0vnshQ8(nsuwopf6&G#bx@Zek=pAhm96t}CyBAkk|(>Tf@Gvy?{UG!3)`S* zc;zz3vdF{Uz>#hK>la$x(d+P+rC>N~jAZz8%cqH1lk%0p;di|@rOSR}hI1(x^;f97 z!iZn7D38)ggIiAa!+$WAJ*Ot=5S6+7m%d?prWnFnAG~2k)c5AJ?7q>Dd6mY5l&%z# z6`9X&&7Lp}^4?#ZELK0B%$mNf%FHgM&+Y$F7(W&A$sM#ZhYBd?zpHjvw<67ksJba3(3=*!y30`3e`23(m7IG z5)|m^eSJfp5~>xB7KG0>b#`S!-oB%hkFsBFuB@!`7;jQmnUdM1;D&|jqtQ$&@lOzjSK89NL#*8=$3t|TnKl1HFNQ!BWl zo~r}ZE~2@|!bTSu`+;lan&!K7oiXhYzjd8N>hdqlra{!XOSG|<)>`R?Sz%@i`?ade zY8W*s=y1r#Y(4Jq-7YacnBHJWAr}gfl4jH8+pV<}lUd%tX|`1TCip8>jEoTa%k+MB zM!)W+1sEL5HbA`lh()-^B}{$Kv+_|YmUGr#bU#+Oo=YJ_NL0Mw@$ICp&SQ?_3(TNJ zj_7YryU#@rJweAf4S$Z1JIYCN@#Q$Xb@DQ;aPeVCFEKvq2?Ccsxgp8o6)>4&<}@fc zj?JbH<1Xc?YmhKhc5^w@3}s94UsBVvyh!W5Sw1r^CfL7JXIf7t8THf-kE1ZZO=g=N zzeiDZ#f;wxU^=+CI|t=7mfF)v!DeKZKmwAnHQXk_+Ue%Jnt_RTNKFgdzP+u9xt{L; z<_?o}wJ8#BFj~ihezF%3P>Jzz)ehJ}A;hY&xKuucw$thnpDw~Qz~>)_0erMxjd7X- zwro`YapAGd9Ay`k0q%CE$Z++Z$0jSw8l_IR^DD&f$(tOh^s6qF?nq_LjjP*eUGA6b z^B!t@S|EPNnPCR8g>Ktq_Ouc+eU^Ie=ISUA+FMj-R7`q4HvJPfuYkQ0wW>V=3l~3C z*{}F4;9x5oqFY$HoV*ufWY{1^p0)GMzFA=Mk4>A>5_W%I z7sMpeb9oo%l9hAy#oN$9uyek1dwb_`*L?88jOyV`+jn-MM#)s93XDmxS-SBP#o>%$ z9hJY`IZ0sP#f?l(f8}Sr06J8wHJqbd zd|UMREBPhoN97v^_{Z~M;vwc`%ANiz!<>5v8LMN6)zQ@NZ}ljuj)ir%RJ_M~m~E>h zRvMF}H-il!ck(LD6QkovFXvMa4(~qGue9+WUppeTFI0tZXJj|%Y~4pQ1a zHe2Of9X&cJdnA*Zi1u&JcZx#7jjk@%x3_VYLRgt-wu8?on|Bn)-q4llGPEt<$}Fh@ zA|-sqJ&vB)EFT_foCsOKc5;JqYyC!guQ&)-l2||ZXbUt6mYns}?r~2jB_-(VrYvWF z(<&`@E^5(j#IE_sI8-@H{_!F2bPhaQRoA0A;5qW(4^0Vv=Q{$oBjzaFkEg>Y6nK34 z-P;5!QZ>4PKoD^IvBuf^vyAc%VUpo=pwiV=l0jL*gj%@oE^$>XIqlN$NrSkKy{gDZd=;;{NB15Xfx)TPVvd!2AL{s!Zozt)ne@%adx zuH?Fp3{=~gl;F|~%J(i(9wmkvD>C{50JqqDTXajYYwTNWD(b33nvY4!+E{eUj7N|= zR!n2m6jS!r!&WYa^V$X=r`)0`z$4VJ#k)*NF0__Kd1zj-BMxewV|6QO3D?|+=pzu9 zNX;Qnu>nDjd?I`Sa6P+3W$aVkD`UrnMMJ3bN&vn4c5WDpnq ze75|0ir0I7-~;07jCj=D-5Z&gm#1XRC~4XwnFsd9?hZyh*GtX^J;!PhTEd|xqhl9G z-Qdkqb(zawUeRa&ZrFBEJPTOkNv#N~lTNTd*q=MQ8_UiqO7M2>jxE-9=6SlO6VtsF ziT#nZfYHQO?Dv$%RYz)bi4vrBy*}txZD%a3l>0T{Nd0@)FYXgx=_fsPh~;i6Pr-51 zj;TG!?|)VOn%%8P26=6CGDu}*S54U9wwSc&nO_H z#2Z`g_yfIpPuzH83s%dp<%BKaO$50cd;z}LL7y{k))e@$Pf~JB+|TN99KkzZ(MPiv z%2_#C*VttPc6R1EiaO@$KT3K`XJlueo~?j)x5ca15vfz-AbK;ogF)#UOn`1Cn zLDm@DRC5;KO$^t-2nvZQcN+`OOnY=&mofRnM&G%$!#? z5uvES&eT%5{JH@_FF!s`-5eVgK#UKUDbNE5tCuWTY`(++7mof$zf4?aEFPH;e#uvy zoS&UEF$cRlnqxQoQTARJ%QoG_WBe;u*ldW8PQ4NU+57b*IZAf=&9&6#ngGM{pTmkB z(`aIq#xhAvQQ>M71G6@7>YO-)Uahyn@_J7v`geJ)$*$~o=Zx!nw#}qMG-~;!H;cf07*wn6)!2lB&0;j5~ zdU|@QdxtPXyX6^8dV6o}d+|kRVDx9paOnS(2n0 zzSLJmtXXVhiF3~HR@3uylpL~z+{Aj;JTEDqi)+e?5{+bD@p6So$nm;fcl~s}zUfB5 zivFxu@^f21;9~vjebl!@{pff6vD3DUYjbzY+QZiO>Xjg} zW*NglLp~DfyA69wL`Kj8CAz)RWAA}T!%PQDqlS<@iEXE=mVOUkold6WP~Dqm)Ws{b zrAYt{6$1N^&5V&nIVDw=jBB1Eu(RF2*b_dB_b5F@lW}BS8A(y_#XKc+LKP>bM(MfO zZ$#_$vfq*B?``%FDTg{^I~Z2aVhID9;wa zii?Z)R|d0_iA3T-;~CFg!*JWzuIJh4<81WB2HK-Syr(=WV=2P=h!%aoaUnzAvO3ww zBdehT&KHPF6abPOpd%?OEixGA0SWc#Q0wFg2~%g_V_iztgq~h7c0a!JSjE-Rm6E;F zJA#lRZaWW(x4z6;U|XNWI%Ch5w zU=1Ut#_ATPPNp4NSX8BF!_!NNl-TZ&9Y1DT@E9;Xl{aPH@_2}3UGMvt8hS(`%iQrZJr1lPfc4KrBrN;>qemq8cN+my6%bz)!OGPYkNJ>LJ9RQg( zdsTQJ!u{cz9j-=s33W2ig3CGEcK;8sibPJ;nhT-RhR`9WPVKI2Mn|nr);2$4E{)k+AKfh&2WS149 zF??IyI59nOf;C1nbJfmu;DGxOJz~)L>gwa`9m2tLv@IM!fE)QV9TVi3v_9%RKg@m6(y!bpl`HIKs%8Rm8u@#mcfJze1 zw0`*A%2$hGWlmVj5HBYRk2f`&UGw9v4RYTokNQrk?#Icbe}INTP*7x7yNxXZMSu0h zZTqVeM5bXo{dy)fxOs)|0j2tL;2OUypt=6s&UVAe->UwVoqN59DydP~ed9Pb5~BtJ z`n#UCw+Gu=BN3Lg9UAeS&&xMK`BH!Z?OSUlsdfjhPC}g(N=j`fCwXT_i5Ep+^zR~i zx!Z+Qcc5>-ErI=^I_h1M&&5YLyGDzKMzg^Jrn}KjWppc!2Mnn0deS#F#}b^PVOv>V z$s(_egF{eG^yuz|0S>SZks3XbODp-TdUay&(nz36+zwYz4&1zNg{_r|F*1Mm+#uP_ zP*eBaKI4$lBw+bBqh1%&>emoW6K*7pO*I!XI&RR2AH~pU_NGi2#1pG`=6-KB$$BgY zp=5W&zQgA=)sh$|4r zNNO38B*}$V7r4eYiic=KqtQs@@yQ09j3KXre-vbU?=R~ya0V3{1|oZE&s7+64Z|Jl zx|f@oYLO@i>3H_Mh5Y3@Nz7Kk@LCF}!IXkDum^t_85?5;a44z@*(?6tOEk}=k>#Q zCwE{m*=-@aPxp^RDRCwd zO7{YYWi9?rOk)He3X-_GBYcC>_P0KTv+)q9=>vCGEias@7AXHIAqL)1`spSR${_om zo5T;@aa5^m3T>X-49R_93 zztmutRafDhGv}ZJpH6g4*W^8{cMQ0zF%YsF|5!Gycl$-{Ute(2QX^`E#r@<*Fy)O0 zd+|FvWV?yr-|WwunroxmpenpN_Wrelg1ni!6pg!|?ToLi>Wf?VIeHU(t@BAqtku>2 z;+qTi1dNqUVE5({UI*8xVK?i6hPP>CM7xYdNBN<=uDK0X*25Q%-J)dZHv1qIIyQF>S2@dkt02TkGlJ8$r;<`~JEnq2&b5t;DRX4al^pJEi5 z=+N|s(L19;FhX#*fY1BDEj`P_x1y2;0gq+!W|iOgP%ml z!fTVG?#y0t()^HZ66%<5ajxb|D7mD-T+cjG?Kr&M%vGj(c(lO1NvlXTSg2BG8S4RP zx{swx&&ihUF@U(zx{(KO7YbK_{F%8l4Q=lmRHnfX+ULJOWCpYLD^ z-PwJsUq1EhOI;e#6p0_`vvA#2ZFFk#S!gavDsRkALBc6{m{6?ruyJ%mkEnCghBES= zE~8j}udRlUyW{WF?e2I0@_+vo4&n82?AG%vE3;-2W0zN+>z_eYO8*#pjO>8x;S1(# zhVR(IK1Iyzoa!%?P49bVn6XgygP-u0+j&AwbeE%py4Z|gAwy^{)hgWA#?|ur>W|M` zXX3Se{?Mt$3mcdc$5h>bAR*BbA=q%q3TvhMVOi zzhADp0MLW&40ZG7IBU(fY#{h#bH}%JmgCU(-Cc8{C;&;wg~0f=0BzyxzmIi`c3kO6 zs%J}(V}kXEAIlyN6=^XfTko&^{^UoI?Xhg!f3*yS+%_2;7cAN86X{RrV?;j6t6M0= zWYIFKFLo8`Z7v0C@*Y~}vH95CoQRuRE;7=<+%Pl3!Gw^8WR4Dulv0rZD~#L?9y?_# zRKMy(k1H+1%YqxexhJh@@+4Jdec?2zLUVuDL@Kv(dB%RiFeM`PumISCf_|Q zTMLx-R1g#|LSBE#mO|)S9@Sl9FuvsF3sKiR0_$IZQ&-6l{oEz9o7d?g6eQ zivt^8EKCCoYopaKNmLMsPtjQu6a_#4YSS;4E4cq{*$(?O;oO`tXqKoND|n|>qorqH za3>H;Smxk4;j<+lEWjfOtq;ZA8nI<1FR8}RFmkUk;z5OYq<1i zK4D#6xa($ToFG*Ht^Y~c2*<+Z^;XI)V5(nOEFEtGD{VcEMau>Bd7tZEY2m4ve#n%= znYr=cM_i$L;wPlAHFEjBefN)4L9@(^8Gj;`{d7yYilON!DD8Vli&?5NPO=43%UkXd z;V(k8GVL>{Gi5mtwH@-NXy+mEED?Ot&s9m7`ei0J5?qY_+Vx%HL^FO6PHsG<*2Ira zl*fKC&W?Qq0l=7w>lB4wuU5$Q1(f#sz}o-2NhX|)Gx`JAn85CnxrZXp=pF{6Xp-ln z2>K+fqA}GIddlc6T!@O?$mfwmbU<~wN_U4lOA{^U8E2S&-Q33SjJ9t&JU+kfKYO6; z{#4X(z3S2)Mx&B-3AX@Nnt~rC-{>P~uHGY6#K|p2G3Feq>WvdVZM2B@gJ3`~q5-oHvuSlC}Fq^kq#@C5tjINj`hu z%i=??MJJr05+DcSH>8$zb?WG!K28S}(D~|0=7@uKMYJ94*pa)d4XU{Dqb2hL!&Bw^ zhE%*7JI0(CuBH%*g5kHBHoI@7a{ zhet1*AFH;ix2$KB?nomY`#=Hk&}IiT`Zsm?uCiu&9c(?DLG4+65t z%9W!Y`ks-{@`y>~QiwPCoI=osie{GnT~QZ}xb`wE;A>?Yn23#=zUr_j^>pfHKp;6h zDVxL!Pli944?ckLj{%ZX8%&U^&uK$tp}X#5-LSW}M}MKC914xoMs33r-#@*{2G^kv z!_pT4dk%;u%!!k{WRVRQsyl)sC2W(@1Tc)oVI$ws#l*5&%rg%0=_7M@$)fX7gl6iI zG6SSr10%Ksv_D%**Opj=msDc{x@6H&;;m;QPW@-9eUp~y)Duq0$=TfqOb?8z4Lb*v z^$}CHm^OG6&mLUQink-aZ*}EMRv-raV0W{D)<^1BpBak69^(E1RJ(Y^-48jozp(*W0dRnTY6HtNms&P%y)Rop z9Zl9AuJVpd%60zR(?-#wH4ceLMk(3<&W8^kYmX?`PWF3=HI-pcw;5{#m?FvZb~#tN zA-6)0ZrOgELiNWMjzdDachWxz|K4Dye<8FNUp)ix#C}O1B9F*igk}ou$u~NbxD9)_ zD>lwXSu3%x?>I`1C!gODwu-OO*H@$R`xn{cdCMd^@Z@W375^N0FrXv*AdBA3Ej>#dH!a-5I^ICl@RKUy8k!DT0x#45z`SS`qL3j?-QXObYl zT=+MeW;iN2MzL>uvR>!DWDw_D<MWtC zH*e34vVifI>*C7hJ$$JKTe~i8JWzb%RZ+UbQxCNe zX(4hq3vzd8D13SrbIqTxF=UY1+ODY?`pTxfNh`BDZGe$)8KqfG=(qYOjZ>uUumxm} z{tE;am8?{n@e{^q*qv4bHR7QL3%fPBe@dK_lMgtqfUvp`9-WCs-t&9m1Zw z2=cQW@gg?@5eRhZ&<57=rx*}FeEn2i%mPl6uAgDtyIgfc_}Sd$>D5>1R+be1ANC+E zR8T9h3a=|d-GI`1jx+#{QZT5}(1#)+g$ zQG-|^kFy)c8aaZ(5@j$MjKz)%%kLLne%*wsNlvk5%czJvgQZ5$NRSJQ%tpKz{SC$%YBA`b#wqKpE`9Gk^K~y zA)k^Q6Ei~R-%C|`&Y`$^PP$K!#%}v)1S0uXDXRr3Ip>z!$5>hgtC?PErVPc7Wjn-) zV1#d{o%vi^2^GBHkmQD0NidKE)3sw3%giNYK!NeSEZSBFEWqi_wfFrKKm-sz@KHp5 z*k($$>}zXL|AHDW5%V~p>;38Iw95DPxT<+CZ9t)VSvKs`u3Dk$A+&H>jw@U7qx+_& zehJz#8o7KkH9uXfE1tA^=A5#0K2&x_zj6%58|Rto>c z0Wr7p)3F^jqXF)Hbe6Tf+gOaOc|3%^e0$@!jIwN1zl_*cK+EQn2*T$62f@>66Y9$-)`9l;k4P%*f4 zvFzb@>owj2smN6=ke;M3sJD*9TK#9Nn7dpIad1r2FsE(l-#edlC-cJ3MG0%6s#wY; zo$>beNnc4%KRos0X|vipqMRfBc|?@}`E_a~?zSG~W>|-JB46jGQV884gw!P9O(|;G zhn$Q|*5yweGo1_l)#CU}Ofq1`Hat8mOG_G(lq&ftuZj;tUZ=C9+LBz59Jlgg39tJQ zmr;iX9=#HMVZ|87RGN+N?HX*O!26yZ*DxZ)|8d1%gf!f$upugdzR8YYD@LD8mG0%A z&$@57TYt85_icES=kmVL9dlz%3wm@yFRXZLVO%_cviy_aK{Y|k=QG{#$51*@^q7JZ z=E|O)$Hmb5TPNDtV*5{-n)!}PVG5fwKsp=s69lboUwhn>PYU+w6z#op|Lg%9n!YDO z+T#|M1qbq2jX)~atm4AYEUowsK0lJCvb9#D-Ay;RywYsj(I~_MeZQnPeBKs*v^6E% z&%(*P*p<|Hh$56;VuuD&}_A;+O9G5mH?YQ#{vS|g(rt!4_%I7wdO zmB-t~{rg?M|6*dmGdj99>F}G9@A`Xl_H#2d#AzOC6R)v8hWzGg--@IUAGmI_6n=%xV+p%|H&}S8SiF5mnfy9-U-XG!yfEQ& z<6Zf^ zX8mupIjzREb8I!0BWa}O1qwg8h@|3O^&B{tUcdOdu2L+4WjrF6srVovrK-7w3go|K ztg)IrtiTD9flLbXCoL0xE=LR@xOharRS~gr5n)6^NzoU}L6?_@pVsdIMor2<02l_9 zah2;yW7^4-f%UI49`m@>l+K~#1cJD^M-zLo1{!{=$GHg}IeOsS)b+2K7-I-y7%pL9 zd@kwuwN}JnYnT3cs_Ibjm*&17<{!iJrU>LH{U8i3eyg3P7J49{z{NxU$|oJ#Q&|P{ zr{||C9@mL$fw2t<=BCGd|0mXN=(Gmg)MYNWuFR&M^1Gxz}Fz$9=MUw5A36Wgt?q|DNFaQ>lT9qm$VJqT7rbv}K&EspI6&zf1;@YH4Mn>D?=_M(PF3UW^!N#c+ zN*XVgh!IM4!QO&CXHTX5>2>}KqVIY_R-%ca6I=qzu4H#N-ELtbc`qqx572x#bHH17_d7ky2_j`PiGHBVT>CqoS_OPG?DG&nlCU# z)8qRo${f#DEZF0YOse}KaFOurn}?1qsX3M+KI>F&Z+hM%(gK2v7zvc1M-+rB{|X`DZC16h`d5-+uIs~ zma)Q~Zz@qOF!fVSUra!8G3s?9B?1oQysA6kR21B1?zBGwhhaymfh+IxAXcGZIjs1x*^4Z;& z-Tfr)-H2#HZ{Zryr421aX?iMd2o)eM>!pUEvT7C^6dzhwG>N+TlZ*O|Xq^&61@}i# zsxMG`o(~~K8H}|NkB^msBo)D}(091>B5h1l>75YG!dqYRn3K+9G5+No+rgU*e<&FQ zUl(~Ve0OpF+IjAO@%nctH-iPQd=LP_T>AfBfM4mU@;_w8WBqRO4WFix9sa)FB_$Quxf7D#3ud-jlumsy!*Fhr8t_i4aKD(*7s~hy$s7=Od!dO3aE25ko8$@GoTk zCt~*d6Ob|yOo|>Fw>CA!1~^$v_;i0V?MFp!zVqd`jCoYcuyv}jU3{3pVm^QdPL`Am z4OwY~AP|eT0f*K;jZA_aTVwfT;!LLFldUxczg`2XUHAER;Vs9K{#TzW!qc-U#t&3) zcArX4`%(6tWb$;E{^;{FKiPG08P4Ie5D+RW9Is0bswMmCpSRLFGcVC?I|hATGt#yt z^bX4P?Kik-c26~)&7Hp`u{%pjxs|LI4Gu`fW?T8H#&XBwC!prgRTx*|rGKmpQS3Io z<*u@?B*V?jrXs7jk*>HaYJ8K=)dB)4IQthSbu6E2@s#MPuEOi*p6}0Rb@Bn2ll>9a zy(5|8doTg&&hke=mQSF5PUvsGlxe+L&E zI-N8#d$M}K_$-?R7VL*Efx>f91!*Hp!7#P$DB|JaR2*N;FjRG_%FE5_@I(@`9x@GI zCl(ess6UG_Ff+bwobjIv-Itu*lfq({*9|m7U`E5zmkzV6$+FWisr~#xvX4L#7MG`Y z-`%^9#6q`*Yww-pQZe6>%Kxf_<8oj4u3xvYAhV~A92?xX{CCK&zrWoJ-2|g8Zw_BT;QKXL|l9t~rsFuBm(uVf&o*-qvlS8`VSk8HkGNHgiG12lK zTMGJUI4@1)pxrlWqc;AecPnVM?Bilt2Rl)lBBtULf}k`QsB+c zsq4`5!SGJ3aL>`)vH&{@7E_BL=L%@^CnxYiHga@Ic{cyU*Z(tx0t;A}IHV>jNn0pQ zFFcYrmo=AV_$%vU*809zx!Q*wTU7nt$u(rDC6khp{Z-aLySTw2y{Cj^vfc(`&ZT;V zkuEISEI`wC40BbI*(~**!(&pk5xI6frMm~k5@;+!TpxW(|MT>vE(ZUVUjZR}gT#VY z^g7(HajIi>z2_PGSSmA$(JP`2E#D4{v)splkWvzqfB>q8DE%4jfukrS`4}|IrW{_eABojB z(mlMtju`>}eCg7vG&s=Xe@FLCXFukdSchvDupp-f!2za1!V6?VWlKRI$ebe2Wzj78 z6o%w3FjMeZ3zrT&0cH>}&5G|HK_HFMb2~v{_FrB1f4l=ZxjGDKac?ETsL)at_JHLk ztx13r2QM4|tBRj+%zlHms9yv|z*<&0iHP0RRpZmLup;e~B0XFb8 zGU`|et@S=bf;%Cmh{h5n4U10)9WKQN@7Kb&=MG-8N^O^n{V{~()D(%UKgNfYvBQY? zT&>R6+L?V1<*2Es&N`mY{sSZOujE@O+$-O%N4X81dOt%u$o;~(C00{3gSbsU^Uts7 zmzDhIFTH55V&s};7Rs0$JT`WftVOji zF;nEIyK(>?gDgobv7rG+<~TSJ5n^=ss}EixP-a3)kJ!L99AP6D$&z%k7@caU&ddNC zbmapA8l~YX=Uh+>tH1{VE)=ZwwmPPm#IG2rJyA$OOiRE$aO=$@o>^3Q!>htl^OF` z*VXjg9egN}s-}+`Gd9S@)1s3a7;Qq$AfbP;)?TQr*2U4=D|!AgBX!8CLz)yOAlz(@ zn;|OZ{viLBH=;&ZR!j^GvdXXPe*pc9*7Puv2n{*RPn$B+VI>i$6#vAMqfL`J5g3L@mZBOC5oIP(KW zvEkrkv0uGJV4NHPHd`#+Jpnn{*c_SqKhHN|d{|lTig@g06YEzF?QGLXvmO%+-JEPL z#ps;QzBZvUu6T>5J?T@;tiRdGqxvQGi=yJ@c3%H=V^C`1Bs9jwW5NB67)0#1b91KO zn$nz=mR0xLcrP*B!1I`1@#XOy0`8zfp|JaHPtIVEescEOB@#27Su%o1`gRGmc7;{> zo#AP&Uo>#HJq~qiei8KMSVC~1Lf|#}<@#SK`YaARH1A^j!TcLsK)2bc{fD5rdiuT* z_1N0+{%ZKZe2yZhWKjzgfpx<$CarIkvde;o;%{G1>l{Nd1c$9LNfpFBRc*f)0lh92 zT&NeQl;{kfRgJl;Eh=*GuqgrV@$E&AIoUzwgewSV7sPC@3oPPAR1x4KVM=*#z1#RY zVmUTXw}KPDj9!;>N*|r*96I1Sx#okFRzD#P!14f5e^cYj{|qA<->~B4mb)@HMypzI z@LBc0T7#oYYQ8nB|9XEQXd$;;^!LCOjG;@;vuYf@Lc@e=>79z}xNX&NzVscNa!gNs zuO8>AHd>+OVN1cZ&g*7uZ?)YisGxIB*IhQH64oBBnE%}Jl&z~s%0#V%jBQ1sdMdm= zM2F=oKsumnf}p2Mvi4HtSA5g9gpF>VX#Arl!lV_))^<4sSY0;MIN(4@LFs(CF`2g% z_TycyPy5$mZG&kHZn*Wo`)@4jKo#q+40{T1tlghav&Jk!K#X7pzIQRz+D~VqfS4a} zoP<(vVmr$EzXpcQ*uXnp2JHqP!3tnNb7yCYVLghNSl#jJX$tYmy%7jb6;b315nU~& z)G~I_CSs^6wo@oQ==s*J(he&(YtgXh@(LL+0?nn}FvKky7I6e?L^>uzah_lAohQG&f1UQ zp_^~0TsRwZD0&AuNyA$e1>R< zd^2{oJ0CcEeZFI6WM)-JAs7+7TQH^RS(xEL*Y~<$F(eD&X?u@j*}k~EM7q!7@14f; zU4J^Vt2~li=Fv{gEFU?eKcz#FB)HRGa)myeiS&s$e=w7@i*W7rN>wWX2w$ipM@?K; zC+Smt3-vXI(#q+8VqUqC@yp@Tr(UChWRy${3>X1Zk>QCN425O}W8Sdgwst#a zb0mReWSQgp4B28-ErQ8c#r`)nXm4wdh?rshI`}%cM-O)D>d;+13WD3o$w?>_#U(ABpuPi^%2-#_V~a_T(_??c#=*=D1CS(-qj~3@A%vx0 zf&bZ}8Jb_gi|{~IQxQ>Vv2dhVVfRzF`C#@BAtdDKp8*S2a2@AJr1f*q6wu$4F(*sgO^X)HoPj?AJDU8~dQ5rgDdtK?$%EdZ2|% zO9PtrPh=FG&r=gl_L7{|7u7(wNpqHpzl!poQLl{{nAMp^6az^u&xL$}=uLE%rA0eajufQBpl`Q%u7dY}$6Zg4Qug^LxCCScd%nshsE#o1g)0 z%gz|l?Ht!>h;u>s$0apf3qfZ7argke2I5c})ID1{q=Z>%7d3qyhF!#sNV`l8K5@Q9 z-ygi@b=vo(XIQ~zCJtBXZZQZjB1POtw5oQj|F{hqIy#N#my*%fxI?t3NQ)Ubv=yfI}M{a9}~KaPqL?e{6_^}yd1-o z;NH6DRF=f0d_rkFy)i%S8u)-%cr4ZsBbGlqfDJ?ji(1qNMvf zDYNf*$Vd#2vL@%xaBxArhAg^DNfL++Iq}@9`9)+xxnP@dHe)otEC%`vo&0wBW)IR_ zv2iukFB?9zs(61TSo?mydLQQ$^a;c(5~o^OUT*X*(rzF{8$u14o4OZR-$q0t9UXJ5 zZ%rZRWU_{C3LJ5LCBeZvFhbuwvTNHTc*b-=z% zGDpr{b03Dq?nse(;=R~Xg$@UuA*%RvALtSf2>HHG3_k!>q-nKFhApBQv0X|%*58dv zlFpwCAp3HMUb3M8Bly4JJUlE`L)aGlZZGMFcM{H4yy{-Von|KYYmMS2`H7JFFEGga zKjB!^X*96^7=3Z#%f~AK>0rW0FbPD&fnYeTlw^TWjLM^ho1IM>1`GC+gF%230{{`X z%h{>&b#cGp9QZ}y*zUW84Gt4IomyHSDAJzT!s3r5!$p>+@G>HiMufMApV6V5)1kD^ z9G!^uCQS9EMTnh_bunbCcu4VfO zU`H~irNr5HRWlQ_CHG-DQv+jyqTLQ=ZZzOcxHx~~B4N4Px1V?V`yAbJLmKt}(_o|j z(_qO0`Oo#(iPV{$SQn=lJL$&%l&zsaDC`p|Q+f5-8x@3jxP`qP-}60}8&5OGZm>pC z^ewxY)k+T~5o|4I>XCCQ$d&l#9lOab39mhhjill%lM{QHzLJRG6CA0+0zuNGtcbIW zuDDbwvRl#hdtuq1vZ?rHR0AXOM>DL8Wm0k+F+$YSgLkria#SvW$gE}<4R?M?w#j^V z_j{{sJulI~2{&_?@E=Y$-1Ew!7Nn&_w^rC6%k-bCbD+o@9K;i$s0e03{)zw)7I33O z2pam%n?A_ETqV89Um&BNq)$$sCN0JwV>|6?#}^2Ce*$54`d`OpKO}i{?C%UFP<;bw zAvR4~F)&OWEG$HX5kdR<6ivwde!`sefmhbP&y+zZ04|LKCJriSfmFS6xwr&-U)6Q> zu(Bu$8Q+IhB5FtQjAu}F7henY3%^g=Pek-9@vn*i;jPV0<+XE(uJi25A7#xiH^b`Z z#KjAfa=XyUqUKar-a?ef6_QgURVs5@53J%+I`Nk^yh_I_87kd!Qo*X2h&l}Ox} zhRFVQo*UCu+{A7PShkCR`NRB=t6aym51lUKwbzVDYjdg5#qB zEDEa3J~ZBz$|IiaZrpn0h;I6KgZslu(BM#c#BCyvfp!V@^~oR>dTAmv@-EUyG+Cgz zwA>?bm^TbbVrTSLrNJd3sToRKt9F2tC`5|V@q7@wMMMgOA1(c#ar`fF03f))eJ|nH zhW7_e|9jT)gx*eIKO~lkE7GyMKuX8QRS_fLUyl`Am0${YG#d9hJ=btPd26gfmePOL zkOtKMxSL*ye??gZja#g3-O03>+h{R!4(ppK4?!($=D4hv zDnp+o_lqCpAv!SK#?@W%;hytcBpNt4m1D$TMl2(wz1Ljs1=VB^o#0%6K<~3_M<~B@ zxG*cl9?Xy~=Gs2k&kY>E{T z2Z)3kgSd`{MGYIq=jLVgQmNTi=+XbVg~itVnY>a!%krPGM?%l}cb#upJ`a3D5)lPG zbubr#1NZwSs|5X0!hauMiB5K{-u+@y8aGwlV>w@VWEaXHh1^s81cZN_juB7s>{Qa8yHlC(u|hdyh`=?r~d z!1(?J&_~Y>_phEoQ_Y2fmJ82XLC5-aBNz>l^mlS{lB((u6vDhe>i&JsU4K=mxIOEd zP&da0P}8v&>Iv1hMi7|WhKZcO&$`F6y4=`=XI!Yogig3-IguhGh(PN+Aqh`Wqtbzd zM+({^TO|JTF`(z`Wi3xxRzwR|*x{%&sFcrJ=*Q>TW4KZ1&;R-Nf&Z2)j@j19V3`Fg zZMkvm&Ok_Y8nt0f3r7p@1Hg{dt7Y@DChKr6t)$V-I@yc)U^-E@wfwTjOp#k)xM8<4 z&s^L33@d8uh-I@-CVfEyE&vD!0EI3Nj;a@skWoZ+2N7fze>QRhwL+p0IKYH0c~x3$ zAJZ@*2xT?XGgnP<#sU^0C;=K5G=inHCTOA5fzYtO+FBrBBpne87!QE%OmavZDNgCY z21OvDiG@Et!dFD}Mlo5+g8d)&iQ8GYcb~JqLR41Rs1H=wb?sWh0<5Q55Z=xbi2aAu zvOkDujPwbRz`%IkK1I%L4n;~v{bI2X1r}ndN*k|GvBdVZc946^*$VNn>l>6XqTSu* z53Z+RS2bP9;7AhBg2U6Ug`qYFg4m8WJ*`J`$!715GMF-l##IAc^AYzb_ znIkKLIEff(qACKeJv|QYkP~7corO8HG$#d$HH9TN@d;9Or z_4(s!?04K6!RHPG4wW)Spl#%V6#oEie3Js2;EpLg$05}e1 zFcCff6Tt~t{{TWeSG2s+d~|4ggLm@%UoE*;FY;HWND$akksTK_b!w*703tn@`%35Z zXLP$r5s-nHr6L11<3C%Cq7F~LgH^Z?068+c#F*>6j}?vWZ^iTV#{V7PKW43`ssEu^ zMq;5td7@?A_|ukbMRz`#r4TOR>(fcs^LghI`?*uzDYw)TYQ34c1m<>1`PPZ`%1D$3 z7AIy;?bnbSBaO<@gQn2(VQ)cgc0R>Ml;4v}L8lpws7je^#Y$N9znrZ-VD09Y8*US~ z_-@246Iw}GHUG}Zh`?FJmOI(gXM#tG?cTtH!4Vv+QlXv~6X+FC4|RhefF@+XqBiX& zDeMkWL`J%R;MSo>k;oQ9rYhGOxDo@(UR{rALg# zRNU)TOtR$<#9kbs(|*KI;NRJA`=y@|e6sGZ_E)bagfpjgV9^oKdwa;lHvSpgG9w-9 z@D2T(Rjr(u85%sYqt#?#2UH}1Iz2r_2!w6&*ggh8c@)bZr&KYA94eWaC1YpwF@S#| zxrY%(Z31QWOvpP_`<6sP&j*v;QUDQJ$`GE%3)->V6nemh*({d2xvwoc#_(rN42+*C zk3VAs=4Z!E$ee+Yux@P9QHJo6~`!W_d4sdX`#u?{qWa*_Oq^2 zAG2^I<@?1fIIuiuGn+4nE2}^KakO+ww>pb#Fr&-n&VD(TV3TED%EDE#V^3MDHHRr; z#sIA)k=Ga4iQqoxDek0gH0(goGTg-9lFt~Je1d_U0VGj_NhuKnxA$~$YX1E&w`daN zqX3pz!sVN;lAj@BI3=Q23QiPmx)|75j}^LyXI^jjX+-%(0cTOCjm0CRlZk7H1^jP; zZF|kf?_0mVA>)^3w)D}L#{1U~h%n%I`RD`g_w04=P6h72n@Lsg(7X5eSXf9vobjrN zo#F^zOQgY_IVlyb?;SpnbE%-^ffm%xDKmI}D1x+HQ(@e!`7bf&q{G*zo5c)F@_wrd?G8X9K-VB7 zJALBTj`MZT-h^{r4_Vu2I2ss^R-S)Wa}CYu`VuCQAk8*Bvv~ycXg6D0B2Ps+7Z3p9 z$5lMLnxeq}6VOqUo#BDFLpbOnIp5kdfq*Fz@k4cBFeZUg5H}egD$ZzI|OT2 z!9M3+2ba?V$e#G9yGUZ;gGUTFLSHkbz+t@6J`Jb%p^8;xeHPA1y?1|jo-$3t&R1@4 z_l2${7=Oz_onvTmQ&1)RhDf)^%8sL-DGP7;FXZg0_Eso~$|0O_)Su~__Q z^2CCyDbu$=On<1|OfxKox^!23g>sU(5Q_^)`hk%ebeS7pCu<4SXJ-YCX}GK5#MvK@ z0J>)c8ivQ<3FOq zo|~{g&N3*IR<9u`OAsGurbkmP{9h1(x(+SY)}C#)Md&{+&ty;+PkuP9I(X$PJ}DH8 z_DByR1%)t!NU%$(CcghO=S!caA`MAY{icS7eA>WO#mJ210?#f%sFw2b1F3gYtfoyF zn%GlpYfc*SH60a4P8ES(HkwWnF31uHnivt&Mo@vy9&D-O-!EOlc_xH6Dh0!N^k{16 zg9nEgfFm=3s8bW~Al=-tgE02#2Ty6ns->(61!Hy5}I-1u>pp1)*GQ9M>p`Z9>Lu8(~u(W|BgeZ)F_&H$JRumcx$Two8Lw zENah;;@r^2Q0GtT1Jm-P77ppBEH=s|kM)LH4Ep(sv}|P#T}fROzqnK9-3Qbc*+Bk4 zEP_l9F0!c7BoG4KpSdM-=M-*;!FS4o97*O(zt}3>Zw|dn>E+UchBJWwBKnEp!<(oJ z6Q^Wtf2j(+9v!nU%KIA<=LrdON{Xl=&=yh)l7{Yk0jmA2(DtE8ai}|G!<^s|i@K@n zdevA19$mvyX67me2F6kKviKn-DGD4iL}L@V)aZ+%7KM6i7YOD@!j2ymC;(#+oUybH zEY$Zdt#Uw&dob*sMQx@Yx9=sABXdr?bbX|2_!#_r-n_YVeD1r^K`nfE#DZ|{{{TZT zT|Q5MLnWDvPk-xeTB$+ajUqv(r~n`-h*L!*t@^u_BKMHRxr6IE}M{W zzD(Vt!nLu7vDNrQWz_j|2R9!(Rmet7KWn)F?f=y98#=fzganjSXE1%mRAcgjOd%J7 zO0uO98X6i$^tX>T_QqbRjE$mi6g^!;U^?4M)vew&mNmjhLdfG#g0n>E}A<2L5*8ShuiOGXVtY`|G)}g;#XZY~L zq=tu$?bq<`)AMtMsalrXzcVldwaduM>#>t~Et}xv@9VUGFd+9}zJu7}xz}j!T7XnJ z{NYbg5eIj}Pk0oVN?zB`{#jLD8SPuDI85?+DGlPucs_mt=KuUBwd=--ZiQ!lSkEyLu`EC;w5$?GK-=E`-}eQU4QdU!m4#8-e6Z9?i;> z21=)9jT*5fOTE_DU)CRTI?JthhtUmdgazUE~E1#JYlxKso1L zudmvF&xekjqjisDXa#AN&1zHq+s|8NOT}B!E;h=TnBdt&F`+b z0(Ck#Al5)W8;Q5)%jIifJalmZn`svj%%PG>O1>YZk=r9LjrzKCAs@Gb#cb*%w%@Rb z`Zbvz9Dun$4zey(#|`P|>IfZzx=7}---OqiJ=TS~HEj7y->yIUWrh}sfUBN^g=vh+PbcB<;h(GSTt;klbn8=~fu-nvY@`o6NsWCrb=!GC93O- z@(#r}6yV_Yi9*WXwcCsPHQE1hXunBT3$n>1LZBvYwd!2UKbZkak%i-eFZr8~BzVC0aHhyvm8?;|dWUb)hP@>k@)4hWjyiE|qdcOJ;Ept}5FNnu9a zE0aUQoYe-C!T>~|cUM8plDn=DS*q}a#HoA1_3H}ZiB~8AS=m(GG@z3ETT??ZCJTNp z9-R{(dXw#Ed%Rql4{bY6#%M{aUF4c*pXk<0%p(7CI#E)LZ!q38g$!GxVk&7sjvspgFDT8!As6H~E=O zt`gF5H=$AiNqWh|7nJ+WPx0TX#?+AV=IC|_OB_A&&JctSF~fici58?H-AN>T9BouM zS;AN~6AVBvq;6IoPY4&YO&Z5e!4oGfwuP`Dz~qjPvrOW^8Rw(J$-)5hCPW0lAoRfc zqMAqRLN{8RUU_EZy+n{lUk1iB@tw#B@b^!VppS+_$IX zj-z1WClvP%8O9AOi<58?1fV;oE)A*|GzJP$`R-vmR!LDYC>2%1kzZKY|Lw&KEb#3@ zB!7~Gj1&(2bgkX;e9hPJ`E0PXbnx%%@!y9V9N|W`4e~rJRauQaF#)mk^uK$iaNp{^ ztK-jL07iCxRKjt#Y!Y=IhLNEQp`962_7Z8PyVeRz4hzu#j0oF&B?dKg+CDhS)+X&G zp#p$W$(Ecd*46(gmz|MdAG?e?+Mq?f-H;QG(htXvxbelqp`4c06XO=ZfV|VcSFG>H z18vm0f&Wt@`U<;K?-E&Ut?&N0TaBl>TembYFXdw%P%R2wx#lZPGNS!h=D}+C!CV|; zIM>F~u5?Vr}ILU9V2cYpnYxUA088^Juj_TG9cN!sZxhRm|=? zk^N?rqlIERrZPS6hUy=5W-AW;2&+9p#0ankNx;!Waj=rj6d!WZ;$gX&u@XdQmmz5iP2i0Nhn{5% zvztXdo{PnXhL7KU8!=xEa@&UQl2jV+fD#cW0;^KL-`-GfM$3-cHfCH3VpXR@0MZs9 z&T@W?g)VZDBaSCz#jX1u5J8QxI-tfQ%jFSr{h?F0A+@>Bpj$TKlA5GW$j@UV0srW z5Rd~U@S->m149eBJDwEI-;>a;-n@$x@_V>Quv9?M``!8KGxQ1fI zrMMM$E$*&?;_mKl#U(&XgF}l`pt!pgXmNM9^Ss}gbN*x|lOLH(?%B`1*IxTt|Lq{2 zpV4Bkd#yh|2LuRj0D|m9p_ucJ`>;#bP`6F&ff|u&%nXt_0(BIZjqYFAfqQ&wFNuZE zUNu2e?P8S=B4TOhlWrZpf|!S%lbH^4^STDg7GV*4u#b)2boHEjaNQ>Zg_WZ!+SV2y z7#*VEFg9bX*H*6SZ^A-QH0N4|6bP)}t24){cE;h(V>!_Vj~&%wNz9p#or3c#u&Ntz)k$N| z)(ko)Y+l}w)>iw3^$lJnyS7`A^9C{Lb<|aC#EnPe(kV3s>6OcbY61*CGxu_wS1SBy zQ@YB!WrFVKWLuxdFj!$pe^Iab(gVM|;R(-$;BY5S4zV;a3SeVrZ^%}c`wGR-BB!@; z4)pf%$ziIv*Wd0rDlX$IR2oR9_2wbUIS?j*M9V-Gf6)Esf zaf{!-+IQtAZnLsc$Q$>G_WV7t<6BSjXbyCziXd|+C zKQUntgg;w?usjJBT8b3u@wF5-h{$C4J66J!cI;sK?<8nN0itQ0Di-nKXnEzEu}Xt= zTIQ1Z%dGI4Ad;hqMVr;ny+gHurdlnQw_lYvC$zbx2_lD`NUR3{?iiCf!3%2IMzUJU zi3Yz74Gn@D>cqHnjJDX?4t2|tKs(1wPJ7mmb~V;*G;#%cTOK)lYBXqivh7a>c)=h1 zzE6|twV1Uh-;EQk~-*Js{)Uk&~gC)z)IIP`T#?q;S4DPaY%jH1goa|)hjUeLt z+;~PgwIcbn=`V6_0pJWUB1-ZpRlE5u9F24UloC*JtJ!9)v#LNizl(PB@7iZb;F32( z?If{e8cVl_>CpUCJ)dgla_AqpL{mSH+N;?1=G$X}Lgo>2UWzDdUFTiXg+HSdoh*D#+IY~I^|E|H0!f+iGmCFbY8!?wF|1h=sON5u^SkR4 z+u&*Tf?Nt)V(dD#-!d+`9HB&Z-5kDVRHTl4KWD+{ojj1#i++ev^)IQZVJ{p3;A!`r z>y>;!&o!DG96p=A`*np9)^z%4YRD$XBr;)f+bOJHE$q70wjOjRFyZZr8G$F!`mtn^ zBj3oPT$_Xm>)ghe0Z_Gu$z_0le|G1VAS$i}9tVR+ zfi|MpwlrXi86m`6+8;kjph2y`i1Cy)5zrB`uB3ufB;-qKALeuP_0WdMnOizPC>=+~ zm#*6;E()emz#Uy~h}+#-T4k=Er#r+1>->Lv92zJ%B4YS=wFHCVl?|=TsemS5q4f@d z;YFhXy1VbgKHhO7h=_zJB3~~Fcl!9Ah~m$bXjPsg_uz8*+C_wI+NGD9Esd-`bDfoB z$H>je)7Sal#1#eJv_gP_HRb!l_763r@3i4EA}?r^%G!@nU7qHk`Qj*;3q)Ayo`j*M_;BHcxhU7IhHckun=X7c$stvYb;$}Z#%uwF&Z zGNO=aAaqN3z=0l+ALJakl=5VI7T`e#5?!wqFEdfE3+o_1S!s9TDtaxwR2-u>7+!X3 z?~`MidWZF*iRF}~82cOw+%6&O_vQ0nc-}s|xy|rof)P5z8TaFBjA{)7o^YC_UJzU` zPKm0Yz68p{oY;*&4I+3Ipp9HOc_FsnoT-E759s_^kC=}YJ~d)JxGMnQ+SAP zQ3lJ|(W$jRv`p-dw;T(thvPIMQWNLq&g)}u$I%qg2V8eD13q&`Fi!Gpv_$*Ao!pqc zn^XUptLklAi`W-{-jRdMgSo^jnJD;OAVrPKC!6~3CHHyCzrP~C{mIptFhuW@Ow2_a z<(bhU1-3VjwC^^lUVo<7PuVIdD_>vV`b=*kDlj(}uVCBqJ{oj2(ko;~D{W4G$C$W` z-fJj)T;OYexCeXL3SdOwOveuYA@mAKyv?u1Wp((%<6a?Ml+8@w7BMX0F+8lo>;_UJ zk9RMXyoVPQddQ_WIdsSYeQiPPM`9k){K{*OXRg11h)u(+6X>W*JzujHCD1 zzoqKjgeA*FD5R9!{tpEK0-dy9G8<%EV-<_6lx(kAH07q;@IgAh%e}d1gzZ`6w|GQ_ z$Nn|n0AptI=6V0nfnA9FZZ5g(y*Qv~cv#}S>LK0dP0}`-dJlCPt8}OkI=tU96#Fq1 z=h%#B@Z&RtB*^}^F+{s7U#YlyVK#UFOSQ3nGna(?_-rlIw1uC8tG66rCsJhDO}Sl@e~}1MU>Zm9!UobUWXZ&DuqzPS+8% zCTNa1L*w<5Hpunywi6ly&O!n&gCPVFJCLLiS2HOx+&;KY{A-ow1k5TXsP*kQI*p1kf7nrU_k6|I`c+uEFgggd9dQ7d>T%)t#Aghf42QQ9 zY;^A~UvE}aMLjWaad!=Lb2BQ6F)#%lmglc1ccyZrh^wvevH0 z&trxPy465#;rA<^PKTKkJ7KV`T3f-2D@OsU!9qwCcvt-Vh;0iKnJ_Rmu8;jQkIqU*8u^#~ z^@9C!&A$z@u8;~*VA}o_79|?+*wuNHz>a+xCh=8Qb14Vq7;)tmYFI!qA|Z9#76Wcm zZ^z-+@bcQ`(&6+H(6hQB0leyc1@gGjVEeh^*`*WH^862KS6=m8Lh1#749MBGW7AE0 zi_=Hk;5+?GxFp4osFrvpjkHkVN`g{(IW7@_eRCx7;q)xA43soN~rzN$W;a`NjV#p^VEMRJ3t)Jm%Qz-SPm~1pXuOI$}?m{4(~x5 z_b(@Z|0?k+>R+B%t18q|NUT74yUIA$hku+G#0q8M(@I_;4b_*HVP%=Yrjo`C#w84Y-L* zb6GeO2Shj%?)@(oT@Rf+R6u*SBliJp-6h;JT^{ zAuXIErFIolpdZgjzZlKoR#a5%JYBH=FdzISy*!z4evYeHB6<*C0>>5xxz*G8?P=~> z-{E(=Lp>PVi8Kca0ehY-lWy977}eU1qrbrG$^!-0-RC@sS2MSH2&yDgjWSJ}g837& zDh5VooboDrOjXjF&-0fpGoA#E3#I^ndok0EP9C<)cE!Ms@<%D%Zq-Qy&!(Tg8*8$Xy4Ruf zIST9!4Vs@(IiO>UT+dbp?(e_l4~~e`4VBbxU;d^HtZJ-ks&@!ot}wEp<;(wtthi+F zNRkvYzD}W9>{R-v%}OO#K2vIm~CcHKRX zj0UVZrD&P2&3k>c9KBfL#gafEQ+`j%(;g;v4KMG4}&wXu72d zqByY3j+D~oDR6Fzx0>#KRCoCX>u3|CHqp7i4;9l#3yZ|jKtV-WzSMqlT$GKbRB&f= zvLok}mU2UL+2@4yau6t*#Wb=bfg+2+@Gvl#MTW<1LOJnx`wF*6=5KN$I;c_8j)bXhIfWOn&~-B5LtZs z7>$Agv<7+r*NOrb^Pz0pm-ejVbFj>AIjAT@peZamN~9SJYOG=-6&&)5@TOK-5Nm=C z*d7MqOyzLf)Gs-9tbst(tS$wZvO$bT}N1N#^h%CcdO`Bq3KjF zn@L@dS?fpvdfZ?Ct+$_$BpQp>x&JG_pxB< z%m9i5DCSa8p+%Q{kl&sEqQ@!>^V{~i)R{C41OGR#cYH@VD_F~PU^U{M>lCE=bu$Yl zMds>`zqQ}`b>8#m-PMvWW7c)in~n#>Hla56#aT8%>)wDLUr+@vp!tIn*To((UTF(Z zZiQTZ$ttQf_fE0_=|W@!=8q9gOtTQmPa<4*tva;{>^_;8N=`o$e{6%3aeu*u+9OV` z+t6j_fz2BxzkxYl*kjqK@QrONWhGZ(rT<{^o7vJgDBuN3++ByaplM*7=126+IcT>= z-^_?O5?lC8oH%l+^ZRa!wgUBgs1~jN_K1o5%5E1pLYx4X?%(3VQfvZT8UM4!9F z$WS;%#S%c05gKhNbE4fXie)6Bl)uiN$LCMVh5qpl;Nk}3oUbl%L$>DR$3Bo|l`c7Y znwl2r!^X$Q6XW9n$>{d>76Fv@&2vCxMDe}~C)7?8b`5ad0a{qP2017;3i_vP{Szil zUxL^}V_-7#l&$jg?D3Js^2$>jm60MaW#O_TPt_)LfG+0my-uv<4#=l!MZD~;lBFna z8_yZdt&F-)baJ3?)DHS#OY>ThS>nSL9;*8Mi&Xu*O^dDe=_=$wbizk0eO>b-@kd<5 zx5T_5F1*@Tt=bpHn9IQhLI?Z+C?Cl> z|1J4?2}Exayo^;9-| z30mw|Uspb|Y~cHW@JN%W^blNupu6!@M+Cx{(k5U1gpliTA1;M3Bd2Cq|frcH$ zzuv9SL=qB z&Q3NchQt>x*6qJhDe)Rg(jpU^zh5$~={CMCB(L(!G%6PW?TW+%?rI)-i|>LA2n5Mk4|K+dS;%AD9sW$RQZ_CKRp$NO z>BY*$z8Cm$jA&!i=$`F=Rq0If|GWU7Nt_BG0_wfI(jz%6@=ts0I1BUhykC@6eNgD9 z!FSaf!{_D&<$qSlH|L23I3t6?lGLRk_LatdwO%y6tkaP=oRz5>`kC88>&4*SEvZ>t{RxLc|$P1UCPWvkTfM8uUg*Ual+#0)O5G999bs{Hf!bk@J z!xtrPPyAeJml5yFq(h4^H-#r0N!3t*cQx{YO>qzqp$xi_Lz|}D-{EF1{c}bJ^#RV- zk$U1MWfj6w?G0|@$8@q=hBS5d6(?GH(znzvs9{N>$O-O*rESFqW|=uX1kTTs>p_)n zA^vASl&d>#mfZ!P&w8;Df;aictlc0G*pj1Q;wFFMVe7BT&B?lg-InS@{_%$gU-~q= zCjE9kg-e8r*$p>pka%6X{R*K8!f(S)Hz66cEB#dhzJN2j(}49ZcA%&i z*Fng9kd^JYSXrLcjK?|W@oIum=Mmoi@|QsOMH{|IZdtzCMiNKT^0gBr872g+_-BK}!`QjGSgN0CjoJ_2nAt=g7Uk2qPLfwV>AO0kI-mD27sQZ|>|qQH=teLO zvn5Or{Fj>V1Pwk^lU$oTRmTbQ0g|SO)RE(1{Znt3kBI^}KmM|cqUM!C?S6YPB}>(@ z^Tna$rTB?vcm@7;BGuIX)6gU_A8rU0fGpv3<8JR>M-%rK`tU#hm6f|5OGRn#PExz zz*~$uR?7=1f-@0X7PceUDcFSZ+Xs~8 z#kC(RT{k&E=T9f{g%db@Rzh6HWn!5?Vo~QKb1!+IcJ!Ycm1!dGV?Emijv4XRr{LI> znVbBM_ax8`Pok}*ObnZiKitBea=sYZW&=|En4triAnS9$FmB!5YS zs+Kbod@pBkU6%4QI#p)gF#hiJJi!oyL{$iVE=f$oqU%-3uf}g`7%}4vDYLT($Zx!9 z)V6l1nJ0w{hbxyTauG#(36n_HkWlM3N5Pe+PNn`Bnp~SOE9EmrjUM!P(pFp%*y>Xv z!;)IsB_S;SsbF``{=-Uhc8N;a4DlpgSS$a&HvxN2nQou_3X~uw9HN(*94bPi{82*6 zz^}9G9s2<9UB;I@2PO1$J*W1uJAdmhIv}9XjIU}RC}#AN7fD&{gIKCh2`i^ zo6a3`Q;N_4<79ofg%I*n3jM>&>8t91iZEOFR`>~=2b8))%aT9e_bJBoCj%a@IieS7 z0v$vVp9zNAt@(a=@Wy5F&~xz+dC{X&1|1(H;RF^kFa}TS58pmb`H8w8M)8*htoTJ& zRu0>)Dl5y0Mr0+is(K29DTKM|Fp zwgdv){vz59Tut`2J~VmkeAl!xPtRyn*|OyA1G~2Q>11WSHoK*39RPe#EyL4VZxY32dU!=GY42d$b??V?t*b&yi_cSlHxCABRSVV11H)B9$h#E5i$OvqqTp> z*IiZx+CN<}{mEUZ;N<;aoP_4t-?JB%pNOCE?IuyRO~Uu7l2ey+F$N`=Y>XcFe0if;rAw+X<<0sJje`t<{j)qRAhV+ zxQi-rM&DqC|GLjk)hC>>jNf&iZaOv7Y6*2kWpTQhWu-YSMvBXf0pGJHGm{{bKm$cF z@bFjEM-($uGkKvLxiUvZ{g3HGwx!K{yym1*j$k&{@f@U+9u{w+7%9LHEnYA$fo3Of zXR!X*F_GMCMdx@Dx9v4hpW2*JYt@t5{7R)Tsx(EWs-$AQxg@yELXtKwVNNwVkm#UcE-}h14)K--^Owz zgd(u7@GXHSwnB%e`_0xAcLm`$W?36mtx<_bUI9VBi@l?v`-6+Ar8M9%yIgsBlh}=0 zt7Zgz!u9=4arV#zLAh`7G~2GATfGB!?9x+b&z5L|bx?7$>(MBale}e5HkNtTl*>S= z$@*41&_w7q(^xpq)EVx%J`(*%!#*&SdkxeL_!vbQQJKUg`3aljDa|D7_T+ClEZ-K{ zt%2lwoy}!&^D)CEucbk@{g0lXm(r0`;Ge9tN4#wwU3r*XDUTTtof#j~LIv`@_>RNX z6O2=Sr#r>pSn@>D2?adxAo0;ErZS&nG8va?UDq7ky-rEm-mkWA%88}f6=-N_!c&kGt+jn8`9>#Ejjwc5@u<41zgz*<~QTt^!sWqYXHX#9j9?Vn3`3Q_*6xe zDTsoH8doInL0-j2TEDG;L0!ggfGWJv+^4pLg{#rkQkoyGWC$*A3kDw*{(?(w0HAYhcUfq z|A0r1=6!0{g|5}m6INLvvRGe|8y07e5jET&=!^7y{}>&tizIqv2z1E~ z+c{64IJ0EYdQr1=p+D6O{*n?pSV=KnltoA41F4ec&9!RGm-EFfSGxUNnVuvTGr;p5 zk*oVs_VvtdLlg2~E0gOV=UhHE;>cz|5zo<%)~dsKQSmGAkpG96@`Jgph$4n8x+hK4 zk_(B`=Y*jC=AlH@Emq1d-V~=&KZc`)`@u!XYx4#Zr1LNN2o~4Om_uSDrsKK@8fNi5 z{+j9!BeCWn5?HK^yvpXfvNibyLauMYEG$AXymcBBB*$TV`dL)+A`XETP7fU>h$)24RVHE3xLQAc!}#(1auQE$dtPmPeyV z#O4-w-fI><7)YS}Qa8+irHq9tHa8TE zdb&u-jF#^tefu2i&`IEwwiOkxwczA57QVf$R&&4$4F^odp!DhKGHAN$cz(;tvLXnC zBzo;v-F}~Qnw&|82p@9j1GCn&V?~6ghD)IbHqnMnB9o*xP@%g-nIv@R7=PZwQD==* z;206WM~Krz#KWt{kC`0&y#2i^7@5YMx+xM!Q>ts`)=~Ub!VapA1WI_g?z-R(dbN37 z2Z+*3{Y#}+__$5;(cS<++D3Sj#~Ubfp|q?2<&0 zASH)pL~6QgaeWV_UbRjp*<4PKC8t5~V8nb-1cE6l$?RE;$n$F78wjuTCx6~MyQA^N zpy%TQVhIr;@6sdJZ)Xi%{ROtAORI~E8?&4s%S%#^k&6HoT!h(wPL0c)38yd_@j;j0 zC09e;>Q@8Jr}Fcqenxy2Pj2kn;oP-j?$N;xnI0E?F%^bEl6|rhw=e{d-OzpxUR3t1 zg56n|p#F5K=qav(&;LjaOn?_}@cEx^&X%S$^lb_fv0|x|6ub96nb=KJ5IRp-Kp*?Y zczti-`DaO~>}Bq;#?d0y)YOJ&Ijl~>4>=wpL^$CRu$ac3jHf|j6*NU^TWaO%L*HR^ zf0c+U3v}D*YQ?g2I`i=u+@n>8VDptXSI>YMEL{9Y(}`cSJtBx^viaCuu&J(&ri{9% zs#+K*yq_f`*Wo~o^ibh2uNagkoF;wR5*$3l!lca1_X_ePHszq?v2AXYxt~H6By^5! zLEcDDU(9ve{B(Y_J4K<)8%|dXdT;F=$x9Jvbe<3e{p)ZJ7>F~zD>3ax9JAI(0|A|0 z)SU#WBeRu(W=AFusLs+nqEvgh8U$#Kz(k%|G98)pE8#q%!6Lwua6@A ztoYXVmyF6@V{81ja^$U9@0V(|)$sD>n@9MzpUC?aq4;kb?#+3B=-054-p>8DCyBSI z3;J7%g7-l^6?O1Zm<@-s&8LFr;r26df$(aHuiMvUvu!!7uIb~$h){bINGyQ90bNOg z6|6K5DLo>T=d+&u-B(GTVg2^U{MPd}Uq`}7G{K5t`-w_0pVrDUfRr=$#C7sa`40^O z7D(YuR0W0X5uU4{1S5Z_%n_s5F}!MVY47u&RGA9snZM8@b2v!uP`hEDAInX0Bo$T2 zY^6C_f|N*ugTx|qGa6hK6Os%@J%$VR$FdTp`&EYVFMd0GHcT3_W)%DMJMoxeU16!m z(24{n9A{1;Cu`A777&y@K}cY^>GzFkpX}`}=f@<{C13X^g{!aymz6Ea( zB~U`({iBS0pSH;D;0PqB`i=DuWjbW~>bR6g$K?EIm#Iq;Vq3}{1d*iNacyjDuqgy> zS9rnoZN+p}?=u_)62%vFP2OBh#cL=qi_Lz;0j|9ac#Ps8Z-th`O~FKsFX_mLe`~S^ zn)+L3uJE+MpCv3m_W5>L7mGJ+Ex#*ygHW?vUaCr;LqZcfv>$MJ35=%5aYD1v>|hzw z%@DugOY$Er&D8;;Wf>Lzr`AlM6xq_7^TwMxzA$GdX!6nBs$+8=rjkE~XBYCw zz(8IRGqKyOXpsay=W%>lcI4Iaz;m)@(SxS)r2^6^Ku9p|Dpe-urN0^Dv z<93$GTBPsuXPoHhY`J&o8ux%H_Hw= znda|8bp1xaby#bUey^LSofkD9b=PjPu=D@KUX4-JrKntFHp`*3I~UhuNc}b})~IQg zrrn;ejcL-5YBbbaN@MEW_i+BX^)C+h{G6eH|0dtUr0WrDz|N&WFeHRl=oTl8PoQo- z*Kg3=!>YKIOEdfZ_JtB}+|rYMhkdlofB}u@a`I`!@UVoHRV#xmZ3^gU(@|A$D-ib$oujzmFCNjuRV4lJ@q`WIr^m)H+ zLSG2DZMcC=p8i#wwqByYWEC`+ZA8T$3r8IFr73oe65Q#mmLu_s{Aw;_k-g$sd_gZ? z*%`yy_gZ&CHW8sbYW3${L2fpFOnY_eW`9;3_(|OTWrf((;L~(aO98hhK1nf@mx69Z zOPYPZeD{~lK_bUY1B(H%^XD+90BQcJX$zTrhb70xBsrU~F00j;Hp|Cb^_=c^Eu2Gn z(Q-a(_RxQViS(%&t~a#CB*!%oNYq94P5m4$7yn4?rW9g zuC4{`58ueJD220Yk&1BOGr%wuxE5NBa(_eGRm_nx71Wl#Me*FP0?= zrMxD3Q)NvWI;!c$FTFK3u4AG}3QWeXZ121aXjv7D+zo5=VLSEsR4)rj+|+?<+=aPv z?>b#47G4gS*Uy4PA9M} zaz2R>x;1skuR;I=fD;-5pErUbEAeaI>~DDAQlS3@!s$A0SDzhDpILSEQ|wyhUy+l= zhX5@9kvu56{mS+c1QJIq&-%78gz@e6b>GC;WjjOtVg#$1-EXRa&+y{Nl6L^yO;eXrYp@rND2?u~VLCUhtd zxPDX|RHAu_qxIz5zYK6WYi zKt54hfg>x^KJp~%2fn~3yX*e4=XDxQh>SwYKZ<}$BZ8l5z*!Slhk_;*L_R#b;_0I*Qfj-*X;})q;`1} zcm45y)BkK(+$GU7wK=#jl@QKfTw>%owtCZCTCtS_2-CaEueo znXx8MPhv4SL-7#We>N`^}E#>t`34ht*975r!wDIW5*zT8sqxW0_V4 z8iNT53GRY);dzCHT}SmJln*!0USc=F4th!&rK1G#L%ln)k;GYfRV?UCNxW6JYhDwW z3xB+KMoC~9hrUCWJsw66}oq%p73`-euDHWcn0L>nk#`Dptd4cHLThOY7?EFD7Z%UwU+2t^TNhK+Da8&;Q{{|IPhWpgu3K+L`0$g z46BFIEbB!}?N;r`U%5OcrMFxHJXq5o$#$xkL7zqm@4ozUs(|#lrLB$Yj9kuLh~oG1 zy#MV;35Ou7&nBRm4fM})x}!TjMDTzn)%nPyLzOa0;guJPv+>9!U}qF?{X1aKpT(l( zv(*tqFcV#W?eVdzQ96c(@~rt4g=?#K#Pq~&#j1SedSL$;jrY@!H`i~-KMy2k2bOJ= zRQTzuN@5;E1PAMOjU&%_A?zaFmy2w{zbD%=X9P&Vo~KnUv+)lEnTi%x2U0o?3hT}*hR!IvT0tUEy2c?HS%R+- z{!%k!C6kV|9Q)(WTSUk2Fo>fgwfTODot=CLxVtc#k)IOG&YoZufk#XP;?TnCw`-o! z0)ccPvpdWq?a~g%t<}?LAjH^1woWCKoE$m)gJJy)PnIpR{wMleSAzQcx#)cK!jN1^Ne5n0zY~zi#Rd~?OOpc;87BWQ*kG+zLBr?Tq ze4Ocyr)+cZpR)(|?WhVwCYS?TD^I?{QYIZ;!56>O0T>RTD6Z5;;C#87d&ACfeEDId z9%TBpzI~R_0ctd{jq?qbIb+}SKA|adH?>edpCedMg7{UleP_R@^l2x^>(2!XR zk-8F2;uB2Ngna*Cq=WJfG+nm1FwLKbMguzgh7cu?9y-O3v~!kE7!U+^ar`p_-#VAF za&v4UlidTT;}Raf8$*MsQ6xcqIVp0?uts=zng}bsqe{gu-lC{!d_$VCB8md?gi*8` zIGD(k5__p6uYc!cV))Kk_b!z$0o_|lh!{q*$3$%XO*q5rlkq}@-I$EZo&q*8hf z;%>E#D>+^(3-cMU95%NayILTyu2?wxjS2_Xv0*266*kdE=NoE_05SVJ%WQHl47%&* zQ*mbphC7DDTsOQFBf70}P>r?a-(YQXj->cwKY7T?r3HFo{n8Fij@@gN*M45j*W%UT zWh0yBZ+4YwkoV6zLf~6y1O4v2G=xauwd*))2{N1~^5^ziqpS*l;S9eeXekyh0hH}j znFnbV-2td@z-$ld{%L-Z6D#B@~AaUcn&P4PNrUVO(7Gx&N zqw7lx;%^KLvQSJ_i!D((b@X;_Rx**|eIpnNV>9X8mXv1PIGAwNeTKCPtn|KqdY`WX z3I3s9kYB5@G#gIGsyH%0SXi6(RjP+jqESDw(UEkg4Lx_h+I^D|sfg9LM(=jVv*sf@#GBSNfEk_XKJvBdLe2T6XhQ+k!Y+ z4o%us5y4;GhZWvAj^Hu!%Y3v5p#93cHF5a=yZ|1g+}*S}{C=71p!qeeH%WJ=*uf17 zDF80CakH3CEfi#Tp@!s5B@|_dfvfr0pLqQD*RkR)9FFNXwJ*a&N#g}*)9Uhg@1jH4 z;N@u2rwv6^nG+|_=$CN7cyH$S(_eL@4h15vw*+V$9GW7Crw zT7hmEpZKQP%24EcYD3vZ8(~!EBC{R~nMMp^skTfyj5}y^GMKnIg%_!7mRvMYcf+PN z$Li`Dc||T8$pyDY&vbaH1rPlFaC$X;hb((CGLWfWX8N>?QN^~>u7YLCJBKD?*|O)~ zBA0U+z$^JLoVVjT+B@1=w?wx9tl8=MLlm14Y+>iU;zug@+kRWVQqiQ3TufAXBytpG ziBn|;iJMGXdL}mhW8!a9+$R6a+li6$dEX7p5X5H{_>3l+Stm5fs+q$lrBJA$h%`9^ zH1<%B*-rH~Pn2CyTs9giDAqCBH^G2S332teeoJ#_=ik<52q*oeuyd2K&TwwQ>L!1| z;kPoSGAZ+x)@9dK%mE}2_MrN3JqyUy2nbMJxON;t22H1{&~bf@i5NzMQ{Js;oR3gq zv&ClCM6iPeJ@2mjo^}7ytWZ%_Ru;KCjuL%}Nur--3PdpdL~&Dk37^z`&ba)B5gx}g z_uI#sQ7O${ z&%x&j$58|9%P63f?`pO;w4@h;@XC{d3l!rOWuknZ>U!*iml?cer3f?|3cE(~|Q z5*|?I;=Vf$l=zv)%PIKIEEf0GsVmN}QoH?Qx>k3#oWugh#s;s`Rk5PhwuPgOT`g-! z4T%?EPW|cnDgj3#k}Z-zITDgy5=F84-*<;W-dJ&?4=EugvFX%t4#T(~D9lxP=q8sGe+(5Q}fL2`{OZRp-|Ab>rOUI)1Pe8y|PeqUhHU__amnM^8n5Y z*7T#Zb4u5sp$rK0`Tz#9<~ME9l7i9ZVl1`WKw zr4Ntm=pdreAoY@|2&syXrW#Hlfc9HKE*>pzVDj`#?=bldQOG=h^ZqhXr^0WOeww{iD4ebN2GJMp& zoSxbD+I)Z~i%Hc7rmcv!Zm&Zj*kc@%Cld46Uzr}=SW_hz%1S-`ki#eXT+nvfqPQ+C z_4N}P?&}lw`YZFPw@{Yl1KH18Ez%~rpjP92Kco7vV`3f}@1$-&fnTjmC#r0SXC%cW z^`t2B2*F+Ef5=aT+`KyDqg8L@p7VQB;=|srunFSMlLg?#rK1TE`lJ!VMER9}CItVn zo=F&93EK2pPSqw6b3n`7;#R?2Ba|Y#==zsYgQwBCn-sJ@M(>k~+@^h~6=;XsSeZOV zSYVMovg;jHrqq1sxmlTd3@6NcmQl0%m;P_fB6nd|dY{Xc@?Y z#bMXhboqKA7ZkAT^c19VFNeS~RJ8gCcH2w_gZdp#@ z#N}<$EyHzOLt;^a^&Q5a-y+6^%PFabmGgvwnGk0#8HEImjD;Ior9h8pno!5i1S+#V z6%uE<@McK~V7aMrK9G>UA<+njlJO|uZfH}13HlZ^PnHmObHr@v1_UxSA*M9VRH=+z zw`U#}i6buRENa&9g${OGSERRYmBj5@Pkgr3S?~blCq+f$kttNM z%eUab-OJiFjwiT7-2rp2M9df~8 zgU?$URXk+4AXC-qpE#;9JG-0H%h&BxLC2~s)nrPod#U~fzARFWt*sB$UL93xjD|p^ z`-Sp`D)BJ%Y=^XrzR?O8%26&hY)iwwrjHC<#b@UZGD4qB%MsnG6!jgX7%eYRl5+>Q z=(#d{=TzU#U1OS9ZW>zIIeFNX1Cr;q#m+mRr)bhfZ*qP73&IxmKgC=S?V#!yAFl?9 zgE?g+El3o-NG1}15fk@5ysSko@*O7!u?>a3rvNwRf!zYD=Tf_5J$?m=Rz5<{%n6d$ z0m;j1NY?R?fRr_@jM(#g-!|l>5P`sXE6pO`L`wpE%kZ=#L!}LM&Y53c#QS@$r(-LT z6}_ETf^qfNF#9y8D`)YBc3l*+6<&~cCg3dAj^(lY1bR0Z%x%8TsQk_xAQTfesdf?n z;2KGR=Rv_OQ;adkdO4Wsul^1*P;D#EKBc44jjeqvp1d@Exk zMZ7>%U|Z|yGQ-MAa%c-bsk#E|*s4|9RyaAJ74?hzMs@`)@q!CEl!p%+H>uFaoL;P@ zf`f_WYmH-Q!Vrq~t^SPM>{2Xdn*FLFG&(2FipnvKaH?WznV z`mXZ?=k~vIHLqt3V#thY-GKi?1|NflX}XDc`qaLpI`Xi>a{x`IJEa*Y|6s+1m}O4{oM3v^ym`7Tau=h8=3`#$neKqa z+lV*byF%+sP)8op7Q;jm2abbu4Y7yKuCpoZpfmaHa|aOKQDfY!k0%(B=cTw?ZXuip z^I2vr^x1WEjo=<<{im7{T#-31d8}ic1h2^`Az(&Gc8&cp!793h%_|)q@R*1~!QRh#7^@r9RITs;`)qA4|(VDtS8%*->E%KE4g- z;uza&xKg8+q9Sy}=gWiao5h7F6L24%@lsCVkWid12b4Q_b?h(BY(F|#mhVO#?IBW5 zVuTe<{U4gXGN`S#Yd1h}D8Zpva8jVSySvlkUfhbi6^G*P?$QFqy~W+#-QD%%dCz>| zPiFYDXJ_quueC0Ft2oeXMM?8FW1ezFBh)+K*qnsNBOa{3c3 z9s@lQG!KQ(S?xA74&Iy26C0(phTlx^k;A?>1rZ{_$0LL&25kMo=)lDE2AHOwJsUq= zL~(D>k@d@j5-3Pi`O~bNwsl4j$}aNvq(LOp_wC8Ag`7B{wB?T!u_N3BAJ=4oxiEX5 z=$(>w#S++hbw#YRxGK)^tt+6##;l>WISox50k2g|z)q0CWU-N9p0~WOsa9W{WY{IE zHfS>f+_#C=A582YEg@6@0nevIWR@d0zhdUuS&(h5vfiP#V_r+`FJ|0&(->5P%8=lr zA?Q3$l7navK8ot!MOxck9c<;{=U|ohm1aW`xZU0KYPS@r?}g}9%&H1qRFQk%O!a*s z-wFr}O;pY7VK6KfX$$tx6nr`;5IIjtNx>lI2BEK)*RR!?pDVqOFm-Ih`Q_G%B83-M zK$p$i2di&*6yU-D4M@VMG1ZJEdB!i!BbdvRGW?v(WPGdLw7=>s;(e89TfEJrq)8R^ zvw|++VZ>|Bxkax~3@4b<#0I(rQ$M<0nhU02)pkVTyXNnlwXMFEu<@%uBVZ$GcCPE; z0sn5-&&x^Rys7sPpE^c-iuGJ@EeQR~urJx-sn>IV9*f?{H#%M;$s}yX3u`|~Ds=+9 zAWG7bv|Lkco9uvjnzeFkobJF7x_6vA`x+LO8K{FqUZk3Rh11GV+n;kjzU$r=)PDV) zSwAwY`{U(+qg$P#(14mrZfO{+T3r+OPV?Ru#z*tKfjsh;!y8~^8vj5h)D-QXCzkvk z3XFTasJLe3;6L;MiRMLry5K}n$1hVoaMToX+KeT=j5x&K;*TYO{$<{nfFcl@Jf_2c z!UpKn^Enl(EU>5@IudDGk+XNP=YxfmaGa>$u|bw+Ga>yRG-Sk{{ekaBQ1m6agUKef2BqIn$4)hJV0Z5iL+IxZ_As4nd zAXBzJ5Pj6g)-r@j_AoTCvb5aWt=GDn;kzJsqHz5d(a-xn>mEK?%b?PpVXgpSOpJm6 z_{--lQvZI5Oj3Nx)79=xq4Vym(^p{}093~FWeB(HzJ`V(R&;KTDChBLzq46!E`$KZlB=QziyQN>MN+QNGAiO1f3-)| z-wv!AKeGnKKcXH{tLO>lOxt)nd5 zgzr5n4+?hGOiGLU?y*3MOtoIN0@ek@*JZvZhC>lgjtl9kS*xE41^8r;-MY?uo?f9M zggo&?x*#$u(V6*qZfDDzQ@M$YL2ntPW=%$?_pcwJA%>>BLTTfB@&WmY6w&|*nl{TD zT&X|i^tTb>DkHlPRzLVH_3mGx8JDB|XD^r!;U8{YN)xy%gTVR6)ywY_Voo}wP$Ipq z1#4fiNwe@S)c=k7fSi)5F=yTm`zBv)f zFwu~o(6R{HM=cy*v-7+=Ww#~jzu_-@j;_`THDuaHZCE5+v>kEAnIou@@S5lR z-XBqD^m`Z`o)!JdLGTd@#Z#IUNVeG+qM>W6zE3|K_c>GmZC0$uft17Yg6+s+{x%E= zGrnwQBwL`tl*MX^i|t=(_)Gl-i*g8oDjBDs1nI-<|_CCVS)Q*U-7>2~$+Qw|4wP>)UHiGBw z&7xe#aNLvD55t@!f2AzI>xC5<5Dl$vxqo4Zo4FBai3@6CR2`y!z5{DqAS;4Pf#gV& zx>h3k^qfA@s^k|ZoXqTKihy355B^Yk9eW)sKV#oGiTCl*L=*uNUTFDg5*VOPbPFC= zy;!1r@)QQ}PH9`S+bk!m!U8&zE(6U{<7C7uDk@ZmHnO6~&-a2+=4pD~;1C(=TA=jW zkW%A9&D{O4cfS9TL=0?a@DxC*Z(YYXn0bkeiM7E6b+mZ}<%+i#n_-#*d;UL2kf4_W z1G7xgZU@m;qhJvc&&0KYqUmxO|E;O|Sp9z{XZ{V_uq4AUJC$(7U~A8%D*xyEQ!sT5 zv2g;#iJl!H8LIJAVO}~|OQg937-3(iPqP|hOg~iUll)uU2y5RoySGZ!iji;2d&!%` zeL^3p*DJqlRZzX|X@C6XONvEF>&StQ+&lW(6c)j|;RRd(C?1~{n{a^IBLhT{D{i*y zg@eGd@NEIpN%Od?1Ew0)RRT7Sm5;h`AO7lU`Fjuv9|!{W~x)U6W_ zMRC|jHC3J_oV{7R5GF5?2`ND2L+RttmA9#ffu$0N=uaXeK*T-b134H9qqTUpCr!oH z13`4VuX{{y_g{x$f_9TkOJ*s+MTx?3(o~}Ecmbv`A0yq*V38cQcD4~QP7uY5W}LF$ zZlLjJ>)O@v(yFrk)7A|VmO4mATB<010^CfPLUk?b1A75d3eI`l!TWrkPgH5}^S`w- zK&<5OfF`IS=rfFL3QeR0)TT>o=o;i;RU1UZp%$9NSIiW*@1ZLDfhErJ4^=FUtxUzP zN953GAq`zZCOwk~m3a%{Y@Q>OF~aIuUGaf5`~w}Zl>JCotVjhl*-=Uxmvpp7nLf&M z;;UeU5VZV$@U%^p;?_0ingL2Vt>EpbU_k0b92B3vL0vk^G_J9E{A>m9GY#v&-(DSf`KreFHS!)Yuuf+=afCLq-uDO(8u^A* zD1(xhm=C^e(NZIRUd3}~{qe47WNDek!4TZEbv3+xVij~5q)YVbOQele#qtS}&BbkKn_nrcdR+cxC+TQ-4h4UdKY`SKQWPFnF^o@4-^aLq{C4 zOFbc8qJgKmsq}eVO_%$L7FJenEE&Hm)yB`k9+F}%o}Dr9sS3}^)TA_(i%s$bH_NlT z+M;lyp{tvK=&KP-QGJdWy5T1cUFPoZ|9F%N!xf4B9ZsP1`s_wQNqW~p=@bHjhVp- z+fgLs45)wPh<4@G&_Vz!w4{#KNjPB>Dpm9z$u`m|9#wi&*Jfr6Aej8*`h?xpI9Gb( zQNPytKDAH=U3b-pfBPTUtnxAHh&j|AEXQe08(DXZpaKPpl3 z3|jx@BUS*|u%KS0S_39GksctzlE7qkl_VMVHSi#8B-Lyxd|&Xq_rwDcAim@>dCgyx zcx*+ntQNuUAxpkBZP#>{{Y(l=W|1mGWc(sQ%4JiJ@BSE9m-5qfiH zO`FkftsYh^R#Q`p-UiC&^p~qzt#|mWzqjFg->|(OK;&d?n?7MqT4l8dwwkL)fRw;p zqBKgtH!w`devex3ytO9i<8k)Cz8%yHa=6HQSUQw#O8DW^2gVHM6ctMbeGJ!3i(|I)pwqEE_b{RE7}9^vmlalUQaU%T z+-6Hv<)?{jRcg-GgtfbrA2CmrHVP_iAM#((yE?27C)*!N&y|k>JI*B~Cy$g_T%{M~ zTWUN|=0Kx6BUjTrg@5!+*P2DgC(01C)a0@#iX^p%fWIqhCj(_1$N`zU%b8t5-@PIu z1y0jG0s#0&75^#CbJEjc{o$VPpV6WW&qh{m)()|0qu1YdnFOBG#!+y4NXXMG81Pwn zu-!4MTlbcRl5kq9x1}Sifk`b^J`LX0RRrIBMF86-2=l;d0rhzpp=abpB zZqo)nUH=cJ(1NLRLk;SYof7w~H@*Hd_kP^>sMfyef?d!L8_v~UY9`lq;KITLAa;<7 ztG*lB^^gw{WJK@&zlDt_AmLL`l%kxuH8BW1`O)9DS~$2$-P*-{>Nv+R3*+XQ z?ZJhf{n?gK;o1``O+-bZ@ydt8!NM#8Y80UQwNdSq#>5YQp!uu$I={CU`l+{B%sFv< zrYppgJYiaS>C41rmU~S***sP_nnQP2FZ1`;D?M)KEb#wv0dOCQPy*syp8Ecc3n8aV zr;2Mss*+kKulT8pq~!~PK(G?2i-^Y6AwGoB{{Cle=lY5-H+lCjgpCi!Zfln-`!D-x zhn6(zez%S98YtawzlEM#?c3cQ*AtQ?#QD7ksk!)fpW40dkjM)`0O2sl-tiaU(rO-Q@(0z*E{k)MoEcPS-P<&NX=3O>biZJ3_2K8S+ z8aPz%pbLf`C%^YUV&(#{^7Vg7@!^XHK;b_afZlwaZ6uF+nI`+kyFlI$p_)naRYRmT zfpyQ{i#9aaGC15ETItfXT%4I*WT{EX!}x*^0q@sdeounrK=60EA#=e~!e8El^olux zdJK5)y zQ?Biyz|c_`%ontY2A1zO;@8w+GMEA=|7>Jx6yafnkxQZHrTSNP5ZGc$dZRLtY0wOx z$XY2|drDFS=4DjAtGnGx`FPh+LQw%KQ-kVkoQ!<2Iuj$tAqclZ zG17YfHp$1^U6*tBQ=|PPY5ir7YkzMKN|ScqR^VE_-*_c^>yMF%r6F|n!gIlFer;^G zKet*VvTuqT9E+M?H*8~_4ShQg6@2R*mxeTH!S>piV!})q2#vW&iXr^Q0%5-AkiA<1 zzU$r-j=T5yt$5I)$d^pXGoC-raiJe`SbX*`@dqdm;WAogL712abt@|?Z7U7fef)_G zMsOpV|4z~>fuMPrqCjWu*Ar1;VI|dAF`7Rj^1*cg?YjuV+ zO;BR8DS6A}K>o_D7$OF8cg3X$wnaWy`x$!Usw+`{mOK?!=X1mQ+thsL)u{b?Oh>oy z#bPj+(4B=Xikg(9jkk63JCxFCZvy}XQjOmRQUAktRY9DVzW1m*=A~241?Pu{Ga#Bp zrR&Q+cD#EWpSPW_PSrLM%J9V-B2GGFt%xH4kY4C;OH%eE+$lz=rV;PYQ2WI84AWa?LL%SARpMk1Vce@a^AMgU_Wc>5RUk zky8Z9hyyh3tId6qo(5vS>`47&PDu=Ygz9lxqqjeK?@*G#0Qw#H$K_}eN))rw)6-RD zYbZbcYIW0dv$a)7)nGe88BI`>g$#&&WdcDJc(4rfUumyKP3=8gks6nnXs1uR|xs z?~lu!4}nbHFiJ?iLOoWBR9FqRaup7HKes+Hbv$STpy!S9!pvI8$6?LSREuaCt}!D} z5?|)N;fRYOyv*K1^)7JqW`#sK=P(*s(Wb$2xyp_oe zcHQoI4LDvw+vCJ6gNDBrtBUS|y1Wg^YZ2?7b2%mc)foS78CX9R+$*n|?KpeYXP0n( zY*xNuf`I`p4hH^)>WvKN&jm9jw{<>Fl?)hcUmyX*Zzz9xuF?v=Ip}u_2nh*6Tbi~# zj$e<l`_--q3*so!wv^b>UC1H{uJouYyO5z z4ut242Q{^(-01&O~WtR=;8<1=%FP(umEppomx&TT6B?k435=j673x)f zi<2T%`OkT!uEMfecQ3a{Ud6`=P8woepKhvU^EIR-VELA*^HlHry+Vg;0CimvQ7K;D z4lcO=^M|aZdsJGRXXv}HBFZzjv>)HR1T_I3`;^^ic{;eP*NW$FoJlEv8$p`fmy5Rz zCBYl~(nD&dNAkYgH)e@|u<)QPX{y4?Y{5|``-8XV^Ulo>A_^lV&YC%Ba|JWFunYxA zGgo|{X>)1Mh*QICJ-eE>to6G3Jv`?58oeFs>T>8Crx}0pmL~O&Uo6L+ruhJbB1f$c z?P|K+Y&;`!U1n;Wrq2Uqp&Y23f=<*zCbOqi>Q#j%YRYx*epj7Zc%D= zNf%A0$?x?t`8wpqN?m9G$%GtUGBDPp3Sok13{=RS-~s;LH2w8sUs4tim93*UrTt5# z1C1hqg)1&lizRK5lEN8ndPtG&@>D$i?p1cX8l6Nz$J&*yr3{jfR{%iT5*(l0%c9D& z38KiW&>bJ9#a8&wj%#<;Di`r?1uePVx+*+Yh|K3ilRV3s{Uf03K-OA-OGpZ+jSbh` z-n=DRB`;1S3$;O!S5hKc+l@4p86yl9^#@HgNCRY_n8tm^+A;VUikKD40I*QuhN^5? zlB1rI2kGT4CEu(6LV3CzbR4$C~%Qww_shfA+&za>4473;Y}#2_+caP7Fjdx-B$1F%{<=sE zzoHDp!~|&pwP0nyROI9tGPLdOXN}G!DH<;0_x)u$F6(ozo}+is3m)flRjrv`_U+{c zSzaI7*E+tC!NOXFbIb&roaHv%`G;Hs{FvolC0lo!3JyMGM_2Uy3w)v$dn1CU$k!XR zD@r_F7;RXpsl&!3CL(s!L*oNHCN=-YrDD(W8o>L!#3r zQi2 zZR~tikR2q?D=#mftJWh57w1O9VN)BY;msIjWrhc2XHHuDX>8=ei_CK05^6V@E-uj! zFxP?^u4Ry`<Z08Z8P&rsLk04Y2^?TJ(#4ZWrbP!PsOj2f?p*(bISPPu!ih7 z;WHr#uMqsI^cJwJnQD!4Vp!WZd%fk{>gP#x#Bo0NN0s3R6k6SBPoTf@KrKVXr_{wZ zQ?7C1qM5(J3PfD4=YCw;xMc%DML!hi9sOl7&p1>ZwF@m6-b^J62d=d|Vr&o(?p|bm zP7p|2%9`4UdkRM_$;*a2tkT+1ztSdN<`QiORH9XK7(sHszd}a~V;S*99^Z{sn`Jkh)+J4?_M( z0+j6(J|Z2)~24HXa1(W>A%gFu$uWL&X`geY!yD|R*3c48uy1X3VFL;&(eE9 ztSa5^%{i%dqXXQa$l4@BVbk3beK6=}Z1b)xCKh?WU3|O@9*TOjEV}b822CL~$@1ap zG4%ykvzM6RGH1@;a6hlhW=%TBEtP&)VK4}s|6i2k+r^O~^MR?;ml6wQo{c9WYS;g& zCJ1EHO<(A3`e)lzEpJLuBp!8X?0Z}7Le z($qGHMM%e;YvXk_oN6hIhJVY!{T&24STj#A#D>YGoHcP|ay2Wz@%ljw7xq`Clo2)3 zKuPy&d1B}$NpIk=500ukdD|6Ihw6}PA{%$C3cwy^;N}gc@aTya=l2X?O>?+sCLWKE>x-=Ad{wo+$}^gOCV{Tq0jFQp6_E00Fa>ZX>F8E_ zE$)as*L{G4FDisWd?xJ-p2A7Ld4NE89!X)cWla5VmS0$k{?lVgwl|u542=zSBwY~_ zkO8tA5|t(lMoB7F#x0W$Q2%Wy@xfv+v;})$N8{x3Bd%bDPtIZ*Yk;}=t{@$a#~H%-AUoMEGBXh zY(Uq&UGrxU63z}soVbV2^v+FgGh&ULr1;CWTFeJDbp40P>x_(avX0mJbN+Xm@o#5E zh}q1E0f6uK3T~c|_ve2VIlhNHf>gnV>HP5|HA!OP(Y8)0%i7D{?S!YdEBMilw1HvG zaDR2KB<9eCf4Lo63GQ)^Oc?yjU}h~72jw7ET+-P3@WI)Yy}5@HwxzOi7|*EhVrycxj5!r-KGk%5dr{z==m zjrgM4cs$Y6LBcoA zyt0v-o06Pdohy%jb_^mpqxjE$F~m_4&$CCDNPM!9GX?r+1$tFXrB4fgC=ip|9<~yK z!{PVxw%>Y5BQx>FS&LX3tygD3**lx@N(j=#Zg9nDsxFd3ZH4@CjDLj$j#66(J5 z|D*-MqePRalSQFIBaj7xq$5HS>wKQ?wCc=iuBD0+ZTX_N7aG{uxq+ha)M($HUt^`K1G(MEd~iB;A93I_eIT|7Api2G(h z7Ra{N&B(o!1R_2jWYcsWg$XAvl7`^W1>KkpoV}65hzQ%7l%nH?TOf&`3_7K8@%F zT);y9n|^k+zuMr-6e$G-Wb)xvki4F6=ER^9(uzH~J|vR2=6 z2N~4JBJ1vh-tmQfk7{6ynP;?=3L>Mi@&1w$vI*R$mc0NQ@vxvJ&!Ko$0;CpwWq8LE zFl`(Dh*j_07jgsdc`#_1$~D zj!9@8$9iL$a&w?-L)g}Z5paWXL$AtYr_Dw`M;AO&g-G0AR$bm;``p53pRPCGR?#>e zIRH#=aX%^k{Rj)comuUi1Ns|@@`Ws%+)%1E6r>99^Lwhnet(=vPR27U7+GDAD;(lo z=A><~J*3_RlscRJuB&tEJu?4d%P~#;HvFIx7q2>Ion4n}E23a)%dQ(Kos0|oBN-Cf zOj$^h!Rkj$O6q%X?^Zw!^2c;^YiXokyAdwtS~b_g0|$j} zWSx7AZy0hCmrKB?YKv+{i>WFz6M$sF6banomDMOH#s5UX#;6z$HFCQA#G^SHZ=LLt z`C4Gs)Hxw&7Pz!1bm|NAqWLDN$RS&J_!AWYa_RT?%HR0I;6 zCqAp-hm#v?l+WvG|JD1k z-EpdjannlO%0{gFZ72cQEc5WBkWj+6V(jtG^??OAL6U9G z&xDPSmJ-FncVE?{wjq=$0*U_I9lf*JMf}tQnlkmtW$*2#nh}HI^#YKJE79%3cJU#i zLx^#4K@PJW$zc)O8MQij1&^vY{!dQFPD~G#ylZ=Fq$r<)=DVN1Bzp-R{aHvzlXa8R zJ-932EAikcdIx*qUN#H=ni`)9RHg}OsfGB3GOglB#m2jnrKP$^dO`0qazN}-7L5Nb zUjra@&rp!|`X{{>Z^Ek0PMVyFq$TS}1lNgd3!fifJk%;&c@^ouNv5m%TVtYeWV_ed z*eRf^D{+tplP4OzDvi{2r+tGK(_uV->JW#|sF{?m`_T=yiYwLf zdX9e0mdxT5K_!ZIvdg+{=hwnoExwG=_~h#u*!BB z&9$Pu9E!!W?B_OzaQv224A15Hx*m5TB3{wb2m9SwLDfGN7A8@35vxNH*B>}B7x{U} zI(*j6{HaaQ?Mm@lI`I}0Lkf_~H=7~mKm1hzVi`SK7hDV>7P6fkX7ar;!6$~on||-l z>x4g_v?oG}l1-sE$x|%`TS`*$gTRe4larJb;(p4Ij=Sc9t50sFl*o0h>Tiy<*X*P5 zp1+uMuLbeYFQS-r2YZ@6=AU2yvOj>}{P)-ObErL^hDD&7-yH=C012VuMtoF4H?L>V?nNwMA72Wb2U zJU^OCs8Du6qijEg`0D^xKSNFM$69Ii3PasiRBOBd4{hEgk8O%sv0E{=%VcW5{OhMM z201g^Wg*e0+tlJelIB0J0mhr96i3oD1S03McOVshVpFE%n(tJ2(KkhEtxqjEYc~;f zM!Q!Yk>LluQNL>2^%cIjD15mn6^mJDa=OMuWLlcI4f#_EUCZkv!vp4Dc3Q^uZqo4e zwQFv|sG)w5NKzlBNCzo^&#~~MfH@6 zag14fAWXRAW6T)>&wsNF>8~sTp?#t%6bG`j${S&(KF4Fn!k82cnRNh|1p;OQG%8gA zO4sCj3%+Qz&I{0R?T>CS=Id!%$^N)b?7^{VodN9a(31uRuf=B=x_%Fb>rXU)0kKD9 z2hfs!{6VR|)P#T;!)H@Q;py;?UKEL*AT}AkE3RfBcxmm=k2X1fu2IKi(eUFSHzMtw z*zClJL$jj$xks!^uG zizs}W{&|Pusa@?{h&A~=NJ&-v14IL!loe65C#tmp>gQ)VoLr^bd=-qZj|7Ab>7@oh zo$fE)+lq_(Y8mDzGZgP{ZzT#Q)j##tl~z?njX!OfLXpxZ>o$K!aTHmVZOb5|bshY@!1>e1&n74)`z`45%m1km3m=k-`d9r4_{rq63nXHycfN z*Zms_H$n&avQxDe;jN&rKb0b@^-bf3h5S7ov?H~b;)!+~yYmRr`pXx zZTU7#+4eHNaiPo%+DPTpr{qu?#JEwXt5FV0TuPD!gFt5|$9Ct(*|^qWq4_Jdn%ts= zyi@I>0~&5^BH|qN^-!}&Qx|3e)Y-Fx{q$j`E_-4S{+a6qaCfvp}b%yGk7)@&hw_h zNf@BqrE;7k^vyTbIfaH^^@Ef>7M;qEAj&<`zyAo4G{1CjjCE8WDce#R!Uw|amd3>~ z0Hozm$oJUCe9dOBl{#+F01FN1{+r+Fu#lO!E%XiiPyivJ|NX&CxMmtj$ZoXg=~#)? z)AcOr=_ERqw~wwOp;)LKd+(M)UIzc_W~ciF(+WhQe~SqVmtPkv=DGbpE&y4Muk#^! zBtA(C^T$ZN$E;td5TpTz5Yy4Qm4gbuptlhT~}5NcKTk5X&c!KSZRlmb#jEQQ3= zi<0e1v{&jRRp{rku7Mw>#CK{XVF}cS8f*dF*CT8>&_=(!{L=(#=r87O!`}@J z4dvw#uU%Iwq{PJhJF#LRAt4LuT1YAe2IB~A_y#wd-7T76g5L~sD1!|djFSk_-bvTh zy$7M2-xuZYKY!p!qpaVI{`N!ZK$?c4-bhg+f>^b><;K$uD#lIYkQ9UdFUBhlnc@RE z!24Qfz4@q|o`VN>Z6_WJrnIG{yx#WiVnSI#!9UD##RobVApZW}ZU*eJ8C=pgvi;70 zzwj}Ul3I!26d?DAi|aMWCn zS!_bGc1_79QJyh5PdQyx-^Q8zMUU{Dx)?t-eIHNFQN$76TQT5wfMIqGF5o*F-Pp!A zTPE5)8{5y`Ft%&|aDsgLGRHNYqj!50(oaOm$I0?B*DXh!gx4eDHWlNDN^px>Eo0Rr zO%C#?i8GSZv0{3*;xuc8R^#LWU|5$zEa45Fu%A-KbmHbM8UU#kEKw9W?JwP3v8PB9 zvPjOvWC-ys~f^Q*H>d z=@(I_3z7WqgJj{Vr^b)7D!`tPlNSpMkBQ|^K|IKtTxk{E_|5hHzUVnrs#cuY*qlUF zRGJGd83fqj7Dd|SR+OtG$BPhF{O3f40aQK9YwO96O~gjM_HX=i!y{@4fJ-*DU2C?5 zj?(^y@C@%!KQJp$(4jSV2j2Q#P&r2D^?jkiRu~|PqY7;u%8x#J8BVaQt!d+-vDa%R zQx^*NXmVS*3gAWe{EPeM*N1_ga|XZOO5gWy(w(~nI)+_p<_u11Uvbx9(!5_&c<-5r)tBy5k?;PxiiwHp7Yl zW_;mfftmvQaOxpwtPNqNokm0RMD}G#hm9m# z&R{Qc_THEw}zyzKpO6 zFWD}Pf_dI?IF_BAE%)mQHk6z8yW3qprq^p>K@8vSC@qtKF~rYjhbiXm#jO+AX#&VT z|C&(ap^x6ys=O-ofqOdcWRs0=3Ryg?bxbVpGjT;spBh|RwKG#iVpxb&EnQHeqly|4 z$~RO#0CdSKiIYrS6bs$IxXzg^$O=cFE=0q{k`NOcE0w|2R%(}NwvqJc2O`J1p%*OT z>#j6()%C$)N09@%o=7rQHHB?LQQRLgA9GI+L58c*qpC=|bU1WU->mi2)bz4!mx2FV zBx4eH$stjQ;>hw){-caGq0EgH%}ph-uC>*{ggQ8x+lG!l-7u(#@7(sQU6#7N?Ge*# zGwuDv3Nuz4_fAnJPQd(5=i$6xn=O~#;Z>AxJw8Gye_PXXCZ8j2By*@4UHbP3roYCv z2nXN*pdwfQ{V8?S%r+DNR)>#rB9ej?Auj?gU|AdXJ}*F()JGI5sg1}&LKYm zze{cPt-#Z1vO88q3?l8C!hFJJI;H_(;6yM$S=4szkHcU*wd&A)$9;QMRTbBoy9sB; zmn9UAEJbM46n0!<{Hx+ZgPw*)iabqdXy_vFR`1+11^R3w7G(vZ+y`D%i}Yy-r^M`+>W#fZ1E`J5pg)!eAt;{A=($oq&ajJtm$AAgIyVhk%z z6+l;XLUw>5wS`DVyxt+exZ80Rq#hR`U+^?z_!X(QTIc(MDvc*>?ldC zXQCvi+Y&xVQ61$5S$}~{{0{>vJVM|XRqc-txa-{K_H+26NF+VlNI0K+zeCC~<;yfp zr2DhRZL>R+=(*?H0)~xZ#j7cYL;4W7Dlk(@^8Wj5cIT`+a&UMGC;)>*g69se*k#89ShA6lz*4To^u%flm#uFzdzqFh?) z&5=G*H|FUokYRDhAxx^b)S~6R>+G?e%IPr{Q%rswiFM4;;PV413m}=;ThoAMHcdWO zLJ=HWMHmOyz;QsgOQ#wJ3n;>srhNwGmO+r}mQM_s!9c!Yo3Po-A!j}FAHN2kEOe13 zlTLQavD2sk(&YSX`z_MCxd*bhA76p1J1aN?GAXLk5N^}2dL|m>_((|M&LdpZi2(20 zS&gx$u0<%_X^W+w_!?@}eqCNs4fSNAq@--HEt$4#5HhvB)NHJ;NB{6aI~f-Vn9O7# zXgybXbY#^a(Y`t7#q@O2t4v)Ot15k;9yq{wxsrPHR=^_v7&}b_ExPGokgD{V`}>A4 z1F_Mz*5GFur+tn0GnfxVyOzGL(2J?Xho?LJi-QRbPkkQaJ$@xdLHjAJPZtEPWmueA znVDb4j*)u1jG>D2k5J$7Pl>3vl=u|S#KY9y->D>25~SkTwPROAGc14q@AOfP!i$_I z3&u(<0H8*}Cg{}1XJ;eN9JTX@9hAY(mSvfStnHaw)p7;p$FNQ3r$v-?IFe+#5-N0t z(G?{oQ!R@y*tUrDNk86OJ@$|OaAIs6v9Tz8$VpSd+pf~ws!Q4OOwn!hebxB&mQ(C5 zrqt5d(qi`2XBuDdy7}qpi3y5QtqWepF0Q?ITuia8zpkzHB`iXwg5TC}8)1drl*ZkD zHG9(WVO5vCE`$m>E*OQr&co-pZ>r(9kmosis!1lm0LV#QN9Ek8y+FBav;PLDNuz5_ z1}tnB9Mq+15ea?+^1GtX&Um9*{8d2t)VAdC5JzHM(AR--1pjE~m?`o&?jz;W^1g<; zN|sX{7??bW>Ux@NlgY2D2pwbe%kMJ;ef$w2$8I)bI_;5r3>O=Ts(P3 zctC&hGc#XXJLIsT;Jgj)Xj?YEZ9|@qRTQ44dqzxGp{PY)OVM(4&`Rh&S5ARD{kH5(@Zu4Cm?+ zg$868cEp5i{cU*MLyi8scj^1`%`bhB<|4#L00R?(7d@x`1>*z8rKE2`RPWj3^?H@+ z8a;6ONmaV0pkP(5hM}Uq{uauI@`M(z-^(@`DmnkK+IZ(@DL)kGk@V%ux!3yh$B!SO zHq5iLD+UnLdeFbr=dmB)G@1UWS(R_zD7^j$ux`j!aw{|~yJDf+21B)GPJ@NfnlCF+V%$t;y1QGxZ7YW7aF!Qmu>sG`v)cA?%b=NYV$ zFU2Xzq`%d?d7B;<%wysUR>BQL%G5q}@Uon9_5c`p(EQ&ys)RB5#lzlIxVG5iflOii z9v-j8s8V$^s~}vt9$1^>TSOSpMNx`@;9aUoh*SvI?H~IyOT->(CydjBCS-Pbetu!$pkb*#%E`d)-cyz@ySgy>bQwbOO9 z5!zfR0-9d73FUzoNXjd;D{tl*uQ}uZfP8mKb#|TDOqJe*jP9| zPhOj4*Tq;@xZFf6&e|^!%o$)gjFHGNvG{yyqFXJWekT1HD-0iGx9Nz~ZaOY!!D?dL zs2H8BZLMRmQHsVYnxp5RitU(`@E^NpJa+fZ{D#L9uG#6pwE;zWFPJbNL;y%hCQ1a7 z5*iQnP9ogeb%2x%Ymd@@DDm2jJ@1*nQMC5HVhbdrUNw0(<9%o`LJO5%`+NdBfNIvt z?|TaS^+$`vPsY#C6(-axovx@fB5WZH8}lCw6oJ``z_88;UHgADT~$z=U638z-QC@S zy99T44=%yo-JKvA+%-V(;1Jw{1&847?)&|_wJ%e}164!O_ulT)M`+!J;R~3gGEb>M z1OH(!+bA#4xucTS95a~q(GkTU?4OW*V!>k8pGpUC+L)G!=DL*QNB0AYvr(-}CvA zqihk@sW>k$ zKVAA+@F@kkWJ=BFnIzC6)bq89BYiw zjm>12)-OrCri$6=#E|+KW7nfce9A0phA|~EkaLv7WdXXhD{mZAg5PB7In`@SJJG---Xs4vOO<(fl&C&Ivp-;9 z?B_6vp^&i_FfA>Q9K#<2+jW@v=ikFT)SGz^Kae%ai!svP1vLmgP$f8=;5> zVFbAj*Wd-5{-%*(c1f!*?xg2nktizssXoODtA(hn@41%A+RJbiQG*S7y*$|jyh9Be@0%|SKcN1|<}9T^^i4Z;CJ@CClKZgY^6y3FuidU4#_pk0_1yjNZl)bKyWb3Sb=md20{G%c-;x7l9}R*Rzh$W0Vkg}ygd zHeY{SMyhfy%yG=9Uypa)Z+YMdT??C0{SKlmbT$#F#I~(EN5iF{FUXJ$U?$#T0n9y& z3a>M(`)X#sm!zzyme8gV%la8yd)<2oj>SY2uLa9GjHoH=ENioMB7(@(i8dA%&1dBn zJ3`gvhV@z<*MCPK3B#4iSBjAbM@Iod)k5IoHv*mak|x8T4}jsck+q!pI{ov40tB+9 zhdPMqvre`k1d_d92@FqFze#8#d}0Q#vG}s?fT=RvUgG?4KJpD?28KNNUOdjY{e%W; zB!wKKS?9}iW;Dbk1T-ZA2E6V9_X2>X3dUir`0D|ctfJmmhQqIFVYU4k%#mX99YNST zAsb9ukmR*Pn(S)h38tC&g*|U*ta0tMILxNut;78^bn2#F(Z!LbnzIx?<`Vl&1HYq| z@=2jYISrgq_H&LJ%kO}zIpX{a_(-O(D;%tCkp!Ow>tY-$^LGTgRA0zAe2Bk|KN>x@ zee=dV;vkU62P5dyj4mqmqyq{B1;q#V$pM-XNp2LIK60>E;E4=i$GnrT%vWFC@iIc= zh+Zy93Gq*0>=gg7>#{n(nI!x3H%d%X{M?X(TO4$k7f~R+itGGzY1G37Lz3qD#5C~{cj*m}Xr@o;srWgA-*W7?0g24>D zs36={mP-gBNXXjt?l$h(Uag)M&$9+gneG-(2LpQpW&eXbTH=Y9Nqk&sCz(X@~6%?Y)y-j+z~{{92lNy^saz7<17EfI-V*hWx4hDyY#_@61*HS@YIXdW)F;eRqbq#v12IN9L9;)Q1)v zbX{ls@35Mha>Hx$Li94E@s=j+V+V<%{T;5VDs^-sm8S7;?U@)hR(lZuXuTx;2WT-i zIE{yckGk&+d#o)@<`$sAm2{u8Z1IWzw-vqc=PA=ol%&HjDVA z#PtJuy=c$TS(v!P8vMqn!Z)ISOzZJk80Ax3A1etqbNQ27{t?wTMla2-t#T z7gW~A$jLZ4T@aA@^q@iEK%{`EC8I7&ahMW??{)EdeZK1|aO6@3XO!XU#61TQ&%lF3 zUc22c+AlI$vt7IbRGh)XRQ29`f3xK_{5~$0E{v(v% zMcrTOHUj$iK}%p|bX6hjx8;OZsFWSP&Rl*t9SC>|>9n_ggF0&s5%u%GM?ld8jYi~) z^-2~!D46uP(hHs@bdbV*w8o-i9Nyj6{Ggnw|K$L&O9%sW1TYw$Wk$+xUDDLr&M9I26!twoVXFrKpbTk=^LVzsc*21)~nttpMv*5SOPMSz5 zrqjN--k!H9+ghR-L`#~Oyv)y41%V!|9(#B4w-ZRex|hyKuu!`3d_Ub%DB9oeDh*q$wfx82ijpu_>E zDLy1kgl8VUceUIsoCj_81l=jbAD6)SIjJrOPV)?DUROMNn;p+sCuq6ru`p3x@P*jw zrK^aI&COS|mASDhUr5v1yjcvJo_Ls|l#qxh z0$l`ejy!5fDFl53)n+>L^VL!I;+LDf?~#@$GwqB_y_RwmaAzsNx`;&N0ew7G;(jDn zi!Jy4Z!0%1x4p*>ujofQRVJ$kaW=NY($6g*!*&sVhJ*$5ua82~6J@lpwfkYgiJOOq zLJT-P)^*R6!0VMl-1KJ9AZm%O3(V8?5w&n5Xk8aM zCc@5aKGbRA{=}i$RLQN_->EVsRW!)GwqSOxwcwaBPAut#-^o_x<&y_jyqE|s`@49>hkYv`it}F=iJOv@X=}L>Z5qZ zdQUD#h^;YqBVXrv6QwQk@n5xmGE{DCogcj=OQ6Dtg^0UaH@|a+L0vght5mlY_8Ll< zVp%eh;3B$lF3Rk5fw4200cgPatnq}fLnB})7!tS@!js$aK%k7YjI@$uYB@Q8DqDKq z^AW-p^fZnf<>p^_xYfwagpC-{zaZ58Do_AypG`{-6EgrLE9e`LX6LKI`{mg3Rn!FT z^#1kDxZ=^BKBY4W@tg$YH7#$8g563?pkV&p&Ftw}YNzK~nbqglZslG}Sq{JQP|%(w zbHID}U+nZZlgf#2=>+yYU{0~ddWONdEV3+80Wy~^73{wf4S4=W*rC| z8{NzIIj!vRjlg$+E(PdwfU`TK8vIq?!<&J*ITi*3rPMK;$;T#ECfT%KH-GH($trJ8 zx49cI(|}L6J_J$`RG^?I6a#V{Y&KT_I5g zENiWH-}P#HW52WXg=)5r?HkdTGqzs~Nc(J;&qW^+ps(5MV@ING_W=F(Jy9j_b(<=a z`Ryplsq03jmAK~=UsypkO#IKSF_VawWNcZs8ynkt)d zb^Gy|EV9=9BJ#dw^gVvDs$c(rTjt~jX?8aE{HEid5$~&g=(zpj>C)>Ek<)kYd8#xa zURQ#m{NVsC^M9uAtX+7}flhtR9Frt#ozoGE1h*giQ;1$X1VNoSz5|_UdF~uexNV*t z(c-aRo8yAJ7BzR3@q;XWO{?n{0!xv`a}epFs1XkTpV9`o9!j|I`0;uM+$Sy1mxdJ($2epKnHSM^sf_D2(mq z!u)&ljd^ zdr;)Bk)h2uaE=G2E#BpK)5C+KP1;Yd0sPB{7b1o49_R6=_%co= za2ngn^uf{TTlXqFiPFaUED9)CyqAnDz}xX;?fpGo-F+K@4%AD77YOOt_4K60lnU0g zX8dyAaIaOov&fvqxp}&IBFIyW9@vA9W@iiF>@dMJQDiO$NsdS7M~-%1kL7N;c(@oT zOAl*1f)Z=fSy3&c0MlsL{TcOko>YfM0|vTZsN_Xy^M6-{^3|g8jJ=KZwKdGCFeU}P zHwF((Zk=NC#(M%S3&XUT2{CKJf0TQy8bf?s!4LP zU~0KKbL}Jh1v19%5~i3AW1qZOxGvxEF=AJtjMGP;ae~lvdW0_x2ahF|Gv^_e?>e&X z-`?{=pPSJJZ|r&Xb%)+16>cmAp<5-(hQZHnMHykAfr8U3JqK3A zBqiW8dWevAYS>E&tg*#u#vKXDvz1P*!*{QF2{%b{Xo_Fjrn`D6dunK)5d_+ETJ;zO z%b1fI3Q`PceC^tZvKnFtXb{wLp}phVl{MSzJP`REu$x+nw(&gfl^4WA3E2my?6U}r z^ehz1{>tOqf9W<zxw=EGYUha6TWGSGYVE_S5;Ec?9u?Cit;*ykYU#RShk7A*Mi|6G7qm5-~#S_?G$ zQKF0tT_|*NaT&&t=(tr}XMg9~F99#KAjwiyx3)pIeWud)`v+@0HvI`B8yg!{Nt8Pj z#rX5~m)f4r%O>p9SmyZl0dzz2$u@jA(hbzx-U(f5~eetWwSH62{iM>Mt8u9uzYh|HLIxEMiMX#?jj*dpQ ziGPQwX6D5r&&+^1sB;ZaNu8aZ0X$Zqjql?=WTDvo$e%>VX@e~#eu#NJPOIk`tfb3j zW6ZvTCvFuiCoi) zM=O)CA$ncDI&rTOk8jL>4;*heCL>xLJ!J_Mj>cv^UT#_b1804xh!MBkvPhT3@ zSGf?w21xy`hRI~8V{s=)po`x|ctdBiY1eUxL>qo)tg+nCH^5WRg~g zh5?ZuY)AF-%}Akw{^_?AWhQ?^{r>H+jLL3Yq;9>v?;TEE-lyd7Mf7RLZ^LycO5~A( zf!bOucqd7Kc3O45pS^aE5W~*6ST^8!Y`W)w#X2Y8p1&(*;Qnm2Gz|(0st52?ecxIy zT+v^x?|UqzWac?TJTR%m`x*`D0=(QZWNs5Db#)*2N!>aQTI=qUNeCbe)~;u!=q1dW zgHMO@l{WN6(ZF-NfkH8RUbsNjg5)kgFC*-)Sv)JXouS$YIviIda;%+OzrsC_F{i^4$sz{bE z#my7EVrkG0gjYvnl97^&czM~Oy)I2v;F(f~v+|`v9 zi>Y6XU5zaS&fp%K$SDQJ;5q?*6E3&WuLYK+can>o`D6=`r=rgrM; zotx&GWhZBJ8bq;>WUg-yj8uAQVuGV}3?C-L0G8eR>yxB~UdFKEjpt!@97epWcSxQ7 z)3r1Geh1g28qJffPnaXmIMG_DlzdyaNt!yJ_cL z_buAy+#o8m~j=iT$ zJmBuEQSu^hzF25U?CJ!1nKOA$ zzh*L_2l7N}iL%;Tv@~_GUFWV6>|fNV5dWZ@0v@`80-{CP?6ME&hg;6DGDvPRc;7_D zUI7I5Q=$2e`J|%J&0vOcI;s@UzH{EyrJ#kT~pNT4s@3<7V@HKzC;PTdj>8*W#e z4BwXP0%DGMe(mRwa+IHMkfM<`JTbad&|Pauf=WhUvlCvH9$gozw1D^Q_D2S;PWi^h zL6H}q4^=vETipI)%)azpgu{(&iu&;yr>OH#r4vaomp7ZkeVagG#rJ>P3)RH$zYcDNN5GSdk>v`%# z_SC;Wbrwt?ZWF`nyjIdKyJmhuprNq3@rtmtpi!yj$x2tVg zba4(6o?@!&_SMA5YZ$J&tI6@F4=zN9i?cQ&oTm(7{RgMasXxohpevX1I0Y*_| zyLQe1lou*@Ik*W?(6<=e6!V9AxO)4f;`AHMOF5yAp17@@fKEY;84-#7bL>QGo(t znQUaXrP%)Y?QPV^%kZ%#%AZ+Dr&@hvT@YzFqdK%yeyfwKl2S zEqkN&WQO>qYFn`aJpAhwwbeF3fn8clAU~_N} zP-|McTK1cVhK9(3PT-;++v)=3bhUj8@=?6?aHqjeV)Eo6{mL0~O{SAruSZA2!T;9W zrfk1{?c6`vd|F$qy>zarLkfi>^}TG;P=?tI2wz0Q7Vo@&Zg;08i5u#E93A&OT?3;2 zK43wr|L|D5Pe*7Ja4Hk0lnY4$#ErM~`|=*m!}xdh^_icK1UTCmU6pDeN|RCf$V6^( zBE8>$abKY{4c+YibXkV1{V<)yX3w`?a6^X_TfLyS?b4iVg~SQon+H7fk4Nfc!qyzy@tz&7&+l#I zW(7jpF|H%>&uYG1^bmieBt^u87U}I>`7sr{QK+AoJVP{kFG9#6UFrNvvpvMrHC@4Y z!&_W9dQp2BV2`odz|6y03lNHR3C{9vyI=CM>pg)Re~wPaBtVaU`R7Qc@aruvj;P8@ zd?f?6ovmxJDo-_dfO%$tRa}C_l7ROmMr?b85M+UqvO=c^#fD8DE=hs=2t4n_3gxqJ2BY+jU znz^=uV59w`o^@M)mgZ$HcNAJ?Rd1~&n3I0^X&I$;)Bk2t(e2lZlkZ%%YV5^^vl18a zvM^r-5**YGL9-2MYB~If(gXQe+sAcCYrZEedEl)E-W9EVXbdLe)m^gL3|Dv8~!wXpeo&AWZ|{ocmZ1_cCa@8|$BvY0wK zh==(PCeWIkR`VvUNQUc~kw5?xzLeL!VItyOmu9vzGDgqpS&w7~0cMn}eY=qE=9tZakl@>)ox7vx47apQ(9sIHx` zBiboL@QR&{kDCz=W6l>~SSGvfCs|gy0fsIsP15{+bc;YFZJU(B|C^plFb9X5a#*(M z`?GW-#lk|`M!m??sKF^^cD`e;-fgim0jeH*x&HKRe8MO0-31+o#e3w`w@O{UvG~0t zv=0VsL=}hW8 zFMo(>veIiX2%_HvI zen66D*<3}C^S?Qh&eL72P5%`Gm4rd_wbYG`ji`^;OR8y+FH7XOQ%$dFuW##ZP|$;s zvm}g=w&Wf28jo&#_D+{KSCH~vpW?Bch=Hnv}aL)uzJf?+5JjhcH-L4;h}Na#^ja(9ShP`#5PuPG(`FN=7_$-rwJW z{|E@4dqBMYC;IPo2F2b#Gh7=x(|OGdA6q2Pc?jQ~bgfmfGK3J1yY$C)nj&tPc}cjf zcG*Opbvw+zW%@qmz-)wg2=ZjNslmZgf}jnBtVJ@{7W2<#?|)+a`=M*jjJ7gOBbHATmiPw* z5-W*rd*!S_=WPeDaBywr0440>cG~HA>P{3r8kk#Q9Af+**ChNsW5uB58Ke-`X^ZQy z<~3$a?Z!$Y(o}%J_V8fxh<|VIYvN+2N%>=U@$ze0PS>B6(~CRH*~Ag<21Zm4vWz$D zBkm-i<5u?h6A4EX4WBB<^L_RSw9P#{?ymR7gD$_3HO}&$t{oRDL6Vmi1pq0*kBujj zs@FeoNg+w8R$Bnso_DwK-MmJU(6uZVAz%`fBwY+}hJdeox{Pd}M+gIs*m%fnn{-l!hdX-mreCe!IW9>;e{kcd#JD4aw*#xPXodM)%v#DPo z_BDogK%5u{k!N=ZF)Z}UAEfWmBWkhH(A(ux9sdRZA7a#K!|Te5de1AVJu7|Bp|4iV_0p$xN1AdOHsEA)7Y9aG7YHM+S*d2o!jWP zKmUA_?`v0Vt!fBRI_lKxd1^*NnDz$3vLLMV%ZNu*v^mSKM!#Dn1cl!}e$Vi|CsG<4 zGYU+3FJ8iLcwpC{&jF0<5hhF2RPra*!c$|Xl%|Ryi*dQn+v$uZN-V2_k1W*eyVK?e z=v8KRlG&}MsOhw86~_ydQ71B!86e7}S0urQ4J5Q|rnli^3ReK$)fHKmlDw}q~L zMs730sFa74U`D2m|6Po_qanEk?!LIRWT7erLu>@#hLWQA35gJ&0Pwruj`|>28tUDM zhU5=VDaN+3*C5LlIPbm!q?|(7p9c)yFIsLyZ&NajeHpSR5h>n}l$@UTn>W+d8UH-0 zgFvpmlwNS{QTa`qaxl<&EUZucTWmT>S%fR%%8R$Lwncp$lb_W7&2drgDGObmCaiC- ztu}-r#Ukn4%r$dUfMbX}9T&o&B-3Q+%$vW2Y!fX89(0v=_mfUx&dio7eBA%u1Yh3Y z(RGcMf@IZ<8eVGsK4xb*#))j)287MkS6h5Kgh9wCD8jFo{Xm7&htCo{I;?KAI2ic( zbyR}hS65c_H&uIF_r|bP_);iH%E_8v5BFrqZt}^x{&hBP$d^Rg_lMf(nDC~IvC}S$ zS25@>rk{IIG^4J;$U-yHaXu*XpXT9!vWh$=2oMTrw5NI6M&Fz83`U9hfPoHTJE5oh zW~N8j5mm%m?#?>49ph7+z>hoAL}V*tx=uM*&_MkzaHf;W+%z`>BJA-`%cSh7fcBMa zyE-)Qy`*WTa$K-yx(=taGugkxD(>8idfm{E0#HskIBTmkkhd+?)1`{A7n56 z`WNoeIIJb`#Z}<1WVWv>A`OmFu!0-2KCPPGawQhikJBcRQ(5hltxc{f*2^_{vD!0)ec%1kF-MPM5@O=O?G!7<4j6r;8c#Q}ewU87|3hQXM zHR$ziCzC`;a=I??=n5yuyuTGiuyy}#;Z(3ajVq`d{|~3mmCdWl#oL3yg)8eK*HUR+ zURRaVu-+xJ+SD1^e(tyIJSL#-xD{v%yy@k|#AC@}-ya94#$3<`O^p3lkeoNu&!N_h zDJvB2gr0Bh^G10N&3$0Yfvz`k2N?}5DL!84@n0BJ2V9lCT?zW>t-Gn|zve|_rtqPS z&HMX%3l5*9!l>nz$v=}5{Py>!!})rxb_-+ELDv!iSF|A`c|qn|71cl2M*D-*-@t&H zNIhhT?t_eD3hrO&Zi}(D6&mmM%=k88` zq}Y)RO{&9ft@B#W$Dz*~up3bZ6{3s7phuzq=aQpuU~p%Tacit>8>b_Qedlo}Unv!r zwdqa84$gi8_TBjz|MA^X4M%%$z*biJ$KaOh9Sun&_4>H7 z%(=@i$K_B3&aL54AOQSxQ3{1dtQ*PsCz{piQtFYS3&;yV%e{@93vt1xuWG-Bnhvpu zQMepdQ5XKMBmz+?^XmovSy_HaX^lgw#gug)S#rhaG)dv*Ie_9#_Rbp6e0(xlA<{Cd z1(Uv5NLrXHg?Uqe3bAD;EK}O&0w4j#jXZ;PorwepuzC=h0tzIBUa0yP@XO9o2E1AR z$kNhYN|U>VQ^Z30U%#(S(2ALBSdDFF<_h+pH!st#3Ky5H& zLRqi!=j%cNH)p52>wl0SF~G0ln_QNbPkz>N+QRp#eMJc;HTpiw`FoohD)F>!ByVuC(Kmf;ScP7So0Ho@SA#Ysxx*S9b6g155Bz< zlZ@`g$0F?-8)FW*$|#|ZDoFcjD~GB^U~ZMgcB1B2STr;4+c5e`;P}tUPQ6tbR#jA# zqB0z1ak02^Uv`pj-ZYkFiP}+xQeFR-GO4SYzT>R|gX1d%x=o#2h=$Q@(+SzHA_Qhf zR7OXboVk44%(6PP&avf}O)Q2Dpy<+z5*-5~L)by4F5MNDpid}@3ns8$$&|QP)kV3c zcf|BF-?8)-@Q80XI8`8rJJmTcB=>(3S>Vp~%=m0`kxT`P8K=6T_r3Nf#?tJ-3Fu>l zpzCXp;F@oVq0djnR{Tjcu0#<7#|t<6%MZ1z*Zi&MpH#mI5~hVi7F^TRSrwCihSP?V zSm^r%8qh$XGszt}ls1a4mkBvFjpD}S9$XDyH?nUi=3Z9AOC;w=DgD=26oq5#+Jc?V zjHvnG-LytvS}3n~CIbo!C;Y-l<=(8s9Ka*Z^^*HrvXPePZlG8%eMZX?^t1X)9kc~? zBy^JSS00ybJ9kg+Q=AnwqAEwZA!y0Ds*xDD)OwTG<8%g%vR@+|^rO0YXrR5lJ<0i* znR;MG92*;JXlRHXL(Jbhxwrt_-$pIe9Y5ROXH&T9p!^25=UWq;(~`Cdjxh2$1OTA{aHHt6J}EjyM~tfX1Q0gpO^(|8POdT zj>F^G$88zKwa5)|;ORQlS?^;dLAmQjW*s0+WTSX836BUunyFmwVKt`!y_8~_-3ia4 zl?tlt&G05=1``|5(BBEy0UT`U2>HQgCo{_gJ&kpvzA+FXSoTl+uI_uP?)EgqL>`w7 zU+5=WHa0f3;#A^U^>&av2iS5lOGl`GI1lVQw$!Ogf?eleY$W<)3;(QMh~Af5CsIZ^ zGQ&N(w<$Ue?pr(_KMn`FXv9p1nRJl$MBNlv70((<|!=z8e z1Bwdjx(&|?q?FFvo=%b1#>?tLw_y{`F@_MrKZ=DoCwSeZ0{ZCn4a%d6&1f3RPrYAi z2(;AB@XHy|skfD`Jk{d%CH>rr0(|)p$sx3~$SwT4sJdt<<*YO&ptlDV7eqsg*FD#j z3XI$scpiJrtFg&FOJP7TotG^qj-4MfT2+{lL5zAjl%WQ7T{Fy2CrPy&i4}6EXh^}q zEHU_uObU}PC2gd?>!V0YF~MF!PcH+5R?|+P?LK6Wa0Gfxc*54CBw#u+uW4D)qz$UBsx+=0A-M^>9RC%MfjcusMPvf^9 zBq#4mFNctwvHK_W3bKqeskB&k<#V7xc5m80@{8Yh)#?Aw1z4uE2p0@$V4pj+);aM0 zmsxa0Q!efbcv>0`U0$<fTm(uuvR4Aiw>UPs*EMF%LC zzLA?FU-_X4cfU>YngrgZ^YYXHfiYY8LkxRxo4%N!?!f7;{Eh?V+_tH#=<|=?T{AY= zCF9I(+HWstq7a}Yp{FIH?7xw(eaWK0Qkjcx#Rw1w){9BrSdqlo#CO>*LRShc)^zG8 z#Vtdq2;UC0@V0J#9P%0klswKjEUvq+{r0VMx3bXtAQO6}rWCpRvqSdLsuK1S9k!SW zDPFu#j7}&H{1>)b;yswt7hZ648L5Dk;jAEDaj%4-YrS>Nhm3vzyucVh9|#}kG}ShJ zz{JF)P^!+YVgJ=pflW6u8X1OV0UX!Ee{i!ui4_>tn_$_9SW}(-Ug*a9ct;krz*{rh zK%OW6-S4}=RPKnD!*s~ep<-ldrcZM&t89&1x!Wu(6BG#j$8EJ9Ji6>fdo>pDbKzL9 z*>;H=yMwBc$Y2hMoDq%Hf&@yAS@}6n)=Dk$FxWV6mz$dS3s}&liQ2qxEEpVAp)Qba zhI^6^$sG1_e`eC{Pv8|90ad8xfL^<*8DKM?PavIgoH{VU7`AkkhI=EY0wvQ9_8}D9Up5OtX@C1BzgqSlW&04uMq5bPWRY;91^w z6UKmHf(k(l>f~I`vEdCEpbF8=+*q2KU7ArR`hhETd-p)WG1cbC z$>6O$qLubVubdr=F0Y<5G}mFH6O47X+RAHaw2*!*v=h&HjK}=LL{~*cTdAbANmK9R5%%RvnT|^p7W+T&YE~|Vq)SQ5iel17zC@D>^odJy<1ktuZZI&IX_oHdID`V(f-sbcOUNxVPhAFFd=&h|f%$~<2Zk$R)g5p! zamb!NI5|IebaGmJ$w9O>{B5ZDRlkb8dZS5mfTE~zN}#%Kq<~|uo@X_kCrwB`bf+5~%`s_+rJU7k4a9*|RJDbWhI(j_=_)vWMX7m(%ua4- zpi*cPvlmQ;ymmB|Zt=bTeB;Q-l3HGGzf`Xkf-WJ`QB}Kw<6N5t2|@EQ{fVE)qY19; zX|NTQwZ1a4tAT4&J>e|OVcV?t7aGg@Q_gBjjkQwT_t1KE9*31Ci_z4loAQf|b$!5E zh&rka`*#_Q!fC1^Apx7IfV3s?Zm18MG-ZEE@+cQxqDV5hsaJE{dBnDF7bU3Pg-Irl&kPpFSOHMe^}Qlya+B_i(zDNKPx8^s?V96Ubez|1$c8cI=fgk zNvbZff_0OUqR$^|S)>h8*(H%*!j2TnIy;GTkXAprj%VcLx$2FjFv6y6Es~kg+-q6j zN(`91Z#wl{nLGfXUH*IRobl>U>EwcMnan+32TQqU=z}lm!e(qVeZI}=VWR)oY`+>7 zeH$e+36ML*8Rd^!3}%Og_Ih8fks50% zNj}Lz9128k=Wh78wqeog$!+Dz36}{kn!FIW(kAcny|Ma>NuH6G5+fqL=a7Y>lTg(M zY=n{}hvXTU<=g0^e>ZU2WQu1tHjLGh`Kp777Ulfqp*7DgE}R@3njH-IecWO{G46-Y zFDzjENz1EskH|;I%4GzzkG{#eEFmyiJt{1m)QdIU2MotYrfJs7O>6gDS;u}-_pmbynS@l|dJ z(}-c~A(EmRF+4HXW4E63U?Q9UWeMz-n9FVb{zXO!O@^SzId&oSRMyjj(v0?7icg^# z#Z=+bF#Hv%{?f;E5F|)q|K`a*V`~)d%SlF!81?t!f7u6lKAv2d6h(j!(8t@{M;kjh z%o|i#-TLyt_A#^11AZ~bL|4_)!lC>tX>ZZ&0VM`E(%vD2UWlQTRJ=vQXfFs^zt*OA z=IwkvyH^FN+EXAx2zdR?iN0<{-ktEP89CG(y#91P_n+ASK$M`4JK7YS{S6c1PKT;* z@8-v;KGE>MI$U~|JI$?0j$(s7ni{hCDIXzi50O9%W!k_LA&u>!cQQ_t zYQ(_x+kNVJmV6I-0t4#1>voPCx%W;2FTS}(Sz7w3qJry)ylqOL9Bs`HqZj%6wZz&W zX|17~7C@M|;tG93iY(Zgk{njWCS!oOq<{^B8|e~ADIeJJhk_vE7v`QQe&X&vN61Tn z>V!MURR8O4eZ~xD3{zU;LtD`?$1KiNk{v8qp^%+rK&I;lk(5fGE*NXx9Td zXt!x8{%9M$3~vW(*f@x0FKSu7f=4n+%1>R?-`R(l(??2d2xom4M9VQrdm06LfAUVO zvQv*LP-|MU|HXD%?6r9adGjcg)gq^Fs6^Fbw`!(dnVdxC?xqZGnff)zyfr2bLd~}R zmgV_@0vKIwJ4!Qe(T|tkl2~g@Iz8u}&)@U@X@0a>=Y~ZigZo9&*Yoi;G(Exa z1$a3~=ug9p{~xlJ)R5 z?6AR-uaSa|V11ra796PFAw+uIvU%#x-_+rOA{;-Fce z2PMExov*0s=FuFVWR+`6PMus^__>I=2N~=RD&5f#O8=_l`N*8#2Cg36n{0k2=b>g{ zVq;>O(~-%Ds1%t``xafMocj!<{vmlY-nT9&Tn{q(Q4X_o7zZas=iC%03RKnAT>$d$ zJa%(wA0MF6oD zm}OLunFF8ELc+v2#)Uac(8vVl6*ByuifI%H`{7BXTWS>Lf_?+Ripwo;{Z2tnKr5Yd^YQEIzWvC9_v3)1a7;{# z{8!_beELp?8B)}6SpJr(ZOos11GJNzl+_oax8eBE4g++~(*c|Bk)#Pz-RC-gyXB#y zmz>JG6vSh`i9rY$Eoq<$vx3x^asBq6@@*@k&+q0AEBiNAr9ySTuH_%${d|Mld`^Vw zr=|%#6Ws7OzY+Kn2-mAFY?Rw&M0pUO3lVBVotn)~>3(A)AA}@y4(0dGnuhc6U|D5(G zz%33JOZ5`oMtHF0w4w`4w^EK^MQL=;@diNrE6V~}%t>ST+-ww#kcd&=(lSM|U)6(I zUQZG9Q!9Woxr%1Bb_WBa^ZN7xf*dz4;AAxk{m-k<4O`D?!!rz0kLQDqpIol782{*QC(idDR?O4!FL*JIB>xfw2=v!A^`wW}`KWJ3Vh%Y_#;LI$Z+*r}HbYr-NVgkMg_^>42E*8(>)c5v8~ znPQ+IKIYZ-Hu70lASn_j3Me5n__G zw5l#I@c4(b=Q~Ti-fqV#G+tU?4X?jGK0A*Y57c)wwN+2~&%{fj+}>T%S3W=9b%eW8 zvzJWQhjaya=3+8F)7td-Fn85U6}mYk*{evX)wFHNgSN zQiI}Vg#1;(<(FtO3=Dlya&lyvJy+|M#?>;?pURzVHTS)tSbx?};aQCyiy5Owpnu+_ z#Ot@aw}`~L;t(2!uWpN>xI#~*v(}vD9cQOZk=w(m#2r3^7w``Ksi))tm8&m1yD2Hn zOFAG6D?7jQi|c(d=TqXmr=M#fwS+z6g`4tevz~5ySIWi^NZ|NX^!=UA9Sol2B zp!{o%XPfmtQYXiSsb%;DRC*%i7zr^*Ser=HZrbdO;0t*$D*`mgkF75}WRwX;ib7Rk za|?+w(Oy$?Tok^;-^$L8>hoY8X=v3!j~_9Ev^2_a-aENu!k?Na=LpvMF0bgOf83Y~ z7`nH~G2f-dC|BY@a>Qf=dn|&jBKN#s(xBg9Sn=?IY@_o|ms->F5x;+s0vZZUIyApy z0n#U7X#oWVc8=jIb*KCl#=(078xM_pzW;dY8RV{|rZ3!)&^opF_tbbE8YL7JOf%S#8X!(=$zlSK57dZ*#3Ep zh-A|JWB4~H^nLr&RS`ZpOhWWjnL4^PXtUPtDs22W)n4w6)cOF{1dhM$O5?@-R%~T? z`S1cKxzm(vEtT1LXxfkJQpcQszWKwuqx@6#M2+eD7%9UK=k5xKc)j2~`_?w|`3ikW zBG)9+G&8m7ro?uyisr)-B0jh4+gmf%bTzu2GIFjj(ritFeBQUma@5A!bHF55nR*pT zk}veGiStC4XYXjf{3LUCuP0E99j}gOzT3)qdAI(aNR}En@sq4X7BYQfCXI}&H`;;< zJ?LPvwe%0_2m$|+T`PSLw*Wu=^y|)QWx4;$>aZeNK2WP|Go3e64Lqa)AxbYvv&B}* zX#62G_ur(HS}kw(pwIOteSZ9JG$7Lc^^}X`<<_0p-*{R_!DY;Q%naH9I0Wb0U!o(b zi{I}tp{wgu|Knb@H+ox<{i1d8*vC5JeV%`r9QK7TfP( z^ zVt#zuvhu|h`)qPjjGo(nqVvH#r?A!hGV*-{)?0tJ*blY>vUjqyGshTgL?Rcb8G(Fd z3tt$UkG@wrP*hZlvrLDVm@UpTdm-W~2&OF>BW)`2sl9QVOf71T>&c*wts8+EiuLh$ zz^QI3xdDW~Z{~TiX^$AMY7;fb>>bh=coMKj>GSBT^P4wq21o$BXoOEuHNTu9InMPR zk}Qq#KMf`kCS;@xos8bXXj4;DPyn>D{jr3b;8J#(msG{9++^h*f}MqO(9UchQ(a+I zpqwl*AMAc}!+(42&S|&Q!z1)|>}=ohYDT2GD;eg?00I$m9x)3zIITo|dF;bIvs$M(;={CK^t@)W3SYfM z=O!G*#wq8Wt+DZlsG3;2P3Vw89FxBb`f`sdEwumE_wZr1e7Xx#(I8Lba*pBg0OnTC z-sR*+0>TzCIY(QCN0VnHY1vLVd} z$~`%dhXNkuHa$QV@_fa0_q`Aj&*O7HX}$WdDG%FBV|!s*9Y>7Mf&hg?5>Qw zwy2YC&J3sJ*#}Scu90r#I2M+-;snR{n{)32bIZy)Hws}Tem+engp^D?tBXv`h$!R4 za>h24AR|8oUw!0r^5V`vn$Cg(x4T&gFuZHyvT{vh0h?_}`5(5<%o`b%k^s=0iRtaW zUuX;+hIB1`X8Q74sqQ|HM)ae&`_1p5AJjDJ?X|C$n+Du_lTz%73d{H{H4RUJG{j4- zo@`*EhCi==nbwL(a|m0OD_b5)uu~1{Hl4sqx~k4Qp{e0QG)(#xAo6UdXJjFt?Us0p z5a`m<(wbJo?$CRtw!{443LVFXWcn{1mtDiO))U`-Ci8kOA=eupzh(`Z*estO9=-0m zlPp$yve7i(s%oygt&V;Y$GAwu0TwJSWmYtwS4Xb-2U^S|<9>B}a^*5`+%VPDbNIZ6 zmP?sW&(wH5^!`iROLJyE2S6g}Hy2Ii9 zaDus=cjfvPl0K`x-Wa^88%|eOv!1}oB>nQOKf>VI6aL956Yxjq_X7<~$cu=x#w4As zM~bEpdT&@!*EgTssrA`eWC+`#8C0t4K+l^W0R;5gu!ipbl>?`@NA@rw8~{R>=@u4U zwTr6D87{+F3)st-L3u?Tnlr5|bC(L<(`=>wbkWY5dSTGadOL)G42$T2Z_|bH@D6<~ zivNXAN7dOZ)rLc-(p|)KYw)-W1jGduR8H*yW=#V%D~puU3uCgnEVQ3d*yS;Ypj#@- zI^yu^!EA(Ehx;ajHqjC|@%1W8%e9n=s$YFBGz&7`;MDja-KF!GPiS|ft?yf45&Q`9yeB#cW4~@kyX`265LrVz z3Si*h5nIXjGWJ1*&)hyChl#`6zNHpsP=EhZNU z08NqO^c)U!zlfl*jiuxqm`>o;@F)q=n9!3RtX88T3^?0+ZZW6%LZ>R>r2yCW{(4$* zULF1Trqy{&{SZ`RHs%F%#hp87t5l*d?p&vEu#r5sa#vVv*m{ocTYh`T!XHud4@}m; zqidkXw*$%a@#gD%S$1OVd~nID^4G6pcu$>(g3N$FN|M;~XHgMNwu1GXrNrC^HPAGm zKOpqG-vG{FCab9ofS3G7TFN`BQ^85It_Ohs*+$bmd%vn zldwmf6g`Ep_>+~kqZw7j^3w8XS89qpD;BISzQo+`&_9;bg}-D|=Vs@0qT1RWPdJX* zp!XG~G{E2b28B6LJmWW~XX&nWO+|kanz6W5#}~$r{PiU?tGKcKrCl4^Y~~LP)<-cX zMvcBHiuTy7ZFXMil^+5^)SUp-MfnSgxkJgNj3RmfH_c15G*cB#eu*$Q^$Rh@f1t{~ zcppQK<3^;foKZG1OI2=OFnRb=R2uhQzyOcqCPYpbZW*7?*xc*sacH<8stWLF&Cbu0 zILnQmu8xLYS5i`?NBwtgd>$k<37S#?eXI!#rxWw2Bp^)`$-0W*0pQ`^`H^N06rY>U zYxj9$bvk0u@CqEMi{MO?6eTnG~Yxs-Zeq%ZHXOS88~k9pr`%%di|U+RJAp!(nPbh;QUBK)PWB4BL@`d9(z z4;5If=a0}pob5{0I}rNfayhE0sUT=&@sH*-1fm8RT)oj2s#c)m-9nKx3Fvrzu{WPl z@V`7bD;7kAAOyVK9YzYgwDpe~+|MZb|JEL76MDIr>XrR@LlH>2Xf&=0Y+T0}sfMTV zzHQpu9T(C_=}L3E{kgNOC~%M;y^Y=Jp?5! z(~^$u$XEky!?D+MzoO;rH6a}Q!fK;to$QYcz8Le3_y4B_u#Um^b?^3@pTKg=CJ(wc zO~Eusy1FSM7U_}I&?`G2W6NcUuAaS`-&p%sF+{TX+EQ>^WKH~To!r1f zgRkKqelP9zOY{|lPTFFrL6 z{_~dhHhS}`>-2}7k1Y!Nz(9LH_UGG67;t^f&drn@AcCqY>d`=a%^3M`ieJ6DmKX_i zj88yHn9&hZ9S_}SW85zvRv|9*wN^l?&op*?Hrbmd8$QU$o9-(%lC{8iI|yCLmOT*7 z2OCO=e$jr$?=+TvwvmO-W1hvmmlX%zb9#g4GcHQ_Je)DsgvvZxe=;=W#1u_0Elu5o zmEo>g>E}9fsGN`xRkq^)R!)(&4DGm!?&6#OD}pMKnvUj~g`!;<-S{E)5w&g_#guc3 zwYk$}VWl1^j=3!6LMm&|v{k(cu26*#14`Ng^snBSZfNh*jmvW1y>2W+C=2cuz~iW|UC zUQibG9OOYAFsK-Ww~BiLJxZH&+P-L^f&g&_3w)0U-DDQDxD6c!ghS|;^fl$H@MTk; zdw)E6`9u<<6;6^`9qvC|d@&yQfG8F-7PZrW>zMEnTUth+kxT^S` zjMS1h`}E*|$KhY+s{6X_QWlD&;%0-XcEg(2(SJu+AzKDwq%UMFcRZMhZD6RnT%YqW zIsK9UhB1qEwmJ zA@Mtl_-OF@ZB{7bi;=GP(}}^OJLorU&h7bpdmhsVu=&xg?VZr0{MZBH7{7mSD1At{ zMJRlYB0rhSpdatOT z_8a~Ogm6rdjkohtaI8=nqQZz>b7dBjyoIQ3dyz61erOle(lvG;Wmc4Ba1QuqlVO){Fedni%Vq&L4aP4bsQWZ*Fcdm3gxjeyOy$ozCV7h7H`XX37$hwhfabzj$f3tA>`zBerG&sz^-LxpIQ^XnC4XQ3Fg#cZTGHw5-$L z(A0lO4#VVd%O+55A!S4|@vbkI^M4-`qQcL>`*>uwkXsAL&?2MxSXqnJ{IuBaM1G3u zg!Rfv3NIp<*f&k0#_mXv}g^iY05C%b%nhP(vcvvR6O~d%Wv@}fsn`b_Tv#)BGyf8Tw z-t`*5O;Yv&p6;2b*h5v%!!ro(f)m6YXW^VaN7o=X-K{((-BLep8LeE&pHuRo^@G(7 zXB=H1oFu5qMJuI}pBNnQXLg$q&*9sKgcUkWK-LK}azF?VW#B+eW6!2A{15>iUQ1Ad z@(Ba8{HfsV1}i$4+dQn>t%xm(2)kC1C<%Lu61iAmFniSP-M9)37`d?o%W?1_iHrJV zw;xnzh3Cd~>g*#91Nzsh?L5Qk1`5bNJD#REU{`dhCy0)GL38QW(-$`R)bfc)=IE{J zCE-Y@c~ju5<#KZvFv!vVWw}}M%+{a;$RM#EK<@}Fxg*)jvR`k{h5}VO8xTc`lx<4( zP|2oPkSJxuWt=bf6xQ3iySe%O%i5_j8EhL*Stvg<3*}l^Ed-6ywk;+y}vZX z2w@-2i^hcr&Jhofj(9Sxy20A^g>Q=nftc-nNhX`XFsun zD{sHwyp|IcLw>7xgiz?}*=faf1`sS$7C$O=UrG(&q3r*}Qf6 zt*!itgCIcs4d6RiKPR>(oyU{Q6!C`R=UHm?;?STIx$Dj9& zI63V=*zvpWT;~OXl)l>TL*;ky{F;$kzu02LRQ~3yliV*4GaZMoLzz~Tz?M_GVAbxW zBq&Yd=MBFeO=Uq2t7a+n1^ z1v%O(?kcAzu_>6GEy=}sUlT9m%?eXne4XRaZE161m{(pEg54QqsB@dIEv#^6N;Me2 zI%OEgZkfYnjfS7R4)Bsk`EDO$>3!m4Dk=rX!uLa(BFA`M1ZW65d;s74C!FA?rODg+ z&7@nBpOqX{*TggThpL-h>=B{3Z3OGbo>Q7vF zRW&r`@T_s_$Y2%twKnb)%m3K6d|uPg7Cf0mBtZz^J8Oyr*(}GnQkg8nAP>}^Ot8Pz z7EcHKE^R=BNodngF-?B(0h-`SR0$hC%riM)SIwuyB+DB#6T3RYkbb^I*vz2XQq+i; z2=_v@M$8s!EkBDZ){w70(8J4Q%tfBAPHIiaic4~co;sH{p3q3rD-#|Po`_l(QONa^wp2a|G z_%Fd=x-5D$dJ3M2?=vwCVeupw@fLa7xD?jfx+>axI5~+uu72)Yg4kl84916bGS?fK z-e&cL#UgPnfvNLY^a!oSXBXl{%{$XXS{&>wuSCFKEZG^R9QykrI zL%6n-T8k%aiGN-0h;|ML;&+ z%9j2cnq3JxB#~g4olZVHg$0Ez%&t@9o=Xb)%mPR?YS=*9PS7DV{8X)#o~ndNnBP&x zob^LICPyt7^0Ep1JO}I}27A(!t1l^lsYJ`Wo^8toUD{Yzi;QQpU zSvyoo-fF;dT*y4l?`@)?`1ENBJp`qB>0X_WqJsy|e_5yhGW&q=(yd3Eu6P3e`U-7l zxi`=Ru@x}1KrGD;$>i+fKD)DX$jR3|S0~F{AmB-JnUatqa=mgtGpB~X&bzY zc52}Exi%G@S&7Tvx~ss8O6d2o@nbv`r3mMiPq!@2r7}I9Yr;XWD!Aa`}EBp zzf+eqhtac3Kt`sZ2~f`VIwBC~*(w<_1=tt@d4V8(h__#CNd$3r)KQGu{*49l|1Cx< z7>%CvGao>PFF$Of9?;`;O~-D3brq`H;yI2)QNY*3kwS3F&WoD);;S^iNgr~;a}p9F zKlVF$lP|8~-y+tsFrhP>R8>G+nHj2nS{3ixl43YcOlkgMKXUU2qt_Ld>o$DRsYL=a z_MxX+nlT?MdkfWkbvdCC{B;~;3;w%H2*ZZo($P`bQRzRVMw%~2-Mx?`M_s_F&$#*~ z>xA^5w%nw8aH$T?#)h|P*JH?=*)QSk#-G;L0A3DnH?Emj2E;#tEsf#t5; zw1WUR4#N-;x5!#VF?53C&v6nxO)HymaRI_-v6fv*$WqA$#7&T#^q!e;TXGpLJ}NG= zE1L*&55dPn{IACxN z1NV3YMUrNsy8<^Hs-RbW+?+fQ64v!5K!+4emM=HV&d$ATKJ3led@bUfb&0|X|EUUO zi8HiZcggx)JUiRl=$Kcu;XL{Jz5J+zu1`wAPtJgi#YTiRN4=vD!F$~mu(Jl(Q!@fb(}3OcRNQ`orcufJLd^M5Uoeeyo4 zYB3#6mN2(PVcn1xs`EiD7TdB~ADk3aJPu*cNlO}`^zb5=<4I$7L#y2+=l_1=Nz!vI^OzB!JWML}zjUl}g2EE7s%~$*H4&{Yz0(lqv zzycm-B%5cs-gfMS{!63DZd7_yRi)swaBkPx)dQZ9ZZ**Lu}m8$Xn{Y1X8$^P)BPyL zHQGZ{hQ$oQfI+JBr<*O<7gnoEtUQPu6pkxoEPi+1mcy@K`IYE7X3 zO^u#SnTKE-H%k9A`Xru)J|=r5m^_0xrk>h3pJ7psT(sJx0naKT?(kHt@ThvaP*YqN z<3t$nUj0~7>w^bv{;BL>N|rg;bympaI?EFBSK!tw?mPMCiN+``9YzCC(v3oxz$8LJ zjcM|g#tLKYj0+!QvF3N=vv8mrj*X~Tny zYAJlfe>Avk*`%GdaUXIUG1V(q*Spck#qoo%{Bwwi*@z(eP9fFgJSzb`D&K4esNz5D z^$hB7WGQA~TqAADR3HU^vlg?xw>a=yAc5^nfwV}3j)vpGhQX2HlcB@*?0RIDAx>6= zwE+sY-{%=S~j(nAiercmC12{>rB$>FeC%%wOk&`E-%di-s?mI0s2-uFc~eg zOF~e2QOD5?;9+h+%YAmtF*f3mzO9Y$WHpXHyxgs{ zd;@%lM|1OYbAAsoEn;~Sd+iS910=#d^(OUT(`tI`vJV5#QMX<3}7%kZN`+M z*RHi4`z%lCR^AKfm-1iRU)$SXFRRgMQ-Rn6XFTJ+zQV+(U7dskZk1|4u49sz`t>o{ zlbg(7PeQ!!u8-relbz3^2XW4Wc<8E;op&2c4}Z^gZlBI6p2@!eR*nXPk!UgX-*Z#6 z6i=`y<<>+w`-I|IL962xb>_Xwim3pLodza$5Drt~k9d^NPDz))7q}-L%UZJigyX`# zF8xCP&B(;F*MrZDUUVgo8j*zoa)d1;Orf7E;6}@L`SL|EUk6vtEX)bJK{s+B{J2Mx zPuEP7&fX+d!|X1Yj}WHo6>!c#ixRp@k^%s$43hAd-gkfsJcy06^h7CCvKZiIn6`X= zR0sh3SV~yBa&ZH0&(<~d_3NDX#?IdM4T zL*rp{vSyCg#Y4r5ZlGYtWgn1C4j05XH#c+aW6lJE3Xl@I%alx<*tb8F)3h_*K`F=L zV7dZtQ&QBv>+PSEaF1qlNN#8rBLSCphTg=<^~9unL)bSr6N(8u1xj397hcEHG716p z1ic(`C4%&lj|4qU3oxqq(WR;o(k?K*>em=NRx_5uNuF$lau50CG5{;+|^#=8yI z!<@Hgb5+%8Ap7OzjBCYfo#G?lk|`g=;~^l+)fOXCy-pjGJ28#d4RYRpY0hKgGRRwbGUB#TzpYDixi$nt;MB_p z(LnPGMO|shQF5Zmfx8}jAm-u6?wnVTxdU^Dp1SEx342<$Xh@kEDd9S2!iRL)_AUnd z)UVbZXwcX=hRNpxt2sHe0{UR;Z84|MMU2qyV0|Idw|L;daY;^Q@-LiRrb}a^J8Syb zrvWPrALzMhnyd~*swc9$h)(f^45*u_(|~0t8x2jXNzia!!0Y8O);(0nprwo)khLry zfy3ceyReet=M?6poe@9)0}cTYo#S2hvs4%d33lVRx6JXEv-h#hq`w@CmAjFV7mLW zoc4pDJAb8ZI)p-Moy00z$hK7Try!z;rQ4#XxmRQQCVJ}IN{DDd3J3(a#4MNVd87c| zbq7u4bV4|$4+m+4H~GEl-e{Kbay|X8$?q{j3~E|Q&MHEU7A^U4K^eTb2%BJ6Hf!=p zRC~MlTx=+rxjq#Vwr0|#Br079F z&rxst#pzFzt@)E%QK3g>hbRLL7lqP)QXH!~4OP~bHS3OuvWKok>3KqUVs_Tj5ZHl;VXNsDyCKUAyal zN0OY9T!FKpmvUu&-9TekmeRXWK9TbU!tQDnhcq-i8jS`hAP1TL^L$Cuig_ws`gRRc$w7vqhG~qUEiT9dLTBD%!AzmE`KTF#AIF;@|9nf&&fTR_K@WEJ1AE= zJ&BWMDKqiJ^{>X&$jv#w?em(T|6IHowmwG~jKu1y#NsdCbiV?jUmIV* zzr31zmR12>hOlrSdOZ9fxyM0rs@J2}y7!nTD=#eQ*lMAOxVop2hmRv}vk%wrpBQLj zYH4a}FD<-AMXKakrY;j+9{A`2t0eajf`S*Ec~D( ze88NEUH>8)+BlIq>Q>1}Ew4yO??tn#1EK^)o!lP4*@xeo^-Tza+=5 zo1HMwkPT6G6}sl9PdOAbP$=B$Laxw6LexRV&`5Zc=SWkExN^tSlWfkzem~XAZq_m( zBYXg0ynsD_GC#_2K(n5=%Vsk-)7C~NPX?^634N(1D!@?UPjv5C;t;h`Lvd}yK6R$K zg@7vYG0p2INmCZ0_IrD$44N`Z@rd`{_##yJ5!-E)VQ%G7ab~zYt;CZNpI`ndrv?Tb zEXZ3>s7-%qK}}q zi2E~Ij4>#rJxl*PX+LHk`?RE>9=8+eny{zQUtV?WL&jtGJKyRw4F2oI_CfAa$g_r&U5ZwPp=W0-$kZ`>s? zXjXiFy|MT2#;ak7BzqcTThGzaSesaj3Ml&F5b=c=2AB#GgTq1at{YHe0(wu%?AB}e z_iSS@tJtG05A(Q;J0|Ze_=oWXJQOq=w#?4+^Oy9diFV z4BmD_0oNarzx=xA?o;x(Jm1753P>GWBv5{DG-K;>vmmWlSS1pdk#)GNy!>V2N$@`J z&i6c?hQ!-{?fL_s?Pe%dRKQg8`_y6RAzce-I|O+27ho#XRm43D$B{y>@Nk`2ghFFAG|(0P)r7 zeZAJ*Kit8gi)>j}7vj2E3Hjw#mlULRgG`65)%M& z$JzuXINr3S*`DD82%zP9aj5O`2mE}VEfsbzc#xWgLLk7MC?)()8lI54N|4FRnsvu> zhj~0l>^6N0+96!2@t+*2?c^C(4L-n3s#o~LVV3s}0zcM7g_q@k zEZ-UbzHUbLdUSmN&)5Pm-N<%+!fK)WlrWqmj47WOm4WKiJ-M=5k_f*@AlaOG3esofy*Gk6L*e2xnSS1pI&LFSii{>RE06Q1%tdKB~-lK z&9IF^1~N$4oa;Bti2!1zA?@8zMiQLErqTRdN{riN=qQnGmvSaEvu#Wr5Yl5yfSyWH zXR)#JkY^}mP}5|hs#h2&1LV1J+<%Bi*WF_2(_+BB)7BUuS^498mBDy)66HHqe0Fep z^fDFvrmvv^NY?(EYYYEmvYEvAYXSqHJZ3wBHSV`A-=AS7u>o!>TEmz1+pR*OmmVSE z>7jX2TL^rB+-yh|cZIEpl&4Ap4WVl=!>?rO7D6czb z;$6!XH}t<4_*tL>`KQJ^UV0DR&Q5d#{Av7bH?$@JPWo;pnA-k*;Ix=m2oFe($a5~@ z;p6vQ_)xQJkwOy9!GrA}nLS^@Hz`zGr0@MIR8qnq(6AACCmD)WuR!{ixz1^xiyCYM zT#4M|eWK>buK_ePXT2H|-QVFg61}boKEJl7x3UmPwCQ-iqnX0Qy}zvzXI!wC?orFN zsbgm1(75hLS6a^EHAKqp7$q@lTiM2XVtv`1pR?5kB;o-7YEmWW+aE(Dbbm2zo9zg* z%DrdxUI!gZk!EG}&v>2$Qa`cV&r<$(2^Ee1Fu-1;j5!?tbOXVVtuuZys~dm&LdA)3 z6V_Re+{yZr$I$oTs*;lZZFl~4jEiI|*!TjZ+4mMjiVa`Ar{QADS$SGSC6$6onB`5IL9%|2M|)W333akR6M!*7+%SAa=C=e*q}3j3 z$Z^0f=CkOlp)7eA2* zZ@-*VU+ulfm#LZSe{s*M>HULXdebD6Yg7fqf%fwQ@?C=wF8A21C^l)L6%aPq+eFgm zAB&SCf@xX!?nBp8V)G?Ah@QT>h^MG4&kDZ+o_cC8x|>9}B4Rdhi@9JA2S9hhx!S># z9^TG?m7A~_MXGelfmF&Y1mZ1Au0c>T_VrJWrl)JVodcr?$Lr0F=DgkF{no6|(@Zi6 zpB=EH7072QV_UnrS}()tRKuiJQ|RX4d}o^U9_hcqgH-gtY=EVxY@W9)Ab|L4j*;=g z%|Z7haIg@y%7vN&#fpF8zE|Akl&_T8`%u{`yPrN9A%N z8j+F!^f=6Ug+%VX3pEVTc9&_>1fh}JcTgxat;#l1+W&$vy>>qlf7%=nVj~UUVeZ%S ztO=rnIUh%j(=JihLCz|iY_ zBcQ)naf0 zGKOo}HfE5$M-+vo?aQH5Acnn~+=Ra>W0u&-_f#(}1I89}TVpzBih_<2#hR(jlq6F| zbjoHGzzPHquGiAG+(z0d%;##_yavulFj#Jq`i02KuIVP%@y^)FLP^ly5nB4g@A;T*3^oApp3l$Ev-Q>6ub*B$ z;4tdIgZSKzwf-0eLR*acV;-*d0JB>`6-x}>my7%aLh}CsPCpa#f7tXRdCCXrN)w|q z^LEZ)v+t8f$T7Q6P*nC$I{MiPB5nUODO8aF=JxyayuEQSqtQ7lGuyAOBAW2x16tqL zbi;GsvVY3)p;05*gvo)Er1>N>tc5j_fu|~AYCrbE2X;B7G*1gdQ*|;b{bUO`5e7`d z<>bz_aD z0~ypm#yhoJe@cFU5)Q?Dc?{2iZhZBekCsENZQ-pD?{8*v)~*CJ9g!Mk>wo+ft9R1n z3Y!Yb@s}%~Hf>8!Tiq`dsN~fvVlMDar$>d#1@ZAu8}qXaxi{# zOa0sn7vQh+YoQD$sl{_D{XL)JOCm(fLbLnpq)**O>6K${URydDXD!FPx;S37p{cN< zf}R!P{u!#*OS=WUCG1fWt;|l#+M+BZ=9ZSi5MpCtFWMP)YZg}ag;1m?#Y8S?5DX@x zxTE~lSu2xgzw6g*WU>7#kJA}?F9!5j3^Dp z(Lx#C6;$$r$lM48$DMhQBdJ%vf*h47l`#`5!_OiTREL;YQ^_=dr%_fbf@*{M2fNri7-i zf%?-=U>yvK!(R$lDioNqTIfpi#2^7ssk>nnQuEWqj=aNgnn zx^>0$CIXa3)B9ARdwg;rl7W+xXdzS)|acdyc023b1R)8p83MU1D8)=T^*et zK=5!?(6UCtpb01!_%K>pbUiSDNO{-*VN&#P2>L}Yu7O^&+NY|+Xr)vJf!EXy&#GU) zb`BNw=A`*yKvwf($E9P0Mm;GI1}*ReDp!m2x^v@vjfHc*fYO0x|29J+qNNp~B2~uL zNd%lT`C9TJ1inykdm)SN*{@FvunL%J18Gv$V(|{71L$CUQv7x6>Jk%S)!;s-eEqZI zS ziQ$JFZ#_4D#GI%;T-YHMb#}yFSH=OuDaRgfvSx*Yo(dO*ui9-n*qb*nxrVFy;p%2Q zZ1Jh1ig^K|s`xuSpOUug{ef5|7_&FN#Dz|!l5-!9f55`(pW0-n_oAWvB}usb=KXAa z2&1{8Ku&pZ^{)HJi>xZAqBnl&wz8z^nn7H4THh-TeMz#BWk9~f9_;)%D!zFB`bXq6 zdp(=|bd%;!{9V^MHh1jLcNN^Z+xQei5n`X1hJH9`+`ziHQo_amDJ8jFxkL)!X0gsa z%v;-0!N5V4c;_jO%uXn#R{kd;!;EY4fQYF^9{-eBp9tyn$2$uC($tj=I?FkQLf`_| zi)CsIUpU0tNr?wdWZBp&)DmPypfPx5Du&fMc8C4yXTnArML<6qCGoi2cynLk(bRk0 z05;73XXCM6e?+3Hgt9I+lSk`pr6l zV~vVf$eeC93W2piCQ6Zu-d;R?aIM5G%k6a z`nfRRf7G}K1LcRE*aHR${!+n(N-SCAf(z8cmk1>lg_yi7f0)_Kx4gUK;(t23WcRF=CV*)Xj=iTGaR=B&l`891>rRINgIGdcDyt=vyfP*0=m2I~f z;mzQx@^VzLan*v*+k+w`i^Vu>4GwpP>LVz{bTo}+i%(JLshh;-tik`)Rz}5c0}W-x zhx@KC0EB*tsFOHG?o0F;pzt23bjp!6EGv~RWt>*4wu_QiQJ>Vh0YkxwN%fq0=pZ$S zFT3i59lUhVZcOM2Y{JFElCJDz`PI72++ z3+X13`P8eC*Kdp7SIol+r3%McP#_50ez?q6M4!=B(;7EHSkxxyTS#ZX0;TcCh1c`` zG$9+oT{ccOvrb~Xai4{I%x*5`0Pu(pRNM^A_91ct32y=xLnjVG;hL(W-=^lOZl+<@ zo|>MVezpeP5>jBG*<5>K)y2>~5|`D!>y6&&3CE<_d_E0_T7i)|wNwVai$~=#;JD*z zdUXd1xnqHna@4h}$UxcnWp>A>h9xGK_I$6X8guo?!>bC?np=iB8jC}3wc=Ygb+Ls&=iCP@-hgi~M)J++YvBC5k z7@Q03>^x#czpbCN8{|>ikSHel<-)_aWF;FSR~dejgtfw5q9mnCs&V=ZKNS%Dv=EDT zuu+M1hTG)OyR!qr74cJQEJe5-p^TFEzRIc$r_VxnoSGfgzb+vX1{G>p`TEteY)O*r zWCyXWU%%P&tTI?!dstybNTt>gzSw`%=HNtbwKrg-#F!%G%-c56L!zsmQkeVe>S!-5 zX)Cm}RebwzdYfHgV=lB-bg0q-NPkO(EfK$(<`$rO!g~UP3moe z&&~Su*k%lZd@2vVquX2uR|XxbxG*AQbb|5;Z;lFD--gSUl^74sV@%t38>LCIja+FF z4Oa{zG2n0HZxQ{y5WfsYDDhGq1TR~vJz4%`rG8?^@qh#U;l!i+_3Y;Z3K(4_3gtdT z9 z-!RH^tS0Aby5qhE1$$h*<2uE_Z}Ppt00%Q&$Z6|4()|Ajpx70!ppQK+lBpPNCwJ?9 z^US3{Keu`o0`dNQYa(QI*AqQOQ0aoa&5J zInjJ~4^1Y0Z5Y5~-GN_YPajt_;Ay>=o6~Oub+^<5IyPIksV$Y2mFHJi9=uR!%%!iO zbZm?b27fbodPTfg{5M6}W6Q`<@bg6Y%4W_Fn;6yBSD`Yit>AiQHn z$@PDp0W`f#lJH$*E|}Zux{ylv))sP@LEvqBi{t>PEzWy?<+w9FCZJM%*6#xg=|U z4@3)fsM-M;u%48ez~?>+`Yr!tgX)ql6e@}oPs#n|Y)y)hmNCiM39C&ZNEApijirZA z(o`Eq&AzQGYLJMrSStO^MvO*8JEL5t!cuHZfsBYp#=Sp!O0ExDBbU2SY;wy%CDYVe z5xgWa8~3MKK~7nln&kWooo1E4}eFP{8qa(&v>Z8H*w{Iuc1c{$^Q3Ar#? zD~u5epyZFLJpz*D*NWNx!^$ZPVFCaRTO%yWLG<;HwISHi2VG#jyAbg$(<+66YpTA}P7}y^ogL_>JyNQce zC5zfvl`V9+zIK|B{i5`_7=DOH0-#=ZM?EobgV0=mgd1B!zBJS)9Ei<;6PfuyUw#-r zTz(N{Yu?*^@#ueG-shjTUPK32t!?xZRb~fY zcwp&n9*K8s4~E=}L)NlA|8V0<{j1RD$k)r|1_arRj)3D4++|bVod}|`LKiU2Zc1#Dc zk$%yUhOAC4^0#?H&pKF%|q-)tEBGj>POg*TLvR-`~&<<#N*)w%fR`bvq}jaBMIxp8%3*1?toJ-zlHo z98{x|(hMqK*_QXAMyNZ>maANdiCS(8+hZ71oL1RXJNlc+9g^oaEYy?XBzPM+4nQu% zxtu}Xkk-2@9uMo%A9XvPCxkf`H4XpV2&G3%%LdRx7@c);V#cExFdqt}T;g+Z!ikJrbK_9B}UiCjW^>-pbHX{4t1* z>ucP@TIHsUDN*A1Oj8&P-OFRk+s#{|bO_tVGIRlZhwv<$*{g_8)L9GC3&=fud>s@2 zRr$Id@fWuVk$KV8Taib%cJ`}}Z&+DyMyHt^A!hp^1GFN&oh32EY7uW~D?aVTc|(x2 zUU=MZ3Gn(pkDX~U{j~bi$Z{X?mR9-n4YQ&`inCyW?D{(9M>YZ}3Ao_XZPz)F;PoaU zGmg!F{AC77@das7=4{qL?x12gNR0-<9G^A%X&3sD_ZVXtamuA)VxZMZYCwsf%Z8S&W%j8v^;JLvtXgXd5y`O#zsF@~6lyqiL2I)Vw|CFWltVL+=-W4vU662d zx4(eJJRo5F?VfEVnMH|-N4X&ZwQ^E~W8ZIMIbg5He-DLh+tmxoO&)wOzL2Jg;%PgK zpCIW1kZDfvBG#Wb=>A9rYZy`4^pX8Q&_WF_LRSk-FW!Wn97V~^ANX)`KZ*bF*zArf zX;abC!UblyNDQwMp&~0g4XQuU+~Q)B^G9;m=HX*~V@RwK?{+Q)B$DEDGT!I)<1Fu~iwO zT81W?I|I1;jY>jHgCI#l1y*_x1WQ{WW_59k%>iW*}?+Dx4{0@t!?kBS1!qWrmcm?xVw zbR-z;?&$l?QQj&doHLcF#z)bGO9E2l1hc4Es*FFh2@N)biKqj8Ykb9)DeU^S0&N?q z>HV|9b=c=M-ZbFa3?col=BlAvMZM)PU`vZO149*d6B7URCUMfM?`xwueNU26_T836 zMwBwsQF!HvU@L6mglaEi1Ix3BM%=|yGM0NmB>P=0-(l{e&&XVdr6$XGYsOH9)IWSh zkLaXw3-?PRSn=EhQ{J;I@i_u8ed-ZNh^9?OVf=&V8ZN6-c@IXJjCJ_4;_L2fGyB}2 z!`;r#?&2t5SDufLZ|mdZs{$xvNG@n%m*lS`onBvR*D4J+(TJCZt*#oco;bAZGar*? zRHG<-w#RUr_*O?P=;iNfy-48PZR8*ctqV4@kNJCgTRRIDh{bqfeO1hXwi9hgt7YFr+9pi~KH-0Fk*N$q9mZ&csdk=vaCq zcoznKe#BiR2NwIrij&=zLI#$X^JXK1Xuj?jASpEh!bb3bm_MZ={gj5@w38;S&4p&b zgQhOXK-4;0^ggz`=bFypt(mLy(a>nFP0m}N$QD7Nhh-C={wbY{DCr?cy6=W-62S?5 z9oK<}OMS~2d?no$Bc4(v%%f#EOk4@iQAO{FGz#Nam7!mQ9>E_XTX`RWGAps03`v`w=04=~ie9n5C;an*`emowmYKNJ7}l{%WO z9ccKXtF`+=fB1{0zmkpdGs)N>rQ3 zo!&=SCeI2?&+wx>o$|~zVrsKG(#}xM%790LHsu#*YLT&i2P@VEDdHYSmxZHep)@ocbHyiQG4bN{AMt|eopJCxcr8#dDVjTXkt zkuDt!HtfNvdAEYv{x5yfzT6@KEzU}B#F~$<@J*U|89tL@83!s1LYwoxM@I1+RJ?~6 zCShB4uJwt{u@#|${D{0**U9KGe-5OHDm|U3P~CyHncW4%7wI6|Z@?`ZTjsz`Bo|w( z*bj5@;x096?ifrawi$U^*PRf|FfFz27!k+TKiV29;a)g{IcTF+pWh>9#A#HS6iP~1 zo$4cE?+i^iy6f?Q@kda!-37OB5n)~UYY@%#(ub!`Fp z_FB0{2)7ib1t$?Dfl!6c!~K6;0K`@d9X0p}7K9dcY*u%4t@^KRg3W8bo)13XxhV`q z{+qct|9eU%ud$<^fu;O z?$dle4mPJZDLhj)|83R+L(c+VCj?CB!+i>J);5#j>aj%U$F(%u6&}C*-$MuFUcTp6 z;MAz4BrFP^w}gQxoC1y~kt|e9?fIrSaR5G_j&o&#(Bq}3d{mG+_G9eTQx?`?A(R3D z@+qH#07O$Wy%p~7l2+aXe@h6M7KFPNY)s8xN4Gwk#k+9|o`%~Uz`6ZB>8TUa@Pxdo(>K55=wI$93~On?DKdKbx8EU2RNa zRJg$(S2&#+ig7BJ71Dg^o5!O{D7 zDad^FTLMEJb_m16y)Gx4N@t8XSET*leU5qovDu(fu4LMr47BUi@Acy!99$GOE{)}Ay1O6a7A+zM0SV~XMI!xDb%To-EQd4SA7C)q z-Do@o{wev-|BM$cGKf~~$4{{v?5};1D7L*jM}0-;i(`~KLxl6AW4Vq!b&x>THk#jv z51>oTMY~mwEWlD3Fj5Hglu;{hX}kNZ5&+gvRKxUpD^E??DzX2eky`F?6%P+(SEDx5 zsE<}TERiRjBV#hBMX{#F7T+!SQ8<(PU`H;*DqQ@y7NN(gXl93wWJyD}<0#+ugYtlM zOUkc1t?=^~o-?gHk@S07r>lSna4q=8zoaEOn#6hobMF@V^KWJ|jf~QHCSZltz~$*u zzltNbbmhcV@O3WkQ?uqP^~rx90#YF+RF&@5rcC!p&G)jSk@+y1DG&C^2g`oLg0TVXu{n4a|?u6OrrBCcn4Vr`2=y^k*I)bgU&>b zOVEi7?(;8M&7fQKGM%SMh*>eNYO%m<0ATxc$j9#u4nW-PB`~unF3rFWHsAE%fUQsW zHZbkM?oj(P)%d1oD_DFWr|K4tTH zRMX3TrizIB%2+{XLQ|^>b~Tol7Znv{XJ@OHDAWyDeXM)w<&lx?g3>_Zio*)iNadHC zacb3#B9GaEn`#wvt@Ws%c7{$nd=4;?RfQIsh2MpOZtQt*6=Y44Rrq|8mOh!!_&}Nu zd>-SkOJ%d|6<)YrfF*#8n>VPw9JDO)4cZHvQom!Jo#6+@j6FJ<#gBjk0##1O))i^h zhSQyO9^YoXyp6d~?yjSe|65w0(=cSuDL8N}^UPI-I zy<&K-Y2IUszJV)Y|TpX7zGz^nc83=-au`!unt?I%pgYP__>8hFJlm8y~pk3j5D!6 z(*A3;Jgo0#GOlc&E)DZ4+H>_v8-?Pa7-jC(>WEC%Q2f`Q5a-FL)aD1r%j@)R(CBcT zMmE8!dgb?QuP?oXjt!Gebwe0*3Q>z1yT#2m#=`dQJm!O#jX7coRS3gj$S~g4jCa$H zBmfB=12^fmCPIAjMHxoAYfz<1`$3}^Lqg`4k7nA7md5&W__>W^gND2h)(3U#HPCBo zc=4eoz3hAm-r~DT(P3_Q_$Y3}>`yY;`I&_)nK4g{5nP9T2`4B_3h8X{*f%2BYQNir z9hDheF>wiHW@8_p56)aFk=t0d>aZ_zW%JTs{PDR{qFy7v=q%m&TX^%*@xZAf(-Phf zY!``1czZF?fYj?}Nf!;lP0BShi&c-%bWyU)%MPr>z!BZEy(*WJP(Rln1R&~5EiZ6} zD33;|4TfWBXC77!Q_TJRU_`cw9)^$~-*m9ZG*$@5`8 zWtPwwx0nry(>4C*8i%|z2R~a>;zzPtinMGVEXIp9GA?9=GU;%zPg{6J+9lzYJaC9K z$7@F+-3uV0sT!dp1HkMx%1~F&?`ewIP6Uc-LRbrKcH5rXN~H(=U1`3N zA5ng9=SY;6ztewNlbhwiTI6DN=;JW4AC`0GqjF^sglbdsA-$1rp3^L7}z?IyxU^S&_K}$zd{OwU)7& zo{ee+ElI~nCTE+4xVi9Qo}({u>PcN=@h@`SZfJ3l;FMz`TNrCZmm(+OnzSWi zQInZ%f?a1UH{kf1>cHgp8yy?}m@Eon$DvG>4ldAv2}zV;|Ek}#yJRIe!GcSSiK?!p zSdSPHpAKPar*;pcM-{U>3Tgy|r1-da%llXl2 zbjr5v+6$u)DlC8_9=$g0pkYc?W5)={P&8vF=WHCZ<uL=iMN8c+zcC9T zl2xN*)h-uPC~MTG=M_si@(ytnTiG2h)F5d#0e66&tMR2#y!xAb#O@=(dgMcyo7rrj z#Ss-1g(CP&0!TLV^7L%2tu-9%%LU^}sRccit?+}kslHxCeMwbOVClkrqsc)6jVQ7J z)@uMtRi><9fuRxDF1*@zD+LzV72I)|tTGtnfGx`{weFLo8mu0>EQ7NKMj}%t+Heov z^7!w15rh(l%&U7SbI8G0^#N$WMY%IHEHtngwx>V$|E*c6$0O|J zLP!D&m90MRiwy;dx3^(|p_TwFvNK^pTthqNf13myv1lJ10gfKE^I?`&D)~)78MN=h zs(@inLVAY;A{itwJ*naTK_}>wB{o?L;I@#M z{$o|In-DCMdTbWMh|W`!UaNzZZLw>uj$(hX*1B?1h*l-9D70PvWeD3To1%q{x=oAtHpEMj3y4`_=^s>MP2?!p$JPp zwsY?=nTV@}WJf0Lh9TYO7u@N0ytdD>fR|%JJCv9ns*JOyGNQ=BTx9;GtU4owJx0Z` zTM{E;TUgz5JJiy|*I%Ew&H!Za^b?lW&3NOYzb$H8q&b#vY|4IInFarW8DuL$@su8E zO4>w9wcX#?>q|j;_!FzMQ$qO++)a=wZ3nNtM0(iz zz6fb7Nj0^$tQK$8B8(fcUpIX2=AxmVekU=bszTi zM@45Baa(VNc~VV!hg4tI*Q78R3J&ar;N(m!MBMlfDc(ElO{oy>0}QB@I@_x?`a*8? zdxZu3-85VzI6s%S2O;R@)r!riEa%6H6NBeFMlDx4aH4=#@0ZBQexkg2q}y}HDg(n= zE0t(5+GTqK7&*bjE#k)wa*{T3Rkpfrd}dWhz{+YSU{NxcAn7D|_uc#>g2x#oR5&;g zt+T@W1qfUCJ9H^}u~CAabNN)L>>&JUv_kOT=uc%rI>jj2|0?(M1gG;<-TvkXY(Xh` zP`C-mm2U!>LF+(l2o9BJ46AT#FRT_CIxQ;u))ZxaJ3HNWNQ z0H9Fg=qT~8m zU}qEVc6Fup5xvLHn5D;of$ty$&> z#zl|Y$8lVoUskMH$T#0HQ_(?*k233;cqU{*;6cWdSPvkbQhTo*-}NW8`bE zT9HFxhSUBrbDVgOwIrHac!6hL-$j(8u^Uh6G&AW)OpFlkA+vFuq5K&UI=O)IkPlzK zAW%Nfjbke6gATR6w!;R)XKrhILQcht>WDstSoWLibiVogfqrTb##(nRTwcYgzr|}j z`7J`hG6P?MNGC;Cz80g*KDW(WO4JYiB@;fMA;!hB*o77Q;GSzrtYLkHJM+#VFzd+w z6=RlOq~3xb6JeJ?Dbj72`ZI(?D7?)&<zMS({*<{u_lMW%T6YhVTS~_Y^7c`0*JT07hDZIcnwNneI@5gJsa=>)<);36^Zw zDx}1*?QPX?rwA2(6FsdZ%a4f_*rg37isBK@KHVyZhw9J$@Ltf`w0g)|CSLWe_N8l zTHMyy$c~GMjg4Ji{(a(hdpl5H{v~?5yu6+RfJR#c!VdZQE6c3|0=94mmW8Ez+095o zNk;;DcAK`IZLYjwJYNpQ^E+OQK)3Vx!Oy_ zQ3fnXR1JQ@xxGcmF7p%>pbS-lh~B<^Z|DYc|LG=if(z^F8}>v%RVsV(KZcm`d-O9f z0U?5u!3h5sT4-=kgk6v#Z?hL4a&sY+lm?2l-JopJOIN!4BT7bT;R!ETw+Qk<%VZ%) zB6;!PRq%UpbfO4wuvPw+L>3!2Kwl|(eu5mPF4R|Q;8X-39}GIH`0Y+YuEwA-^D@Kx zZ2^`Icqad+hAn03ZV88 zARj%IfiZ6y5U2gR1Q_QW9``I?a7p|`-6IR#E}T>Ah5O;LcO35y#cq`nZwr0xl<0($ zNOWf;td@ZEr@im7Eaa6rI@!mSN>!fZRY2AL(jtCGhd@!vWq5$*R2(U2QAM1mkzOum}ZVcw?23EiH%q= z_M-p5%Mi7?)-=tLUy=sQ(@?ULg8y4vWe3K3Lu}oLhdQmSt{+slcDYbH&n`I+h0FHh zv0h}ydCzuxu)-)?t(*K{WRm7#nnrl%)>C^T=F%|eFYf$Rb196UaZ8n~+5&Zd zKgup*N}9Q*kriXS{fKG=m;hcE;wXZ*+ap4sH*Z%xKY4v_=?v0I$hM4UP-^@iDz-i! zTQHQhQ+<4V5Szajv1+Qi`zM<`=J;IFPN>j6?BevKhX)CjRkF~O*0Qn=zXNr@^xaOu zMW!8iOi|~!pX81iYWSNPl#iw!O(*#lz(%EE!BKH-MhQ2JV(P@*tAzBn+91N0^0sKN zZt28lWiVE&=Y5-d`!)t%cS;~}qAUMJ|1 z%V|v*0{*@#68p|n2wt6iVoz#<;JSd0e%qCMHpAY@0seQ6-K z4Vt8*QgUcykS$KF$d|%N8%u#2ur%`h)_TsV2$}99?1{YOrV$p&*w#l_afQq)3^873 z+@kwNk@Snd;NVafxie6KfvQp6>2|jvl@Ehd$wglD! zL>fh;@@sQ3->T-4z`?5ZKi~aP^~!P5ZYadm2w-qp)W)UtEgPZ}`w_RsV3Xb$6UkK+LimS~n$RrYNFE z$YLpzi{iXeDbcB$v1z+y_s((D(+)^0_C~fl%6tH6s<{bhWtqFvFBeYIv~1aiF4+plOqY zpkC_VP(}su)8kK@iX@hx2fMf)yf@j+gHc8m5TjTH?R%2?V_}HJ_cO~Dzty%avDO)C z3(nHKl=S^f002U}1OXdF4k6@hf?jW9F42`>sG#UG+-gMkN9vu#8(qH4RNSrPlwGmu zKDNa$O(uhzMKosf@7?9&JipRV&sWDaZ%n5=pNAi25iF1xV zzG2~#UEP#`K#>}u4tic zZ*q;)VN($@br=0?($LMXe(1{Mkt#Sv(84%^;2{(=(^FG&^gbCMrbAm^X-ddD}g`*98B102U$%pbsY#^nZV2&cxw34^~y#%Hr3muIwyJ++u zs8I6)1h%!D@bg1}8=Kp}LaZ<)47?Mfn?{)VrdyrO3i~STu|Co74$7BMOG&HN+XAV2bMSBnvQr(#84 zQU_-@D)A`8Xdnh}LGv1-xSb>V8$-CXZlAYG9_`^%{yD1#?arbS2@&R5)Sh7m)$LF5 zFKRZI7dLHLms5rexK}COb(%_{->CM~Bmw(of1Oku)W!5maDLYWBa>Nxv`VfjB?tMR4cZpAQw6T@iU4Go+fM4m-1qk($0{J# zoI@aK5gY`xlAe>CjnLeS_EY4(!5izChb2Gra};3;bqNDn1$RE4=Zahx2}pz;Wjh7B zAs~0KX#u{^hi(z^7=25!Pos9DC`-*tT$;o?8Lh|m$!5;N7PpZss<{&(Z>s!@+34~0 zQijF#(EMOr5D8XO+LzvVY_f!p&;y%>oh=<``Xail{Mp@yjsN2E1LhnyE%q@1D+xCP zioUy5J)bsP_fs`#q0)P=#Ar=G5dYA705m)Uwsb#SZghZQLFF?y;4SF*e7<{;>1b&k zgNz~V(`r(K)@~&=#?w!71CE1zY>txq*T$B4_=bHtf(>%9N`*2~If5#TLAS1+6|Xm$ z9{rUoprHOJv;>4CmO9mK(cw&lNSE+)@2ctz)Ptj?Ve`WLaBArWZ}oNZa=4IF#)MD# z8)yX%)eU-1XowLlscrhs>_uBaSREKkTa)23=Cn&u1(aSluTn(q;N69NU4Cta2xTnHEax) zVO?^}JgM6X?;fHAbAB1k1^hugThG#u1CpoyZ#L5AhaTX`=E?v!1nNC&A`H1K_CC0a z_SsYKqgf{c*#^`$5u{7=7@&itM8(DOrMwB)tXOF}*))>Aqw($;Y3Hb*LBHav(nwk1h@Wt9FBFTd)4&{yub7@$sbZDTq$|K4WR{z{EN5$)=Hp*w~n+~ zR$;`Odt}9<117W;UaDKcQ501hGEhW#uM3VF2zk1j zPW12^$h^5;6u;qcnnrI_ zg0E9dL2*qoZJ}I!!KKU-SP7*j`-NUc@M(X#61J*C&W>ocE2VM5O^lJ(D|p zmZf!{A3SXZ^n45KH+4$zWl09U+Vniyq^LOfo$0>J&c7P`Y3y>n>UzSKn7eTA`B?Aq zb8<>ZSh!AY_^k?#%959~btmLkA?Txxz_rrr{-v1Jfcaekt5B-k$#g^uu$`oyf*hkF z6E#MRS*ALmu74bJ<6c73o?U&S;i<`RE;nH%JxGA3d?IQCp%i+{q^HM%!^ngUMV=0# zV(VCyL}7YMdh73NVqNy47N`y^iJpO4tn312i4r|}9O@#T@AB$+CiGe$qMGZXGFB|7 zmUhs>k!FdF1)q*hk#fFhQSw?>M++}5*>?ap7jM_tQ7SxA(LdydRvI0`=*#m9R50+) zC$THv1KA$PLlB53^80^WjOcjAOIm2aO9fUOH!wNFNDjI}v08gRg@gx_bpwMimEWy4 z?@yqs`us|DR$(fMCb}AgB1G1lC0@KD;Q#vqm}@Yy*wfi9@{d#2UIQBT0@F1B|P9_)MwnL;IER^`e_Jq`-@AF%Uo>!xL}1R!D~D zTl7BSssqA&X=<`*{~sJy-9WQ`$;<#~N}?YV?GdJ2UeOV>zl^j};3s#&%T)7z}9qrZGi2$%GsAKjVaeTih zSw124S*yy`fg8ajxiaYlCfa4fxw`9x5YzkY1oLKlI5{c4I1o6qnhlATAXw z2M`u#FluI=%n30YeszUoz*SN4{m}Vt`iuc5o%48d&;11^36Dtz{&T&68BA{PonB2L zFqeO-V1EUfB>c#HgcGW=`;ioS@Zav22)wFRWCv92&Dz9Jzzgu}=fZu^2 z9$dA2q$eVpFaxw55A zVQ)Qy4kyCCY);f(ncEguHr#JS15FzV)PUm+=OLD8OcpJ0K&$&6Z=`MN0_yp>g5(Ej z59r+KNcEg8Z0DO6)PEdyPrEvCnm`SrQ!vG_;&{tXk}fiip;(1Tl0eW7VdT(T_xBSO zjqCZ5NV)w>(^dsX4&jCfh%zOm;&5?EGYGl>PV!@?ROs84JSWw<)L`poz*~>+(Ubq1 zN7v4SgW;&kQoIZikF2aLDCb$?rhU2V@^C8iD&Xr41qG#K!OA%@*_LWz=QB zj}IFMhc7!8*iHlQ0Uze^DI9R4hI$h4ycMwC_eXX!q#g*NKq#^EBv^Kw1Ci+qoA**G zw35Z0wqDhBV;vxc>j<)%RPwZLb+>Ni-Q3tnw_Pom)k#BGxZI$

5jx;>(Le4iq$S zt?Co>_BUM8rfRn8%MF(G!G0Wi->0`HIfxUXiJNYOL4gM~?MTr!v1}S+|FKU)E_u8z zZO|=H0fZyKr)+L*Gyp7^P6O$_bML0YnnVdZ1h+&| z)U+Z4bP7gt#9PaxB~)VMxk$2}@l2!ypH1`XK{pMq0hnJ9Y@$sDHoZtei=d*RHIK}kqpdi@JYiW%n!$iE)!dR< zvwy*f<`9gmBT*&e3@mfjk*r?4-0RU}C0-0Gn;snvRi&9{3nv1NXUgU&Ns{$VdF6^g zq<3|yRCUa3$rw`mh6(kj(f%?4sxTK!T*96FUF38kWmI@4xmNz|_!hnm&%6!-Bp9p- z;Zdu_uhIJ9uBHZQ;lG>lW>r1^8i1QbTSm*g&<3sU0DdlB4y{Y=5;*`s&}pwdbCh1Y z9?{gNxg+$JK^JlP-)0+|)=2~k#=oC~Evq!*m$KE~HuY?$C<40w+z#~Wn}CTD!ZuY+ zp+=+ldP-oR@sMrpF9Gdc6N4Pgr56|zix<` zCgyQ5_!S3SE0f!ga(t@w+CY{N*GT84j7v8w{SAN01#CNgnL@lH}K+$zO$ka2H{8zo|6sR7Xe5Cy+4RPwz>LSI9VJ) zQ|1P)NuvcXPpaQDU++wQ>+757wj0CoxLpi3U(hD>A6|%Rb3~(=iZuLwr)ESpWHPz} zxL6O#Q$Xi6bOXPMqWl9J8bxD_XJ-f`H{)2+ywCDOzyVFnL>4f}e0KHKno2uAv$O~m zE<6}uli1^k$Yzl_aw}5b!iXYLZLXgD=O0HL+j#F!kxobzvkJC$5!2+LjM*$?mDNam zoD6Q4M5(P((?Jo}OsrBXTf?q>MTY%oYj_oeF|_20GTzBK70b{gXC7vV)iTnOPkb(N>yd66uYF7~!`69fvYwI7;?j5_ zBnp+0mQEu%LXOC1s02&L z4Q=#6zk@`;5S_tIF;^Ezk9b;Q@G`FXxf1u0&O+sT6>t;v^ZnrG=K+)7i7X!|%5wp3 zI>(o+oS&JrGALOEMd*I^>w2rp{%g@o!*zc~!HsAE9`ENp`EY{@(aPq474F zg6R9x=v-w`Ie_^Tso7)XjL#!krJY8ptRK5t0#@Hp4lBjOSb$A$J>AyC(uW@}ICP>b z=7!MVZtm)l6$Lh#bV4dIVT5S@j;@PAD;8_91T>Lxr^elm{Wd@E6b?&4LAQcpez z!zsu4;Ul~z3!o2qbwbL5jznaR64DIPA7#_A_=MqHB-auV{CKpIiUi2Mb4&?o@f!PL zPK~V93Ow(`L2BUlrDfzl`dCF$&6L4bDpD-6{J|#TJkA-MXSjda7s>8IiCk~cg{iGq zXpmk6KR9Wp5-O?I_Dd^&aGKB9z?r)6r^QKgn?)r zUa7Te(C6b$835`&NIP;#&nB4qU?V82YVR+pOAdEY&Utf8tbW@-4&TVp;*xg6!F1l$ zoQp9-$mIx@iMk`Emc`B9(zWRLSt=b0716!I_$C(FP?pq{ECKguB|h}*gR%s1FtGTa z5&e8Mhl>8k@~qvgWKeihQj8@wbX;_$2BY`apezO*4Ixc(vV3rHaiu^=sY^p-fT+qo zC~urNhg)wdMwP17HE^zj?G^r2WgdF}3|ZP-wR4o{^A3Q7s$_=fp;~nRf{@025fYUK zQ4!5@^%}gD&R9iKUO@lV*W#~PvrHE$YU6ivd*q03bK&!O7m%JxNv5Ix@NUub+%^;7 zRI~^FC^;hi~w|qyiaHMSVpfBI><*A)UIho4c$V_ z`0NZ1A7=CEf+_I@?9A`Z--Z#t-USbt*<8HH&a}P$!@-mw)fATlN&MfQVgZf7I3f27 z!Yj|PZx59fF)T{zs`cTX%W9CX-4!!io0~5mTVH;Rr>8DIg~b&GZ_)+$xxs72%hx+V zZ)9s{=U~T!d43APL{gwyGV^(mDdaD%L1$KRdU|Kz{CayQktW@}%=#3g>N|f$Dfr%$-Lg0>rYZJrr zPd|0nOk+Li#<1wh^4F}H0Y5A-QwKqVo>?&X_>l_dSMPd`<((R4}lglMF z0lZ8Xh!tyODNb+z^#gZ+2BU=}#!^rp|H&D`o!jyolEE4JMs4N9 zvK9gd3m{_=BNR(+J4aXhWr^T*s;o}Zp#5gGa{CHle4R}0xDw8dPpGzTT5Qt3%4*wC zERX8j(QmLi^W2isYB}tDIuVj-{(CkE!qX2dNWo5tZ~-!e1c@bvy|lsQ2S-jYcs+rOHedu#XM-M4M2V`nI;+QOMoBZ$0E2lv9iNUOn7B}Qy+LOR}(7CMsx=^u_{o|mT;l^5MKls{7>urI}Pk*d1 z+z>$D4h0)=y?cryi>k|X$TXAWU4{7x@D!S_%m$o`9CKsvg)q~`4~m)GnYZv9B7auy zGv+(5|2qjREiZ4EX=FqV>>DQ`+tYB~5fNt!SkmnJ=o5kfm`sVGbYD$$?^aZlk1sl_ zt2??{;%K7gu@}=%v>R%wtN$5SgGQ(gt>NOLADBm}qo4Tu_iysqb z%9;=m^i2@Mjj$3=>iNM*3eMsLZ{Kmc#bc2bI$K*2fBaZbfO&sO7nz|c+T|UJ3m}Ee zF?Y?#lV{|7uX@TdTs({O{veDq_cw<~<2ij82qb=d1vIj(#)9W9&b$vZecspf;DPmg z%Kn`A5fUnYk6%}hjW(UbYcp04aje>64;nC;ht)m)TXp%}T35F@w+29+8$!UzX?H^a zz!%Z?7Dc7m*K8fG`Cp*~oYfw;!bun|OpkoKo;0*;oqtLvjeYWXLb=+^CgPg)xk!M@ zJ4^srNTX}d znaSJa$>}W+y$)D2*B~fDiAbUQKQw)HR9j!Lb?_7`?iz|qks^WO!L3Np;;w~a#T^0# z3dP+mSaFI|D8=0=?oM&%<@desW!*n-*2+2e=FH5VJ$pNFP6*4rmwOnZVl4Ymr#JcC zp3v{zwEdet_dIbzYJI8Y={I9YXTz`YUhzAwR~x{;zs7#87vKyPQe-VOOYPA&fF`NY z(nXu}G0iPn5I1yF@k;h@#8^Ni4!4*;i=}3nJ~65%S_x=u2rs7c_H&23j-Za5FsY0c zMemT~IDCD5O0I$FAtR-WHH*F8_)(7UV&$KWM+`DtqKN3LbsUx8eD?t6MYq1vO7J=K zO=ZFdB{JlgkoM;~RmWb=&|XF0CRy^mI=u@Issy7Xp$;!)J*>-f<+Jkq(b4ZN5QR3@ zE8cloS>Zm(zoe4;X@$I!dmr?|5AmIW=|t*xu1wMtg@#Ty<@V~fHGSP1GX*}!8LP!U zb?4AUyUIkacYqe3B|tMnzrL2DJ@x3^g|K+sT8nb>Yt~p+6&LF)Fd9>rV)a56*)75Q z4>aQ>SnI;TXhC)PM3yPG#3&~s9SB;WqI*>E(#aZ?cyMZF?l6*seOM`s#W~XcimlXC z7$qqE_p51?si5~A6+&kt?X}5X#5VFt%CXv-+9Ke0O=2s{qQ~ksocM2Ph&+jn$Jbft zF)=iGt)orf0CkNK%zx`>gy52n?l90s0}ZoHV3Uwik0uc$Ci-DGh79$)I3e4JcbAgw zmu=02)IG+pyywAmVX#iJ1TmmU?HS$nMnjJol0sC+!M1RGhf?_6L;5wUfphf%MlL{ zJJdAC)?4xYHoNG$`&I`^Ty^qlhVyN;7Su9kLO)<*qVq9d=fy9u@R0mT3u+r98E9MC z!tGTPov&eWyx>auMXx_ms1cu&lataMsBUXGgBl&egC4^J4792A+ai1|etP#7xrSy_ zKpR>#ILQ(QyIFn-bojzz=VDuCpzWi$U_&iLEEnl7K{GA!UgRqcJ@b2{c!o2n9fa{n zZOlojCh0Ae#)^_rC!t~@XOI}HX&fZ#76B>joLr|pAB?v)BM2&xzhs{xlFy2c0A~iL z{&FnIfx<0ub#I0^CC3kOZ*#PZrqtiVfL+v5Rmk_`(w>s^0oRaKeuzInZ1-1PGwAU0 z%_T_+#!&mfa{I`DN1M^G{d<6rh(#{m)C7r_;1SL*rl*mC(f+z+gI8wV5^J%#CejcN zmaW{KJKRTo&L4~X5rh{_hInYMH~rm1?h=WwqooC|i%Sy+&?Qcd##~XGz3El|&~0yx zo_v|6{Gg%y-V@oCH6z07*5QArw8-h3-hnU!M=yYAU2kx%h?GE(nSHk?sgPBQUxxtm z=!Ok{W}R2T@7?7VSri6(U7iD(UvC5A0#*A9e0)#D5U_=ri~GR+(`TH=15OUnpb_Ru zqWh4g%7(wfl|6O-l|C*%<;6#6Y2cio;4hHP71yvz8zHccjb zclJ-e48_al?7)!;F!+jUK!{aP(Z0yNn>RlZ_oU&~nRSxSpHqA7?6uwSu!GEi<`V@XNMTz}F%I;g2~=34AK4FFv;4)iqyV(=Tn((L z?>DGh6!o(ZljgY)s{3kCqMK)ZY|Zs?7iYX7{oaWdtXZ;f$~e!2oP0vxzA~tkw@;rC zy@5!e1e89lZ^MlGo7rMT#{#eBKk*7f2sfgUtqTH(l_=xu^$r@>tx=r(X+wFEIt&Kk zU^~OI&X+k$H@e93H7H=p08CLqQEMWs05Thn>sjtHobv0qVXw&=Gt#I2!8bW@ z;SsnmpCu|^A{5U1chd>L0)g1Sf~Cr>6o&!qy<>uEt63%9taM|%kHeSWSaTBsG5lJO z6G5e`9{*Bh>O205I9`qbiF*Ez*%;egxZap;Nd1%K5Lyv_BB$`kP!;(7%)OdfmBw1D zF~{TTcWis)k%=1=K+Mj`Wj>^!D08A!IYj9wq>@lXCSiylK3q0yx) zU1E;yw4T>+=zY$f#{I=h=uG0w{4c+3s z*||LS=aW|Md^t0IMvSaI3t~5a00}oyi243=+{%V>8oU)J4}VEJXBw%q2tKAGbCZ|F5H?!yxe{*}*A!t#@v*k_z? zv878;Md(-d*~K~kZPMdYT9&Zc#Q}@XIfHEF>u4T{P*g^iO84rf%b#z(PI6bpg@o!Y z@Vj&$Zp~M(XT`lRnjY3WeOFWb@9d4wH_B7EvJiJpe1(aAUaNbzH^;^kZU0QAxA-fE;vG>_c zQ3(r^MW*|5#z5Qrf~eb`igKDd1I7<4&xm-lj}SQ(l>CUT@)7~uo**W984K3Wv9djr z1Uc${Ev%4tru{v>+#_Yejm{rNmfZTOApe!NS=~-jQ5sJ;@c3z9_IV4z-r0NWq)!=J zKbx_F!Hrpf*J#2Z|7{QARs4$_)O5qFf4HugqksUsMfr6RMx73W(&C%Jv`-9&0o!It zm|s((x`O#FV5J3EB^;Sutt1SPVXe_Z`kF^9z)g0i1E-$(^FL3&u?w0nCkbj|UhBWY zXrCq!4qu1gDy}iYW|a{_nMZ1~=nRd_^|Si6rD(GQ!!W5gz<(74W;wSFp(31gsVIC4u5gvEv9EhYi@bd~j= z95})P=M{h`Jg8xlA1_Ui|;^6L{-rZ@>JO6FX=AAU6lN)lfc< zHx<)Xv>l-#AN9rvR4v)_Xw@hE%%GvC4b{``!_%vALUM-b{N14LqHIhFUjH*Iljr_J zsvQk^R(EqmuIR%dsH=GPlN%97I!B?n{TLj-qGW-j2Ru`Ieyp!K$6+%H1tWsWP9OerNM88 z6)nguSQ9t68iBfQQBF-m4mVwxtVQ*c$wzMb`ku@v@r;`t zM7nBip~Rp_0gw|?q%6b&DAxOp1HP93uxj{KiymU z8lE8?SQJO?3qau}?J}AbS##zU)EGBRcPNK%d_qThk4dXqs7K(9(H69xr=g`tigg;2vT&Q`TMY@n`jt#cx^2{d`afL& zt=V*V1)KS;ebAHwpn}57s4@BPxUAPOhYWt3fDxI>9|kllByHFO3szVOJqoYWAyqDB$*4--EbuMdzvB7u z+C2$vx1{@0fGAEKK2>~byDWOkHKgcs^XMON*HQlVw%;ckL}w0Hd;eJTP;F#rqsrw8E=&Hh9j9bbO=?{DNl2mj7?`dSc>d(Qg@K z{g3)|py&Fo^t2SJsg-^**Xtuz^P`Oov!*G@FoYHe`_0Gt^@O^Hgn_NKleHE06k;|H zMWJth>VDAB~I- zuC!sWyPwU?XBF02I(-OjAr%bF${M+d2PGw}KK+rV78Ey9;YIz!TM05|k{@ke$jkS$ z0mChaf@`XCE;i*kuHRy3?)KGIN|Nx7XPtJs|JYXLTt%Ze$5ed{%=o_yb9c; ziG1CPty@U=QO8Pya7j|L?tZtwMyE%=|<$X@auA1&#`&n4d zL;9TW%(ssHRc!5hSToNG=$urB9J`tz66NJzu_w1f@7x9HHeRvYoL0s_ zR9eQoDp)-dJ_~7Zf;Q&bvDOU=AWlAPGkbH+1R&N0PNZhDQa>9I@bnCSqE8Syx-yIi z-jgPn@p&bTK&Li{n?WPONyF-ZTJxz*N9 zm|DPclQ^djdE=MV91@O$5;;P7z7+QfL*6BV3CRcLyk(iZu9RqrPbTl{uZQzM4Ft`u zWG@#Jq2L4^DE8J%>Lc4lJ&0wh$M#6HB>r2iC2uEU7pa_b)DMgp6J zr0i-L+6e64UNlI5K|;(G)xVpav4_Je2KVa=;m9D2mwyM@aiTq4KNrCX$s<3VntE{g zB?`ahFYWJ1VJIp3r08hL&(hFP#~1xVnniS$k`b{W;=hj^R1f_Sl*&GfY+StW^OsZU zJxZbmE@Vpo;yXz97BRyaglN5;8m*~F5EKgZv1$1al~|6`=LWTX!Odps(8C6~HP@{9 z-H=V}xnTmhlWHs&=X(uzRm}4N2FTDPgmk{fZP4c@5b=jKAN9TbJ)25Q!#yd!^U-n{ zEx2=Wy(|Q|wvQlg7I!&Y^(&lLIksU|%ORHATfPeyGI&f`n~e_GU0)NKF{OZX0aR>C zXJwj}I58cgIfu$F4+x9PHkt7f5ffl2R1OrGGYAUksU(f)T;goY(modl7vk}Bvq_x3 zFRGW4P)a6WFKn-<;Mr?qBIl}R>(eKjpu1TJaX}^84bAWQMkc{ZLee5LfdqJ-mhi9E z3#^e!z=tB@X?;U6htfBu=4w2P<9ZGRE2T>$c)c6lL1N@FS6Y0p1y|%E*DmjBVSo*jHe)UW8$fHoxY-6g41}DVLp?eN)$C>GBaFZPDGuWkSRc zpl2VQmOOkssTKZn)NUs0wJs8%)W*5*_~`HF>tc(aT<@0;5(Zx@bxJL8f;< ze!uX{0pNR4c{;4VJx#pMJQ3rk|Bb;%2w3>ebO#(3EGhYofmh)zP$_k z^%Dtzop2tPlU$@RMR$-l)K&$X_j7hXLB!XRpKAuL{wPV ztc?KXRx|{!ojsfUC5;&s$E|z^S&QOq8NPR#3jj-axXnnt(lsx(RG0;U)B;eQZ%&r? zFJG)rmkT)C;ldN(UH#Rj8?R$mRp>UqEkwjhIv^y z)2b@)y#JP+6U0htE=r`HH0@iOH@k>M?%iI0~KS&NIeAuLIC=AmsyrL+0P8+s_AwUUBmhnnoYN zvR#fja}2-*l;>$v%*EEl5T}`c)>v$q05bh+7|=VxoJ0;lH!6aU6nu9Vq~^IOM1g~_ zc~#9Ut!lltt6+=G%%u(@^5+3GR3O+ko523ch|t&8f0#fxU##FuRmg75?1>P`Iw+L z6e&m}!5FP**F%Y6SKElo9@jWtJS~exUP|lc`EKEqlM`jvUpKyXVV^u~Wl#diTiQt1 zKwIK?+(fKha%=eDJAf)P+wh|?SctD=zR17)_1FHq?lv*6m9@YqNz9H{&NkegZ9hG1 zxWBp!7xN`Ir?3n;?1Nv(l7NkyFLdWhb!50;0%$r7%B?|Iq@(a5!@{sm zAJ2g5NQv-sZ*79_)xO*9H#EazRdBeGA@fYo2T?uLH{tX3G2hqHi*1)?8*l-{(h6BJ zxeg#w{!^wmzwo`PQuljh7V1 zn3}}E%^wbH=9}=u`>wHyJxH$st(!Z%@@|KpD~OT^Ojk&gm;sTyhF4nQj)Ze$2C$+Y z2O=cbYSku&?cZNclk`)sWIq=UaspV0s)IM$b!yeHgRt}y?B4Ro1}1~qe&zwb^P5h>v==YMb#r7`AInkJDRP zhI7LeKtq`1u{3??ppS%>vYgIGn;z$Pyz*fN*aLsayU!-y;|iPQ%70ugC#F%{BQDWJ zZFxOA%#rU($24g5buBYZ@tAR>birl%onth;EGqZAg)_7d9^V?!@XH4etwv>|=5j1R z7RY{|^#zuD^cL~@)tByv6DNq_2LE)UaW`|*DH2{2Aq?Zn?aa*b=MHUe5(>lCV7|~R zZ}(2|bt+5r8rVA(N`3whzb9-8fyJ})jhyPFT-xO`+C)^zy{4YA4xO$^)wLnbeAoQC zxdh8zc31N_j#DgRl; z5BMF+T_JtDiq?8TTJSeX8qB}JMy!?74{+7-!t0v3!x_5xS!?kdAESq5 z+WYZ{^x~gu!B{H~v7W0p`)W_m7|;DW*>UG@$4hesxYW>|2fr4Jh)tiv3o?W0ZQF1G z0Gq`|9LH!Xv%ib_7;d22mKFgX9wdO{67Pk*@x$O;+s=~FRUfU{`cPH#;KLw3{l@j^ zhr30NbIw%+Gw|B+ZR^A4O~l0)m3=7aX-UHEER}S6WZ+vIG7tuRe_hTnVn;?B=wjm?27<>ukLBZVYjss~Mfc zSkJw+$J57k42dmb@mmxT7<5~YrFXB5R)9f{h{5MHV1x71<6Nwm@0@YRpwmg4 zqG28J*3m1h zI-Gm%&4@9;0m#dyk3aAb5CDU6osH1;4>GB_&W+eMK^jpc0D$jvq}&)K)p*4Xked%o z4d$w-v_LN3+Ql(lB24aCe!H@{l)#P^Ur=P3k~UDbp_G=Ei5|BfIq+RTSd^!1Y4fr- z5vX>>jP;2)?LcjZ@{pMHBSM$8`%>8`k}qM(TUl96IVc7PG@`7El-r^08Tazw*Xe)3 zmy@LuSTM17`)_m1Z{=bU50&oowCU{SzgGvlT*8ht#r^TeuI|NCofuY6?=>|Y{X7X1 zm_(6En-9&o5A|=rfWp%A-!t8I+*OWEd|US%ObY%#_ar}D76QVs_OwxVO3<+=6*+z< zUCne)M|W8Id;j>+QCluY!B^^VciB5#mL%i%P!>k`@MGV9;qA|(p5lk?9AEX9+HbyF zuS4{HAG=QR#Vp(2#=5S&-b(`{)ItjN;zWcd}d!%rowVXp5|X z9ets!E@TDjO$0UvEM6+!r>2`V zB%^s+lr2oG%p`KjW}ZmmV}1j|X%&#H0f9e|L@aPO^-xlX=s1d6(2Hd7z?S4F0131> z7mO^rClM@mS!@8zWJ`%#VecdbCLj6vpv@K2f(3)~^PBt@xG&W5rx_L~4!?ZsHVQ~# zfhma^jfVlCOKq)gwz$qgljr&K=5sWCsf*Oa(x6XucH0)WOc3_Mi;>Il`|MHhX`9wY zru463Bop-hH%dGT31mo+elUQ|>1SW4Gz0}N%hesazeQjMol|@T!I7e{BT+vopu@kLqX9D9-r-8_NE<0;Ycx&80zy<0}~og@RAnj9F0@eMmDC zdc&jB&NShuo0Qd6y}iW5A=!?C@||d?#!y9Nlxa7Gds@s_U-5qTdRV9jIY=9D2rrZH zx(xtWzNdC(ZscMD_7tZa6U>PM;pgo}V_+qGbP3kCnF=}b)m2G8^-rJC0Y!%3421cN|?AVMGtfB>HML1ePg z5vV?4CsBw8N_QL(q4l%jmzNSeu!*2>M^e^o?5 zt@%-3sNrYLMcCW-pO5DMEjjsgKR#BRya&#QYkx{-&K9cec>eVac?k))U$6doj0WHq z7cbcw3H$xqsCqZkymw6OR5V8B1mpYzr9ais_J}RY&#B=fIf-ue)sd|?;=J> zW=;+ky$v_)E%hB}g5TZnWg?6AcJ|X<@xXurXrrGE^0NQ>Ij5zn7#?X0%OPRgBuIXMtkpUy(j5UOV!IQN&uqxTR9&-tR}R=)73W;b2jnk=G$yH zHa1Ah^qocU3eiY2U)HQ?y+=Gh4h`qwC=IcX{zUv3^114)P`ue$K`vq6b-B~$d9MiE zWw)sqz0s89!QNJp=T3M0pkSPfUbAH7osYBeEdvF;)AU8v*Y2?uQP6 zfF#Jz3T1$5HCL9AVaLwdwvU3qH%IwKf04y{3rPV})jw$+SdnKx$Gk`LP$KZw*X25I zd-oF*o*5`pNEx60czC!!bZsmSRyM78BZmLHPamE-6i*{HnU8qCdv`R}hzat2zrcfTqtigCQH;uDYNOL<1Tzu9QStV5ak1wWlX7^$uEuv>aWc6;R*p~Nau}BxzTb&}hdx(^lpm$E1%|gnD_Q*7u zgr#siSwtrA4so(y>9sK6_kLlKhqxn@*-F+-2K$i$le?u&iJurGl@j@t0BX^`>N%qM@7I)8JJUCq`WYTK*;uvya9CF-7bef;ux!^bd9l0N~3m5zp{}p#B%38q*2p zq=O>msA5~$0tlows_<)@t#?IK$|UI_9PZOF+TqV20|Amv`6B@^->~l^L>RyF#LB8< zdvafjSC?N3;Fy&%At(JX!z5~*Gq#+yc7pmtk%5&A06cT57}=#Ik%9^CzAXylE}fRD z7+c5ziy@*`G%|b`6xmJOETW4Kf0;7cWyy)#Cr5TTUMCCbZdRF!mMyRW5Evx?s1S4i zN0+II+xngB0zP-c@>Us%AMio76t}fQm6@S;USOouHeH>M3P-MhDZZjIOkm~CiJcW* z>(pepolXks3FGf-u)M{rEVm(NVbQ z-PcxP!$wu4=PjAFOeIYTl4y=FRXUAubE7(nLFpoO#N@=vAEC)()rYFgDYK+tNpuUY z`_Z5N)pLh8Zaws_!MUg#KCZ6C!&OR`!aK84sC$wN0>{qpH14fqoznvnF(hu@eeIZ3 zKtL3jweNp2PAWgkyh(+8XtQ<`**iQqUY~1U!-Jz6C%MU7-Nf5(FSuzD3M*9>;k_25C?$b z?;Jn*9+rqd?N8pV?PPrFc;O3pnhUrg&+3h>h}xaZ7=`jGFX7lTuPgQwlsZ9w6NUW#J9eD+ubC?RC@+eHubed)<~IG^Md6M9dmF>dz_?&d zOG%{uKP8{h%s?6zAtDw^)}~OI2EJE;%Y0Kfc$#fGqw@tbNy2jO{LLm}Sq^rhkT<`s zjz_{`puwWb0KIzpRi&uc_!0?_q;FM@RlAV0?ThWDi``cE@ZwNH!$w=$@{HwHCm-O) zk5Yw&s@TleUah$VE7wQ6hW-PV-t5;4>#Ti^jw#6q`^i(Ar_o)KGe z>-1$zBp@DyVN-_4i#JhrPQIp}kjk3+@r{+t(n8{Fl)m1kzRi#EtHNc8Rwm8yDUINZTsU7RGMn;abLAa1P zR41vLC;@FM9|tTG@ak=H`%=;;PebFIzC-Y%K?6 z$LTJns)|-;T6I2dxB#z|v+lzUl;me3@H9T}7PcD%o(gcf%b+nV_V z_mN~)jts^u=4ieN?woe4b-8^d5c*4X znL#lTB5-&#g^e-M5=hRQe`Jq&@tEp|bZA4s{ZykPabtLIFe2q_c}!v}X|R{*!JbXI z&toX@W-v%E?v-^gkQt7N#{l!nMsYZ>&ebvLsm75J9A}l=Jt<^>ckUfG0E^s7^AN!` z0S*PPZ#B?rtDZwfd(O=ZF`9`~W#$8uDGtH~J+V(iVe+ZBi-k>&=d_6;WoeOwl;BnkP*2bjnPSj;<*U zcF;IO)<8io**Wg`QODbfZzm2vO~=U;WEM@a-r~53XFjX8@P zIiMXi6JWDbT?;yYdZUayFlsk84XA7aTJsKCPrXwy#Bp~&w6Ra-#97HAGL!;MMxrC_0&%Pc zG1^_8g?p51c0FeahL>>EmjqOna3dH_vj+1vL`>+BwfdsBqrA|{)VXN`=(7TG0gG|i z=paKNQRRw&ECevJZDWPfI%%U!MuOxV8{U&ARl@`BF#}4DEhTcWa_TKCbG{S!qFw;t8`{Zvw7A`C;m2A_>*Y9x3pP z$l*&CkYnO86sViJ=kf5_i*YT!uM_46msv-AQ4)kdV}^pNDpniwxr8cIB^46b#|j9x zQFiGl3vlAgd`Yn(s|o%Z${NoWq_Aiiz+siF=xSN-72rrC6p%c|$v?9V*}ZmlGE2wA z`0##H0s^msT5`7TbcwyWsKYNXq<~;p9$)`@)avj!>f`sE=?}^{Ru^4}_?MQRljgof zBB+h^s6;?pM>%xjdWeVIHMz5hxDaXU-^*x!UO_%3$k#*m+3z)XQ2n&``y{jrNPx8_w#i?Ck8!Opf~3SWCh3kdU;rL$1#I ztu)PVSzs->W;Nlir}1yZxO7&@48slYCHuP$Z)0VT=7XQHE{y$- zRuI0b0U$efVdY>ISNa&za2V`mr)1@*Hm6xBy<=NU6&TwWphZ1@V5ws9Y0=OkIe}TN z{j}+&EBYl@3lo1Jvy93Hn&8*m_j3AjZOjf(fBE13#y zqW&U$Km#5;pSjBgi=6Tojlye?@f(g|dp^c5St-UIHGG5Lu2BFmo9TD$Mrv7QINDQX z!;9WKT1@(VV=upa=mqtIl;Ynn!1A{WkH8NbOP_oYqm6}`2^A-$86F)dl$Zr868p)C z48u`Dsq~?qFB@;!>i{?rBV?)_YGt?;fs2(*tJjk$E7^IzkX(obj2<;h1du?BGy|(0 zt#F|G9VWRZ{`ku$uX<b0Q{LKXvNql^lbc)0aPEZ8G3*+d3u+D;NA+1!MpM2KF2o zNGfqNZ1}v&^F^a10$BnnyEv-O$ig=$=UGs^s=v%IzT54i{3Uxb(R^3<@*9cEyY~;L zw?AKO=HG33#UrneOwKntPDN*4yj;gN=|8GT_u@4SK)3nMGB{{MQ|C@^Z+ppB|Ha<) z^7OTAQOin8dI%b$0^A_t7kr;2mFEx)v-qq6xZ?g15@gDQ$8{;Z*cMNnycU#S^O4a- z>Mq+y%w_D4co7I5SDydbOKoUeEjTq|hT5}@e+;|WB-U$rt45JQA<+xQDi`xy=GJMh zM)lJEa&GLd>u`p2^oui}CJKO8S#>R9^s=b*wjCAlP9k+>jxXB?7KfV)Vkl!w9Y!yE zakL3I$$F8?zDMRfk+-wOh(!WK^sFm6{rq>>`TSckyFpTZT2VJQygB}}AmWXn7-SpIKr8;LjSxWa^!mCFiq0=>cx6(KZn{p(vX2Ll|tWcno0W#7xh z0gOSL>9)h=P76aeI&tasbJ^8nYRn9_#-wa6383f#j|(<_e3t`T%L^R|&9pXYU@p@M z5Sj70>LDF`TjlzYt;Oz9-`|z3M`EpK$CBztyo#5ZNXcthvJS!-_Fts6* zlh@=RLPEGeiNhVsYQ&l{5$b|Ic|?(k?)e8uGzmHr<4yC6)4T;Sc-UT4JH+q zJ_NF^FXyOlLMK9rot><%yN>?q?>sF4H%~}UM&u1wbloB8=SHZzR#px9zps)+(RV8R zQI3%AcG4}B!!^q%!;Oy~{p}0VQjr0s|CTZeRzhLDcrLDfS~LDW38=Uq-4?`KuRL;U zAs$P$$5L6~0Dq?wa?+3Idu8TMt_;d5<*glYdy>d7yYG4{9}O1t#XmWlcz74<%mJ38 z#l6pUt>o!8pb>8|GCJ?NGm*nuB&?b3QqJ!YQ_vC<=JI&O=EQMuLGU zf;ZAK>6Hf(a9~-=2XuScu}ohSS{#igO<&)QR>=7e_Ur!bI(j#($Arg6PK*Q?rKHkb z)Sb~OZ^C%%^bod#G4EMEW@hG8y|)W}ZWd6dnT#2qYF{O}%hT0=U+?THP|HC@U8MOWACG*>!p;Zjcas{oyWK{};2=IU7B~=hDp1F$O(3rcz zv{mID^hSOMnjU`voDJ(3dEISoSuBOa;g`LPt~(mKgWxFjax1{)N|*qhI4pR8z zXjSaYBsx#10UwCZ0?s7v*Ri^6JFT^t z3TMB5T3(Gn2%|*g?fP~1d^arHi9ECK*g^YmX_6AudP{hbOs)5 zb)6g~Kb@N4Uesy7lst7Qb8I=)Xu>h{9-giz#Bepfe@VU3A1%1-hC=@;&{dU>`O;g| zRI-Of8XH#Vfjiz63|bM{Ua@;7In8WwO@GCBQ@6Q-4<^T*CGL$ZK7wTU4K-h?AGk5^bG*R~#hc;zwtYXxkD zKAu_)gE>yYjT@}gX>sHdG!s_9r=on{(q#u`S{@NKb|*9hl5T*?B1L20Fi^n&fF<3` zee^>(KE3i&g%M9Dkp>Pvs84Y#6%8zK@XG&cl(HCEga}c^q~1-JO?7`1fp#aU7D?^T z`kLi~<=zI|39?vb!vqAH-tou8a+gLyz2su`Jh3OHUEK}C@XUdVgHy47*L6AUud>a( zQ&irwSlGwSUSxY-xX&4J(z!#eizEgZAcKx_0GClg6+(V0lsazB$x%E&*aBGie9wFb zYGTG*(fw<9aB{#OJI}Fay@%AuXJn@1oCk91Cy)12=kG_gv__m2@G=O*$4IDu?yo{g zp)@7H(|44ouP9DL{54V(@b}sMZQH+aYg;^1MrGE|5s`_CfW1sCdWTP^dr~y~IP^QY zJoJ_Yn7LHG3h{&lVSQaG(VvR^FoLt|##)|*cQY4>GW$Z+k=>(t?22*EM#IP?b8RV( zn_+u2ferUT~sh=Ix^XhiRC(@cMUwYT8%fO0QzA?d|eN!EOrY?2u;GeuYNa6RUCBrRY$fU3v%m)oC_UfNV_*@c&>A_&odLS75@g9f;JEB6VJv=s%rvapA-3|DEiLK7{ zW$Y4qw}OKX&r3zVgWr#_h&`_R2|PAL6Xy!W9c>dij2Kvmc)}pPD9A(qt8H+ zm5@|SWm*4ziqF)^cg+?1l<6eIu!}3Z4^F^MN_%U|q3c!S6v+)~Y>9Ik^6G8n>XS9? zts&Z-(R0-4^OVTypJDoX`aWS)eCL&Ax8Hn9*zIlfDLFPnuZL-ma=_2$I=*u`Pfe>6 zoXn%cbM4Qif|8L=-;ElYJ8GM2KCc`Fp^{Wr?#ZUBpGb$?nZ56few^RhT%c7HB>S$` z?XXv;WPH%K*yqjkW=wSiFNvkO5K!6popCeoy7BuF=JGAscYWPMq(Cx&g`>Un&1?Q{ z>|(3hhu1{7!Y!a#fm!Pk40t6?W}3mGE@vYtAhalEhUy49baW~4hXf$dG&jcc{~`r6 z$+f%q+)cIosn+skfZ1SrZC zXXFL;&t9u`&Y_^1E-bFdcImXAXCV4YYI?n3_t#paM`Ti}Wv|Gm0f8xp}@5S{f;{WxVM-Re3(0>Gzie9t?jKA3o6e1h`0kp?; zlLJtv{L!C@|A7#7dTDs;ZE842v5`P!*^om}%^abQb}A1@T>zKZq+f8kMr9PIWo4j^ zz_9^w8#8b_TMNd~D|<$S!pgC$t7}p)(+aCiZ9{`7cme}qYN-`9J!jO?Rm^G|?TV0I z2**>+dS4`k2864Zo?`QvdzdFVol9CcIXMq7lG+#k76{e2nWcQRd3Qd_il6YYrH)@k zS{4>L3?!4Hl;ZF^8P*4&L*0R~sRDO9g6->wHTHcTsrodFrnUP4>4Rp#Qlq;eyGiAb zuu+?6d zCn*gw!xu8{22npQb)JMFQqzRYQq(Q^O0?xHuym7t|HDFemrfGHvg(KUC$i062ra;D z3x3{$4K1Acqn}aV(6HSu2Z)hsuB&4Z82|ar{D*B`@Lnpm6$WgtAHh<3R@BL1Luz4X z!{b;O1;urXG*G=$xfnjIny4HA4DrtYBI^{R%(ucoiLs0V*45QTUGUY_kWAy78te$hW<4b+tV9@(j_q#9IGDRt`_kPCL1IfYi6Qix%@#VZ^y}EKJAsq$3}*S z;6fxXMFppHD?2+ywM4^N?S&%8BnALAOD(}>2oKqL;5F8`{y{l8f;dmwg2j8DmGtCMbaR%g-&tNmw zJ4^N6Z7O-n?3m1*o6ImW55EKM#P-!&3u7?_!YI!{G9tm)%#(kLf3F5s+)t1&{TC#` z*5;m~jk~RV=+8%bWYs~kMLqT*+EHWi&%HuIJmR>Ih6eA~SzsxzD2BrfF*&kmX$Ho}UEkC0m}AZEv_9srG)l z>0FN(6@NkI=J9`^7BBx!ZT=|usWpVNE0%^z{JxKNw8mv2G1OOo8!dZIwtDX2)|mDc zecMxP4jKS-e-x5)w`c6Tb<+A=Smr~SEH(AGyFR?g%jl!j$E(R~HC4)#zr(;xvue*| zYU=3aVb@2ZCrqbNe%`f9SrtpLD}sSF#K0VTAU?t;c^Tzn=M@AS%@KjYT>0vNCSE7s zSB?KU$*)@J4FVls4cnm&BRg;PPE0ce9qGRUFsFZVUc=40xJ>$#R z|C2p3_S)-S>zZ>4=`}RYCEW|(%+>|W@?8i-|98M>N%fg28v_Ur#|2(Bn2izSa3AbYRXk%*!&ke{wiY-|glQFGnai zklsM37{lLl5Nr9~_oY)ixRlA#Su`|bzWIt%=0d*|j(&|Gd5E4`2BffCRGFDWuK(@d zz-SyfLsX6Rf&FLh$V>6(2EMl~*jZ!!L_ovHG1ZxOVeEa@&l{SJb9^YqecjbvtG zB6-UY+hD!g(a~|R<~9gBcc`L6Hmu!#BVv@y?PS-TbZ+LUUMxSmK@-6HJ|eNFESrJ7 zkkUxbs0DO;O)bno>E6GgvJM>&Bi~=MP^7^og$RU%uZX|)0$?v0!@A8A?Ub1W)Dp!* zH0i8{_K%e`y|g9R5YwA!zpLI)-*@SZp3*3L7}kY2)Z+R+P9LNtm1y?f%qjZx$H5x_C={hFX zR#8z}=%E1J;Wp-{n;i!jEDNwCRJMcEwNjYpz{Tf325txb%$>o8UYBv3X;x`Wx~XPh zx)b8x7&?QUHg|@{15EYzh8k>UIhD2no>h3#=aU9{FmSIioZ=B>Vl)Mri6t3r6bGQN zlaT1V=x)AY>~0V&Lkm`-q6$KWrHLxYMHc#h-KK|wFjDcLU{9t+V&V$Oa>9#Gx>R|k zui$pyyBpY!Z{b!(jZ`kFI`2YFi}Ck)GO#40-3`pc+*ex(jlL1#a7(0|aU+vO8-0`h zKn$MlII5^1>qo$Jnsif(d1INJ7PvjHJJ##4phlEj`%R2H3;zDrXGx)+w>pPDjP!FJ z3!{*&69!~o+`rG9oe;zgtQRK<2$sivF+y;PmZ5RXsCJ{4-rsgAr)}?U**o7Ao4}e8 z@O;=yV=}B0eyJ?Yo(N_2>|m3X{ve6k(?_J!{9lnUtpv$_xR8kB6jF{bLys{>y#D@)?(Sy5PA;) z7|)jX+62y%Gc{&M)iN%C$;;i~lhTcaMX7zm$=pbXVT_zcQj&Ev+==0*NoAL`DXI}UsJ4MS zoxtcMECZGA$m3uvrnMl*-kpSeg`%6m;yJmIE^ZPJuK@b2J&DCVV2EK31-YO;V1Q#WsP5x^f2n1LJ z&zLNKZFrvNtj@DSr&6DfMc4wL(W(M<^XA1GbMl9PADxVQo00R~7}0|so`Q3Hcf7WU zih0@kDiZM%qz3zC_lZnUVQ)#}g+n2EKM;rX#gV$>PVTL+eE(J2O0q~m(&q&YuL5`X z)5;XXH`<|LPBN>yx?B%|<(b^mE^bfLje~LA3-c&Nrp5+ zu$ezcRUl0%13es-%(QisQ+GsHFsoZ00w7yYH=2xxnT`sEaVmdOsj;tRudZ=RD|Y?5 z(7k+c1fri5?s2;^-+VR@yKNOp{2?&nf&z>Z940W9c{}gP%gk6bXZw6(p+&Lz;0idr z_4(*P=Qlmowsy64;CFG~v+e2s#P@nd^JYKMQB&!$Va<DqNZc#P9X zg;Kz~`7pyUh0bWKXuYJ2p-iQg?RfK34V%g*6>~tx8py>FHkzBR{t!`I>_DO~J0*uo zGPzD}BpfOSyERxX=jRiVlVLG#?P1w8oH&W@Z+uXwmZAkc5AVduyPTVDvsILi1Te^^ z+%u%A;LZL>8E)p(V@=YiiqgQ-5S$?k;y=#({4>|6&MhH^ zGP_kqPC@N~kM~ly`40<{&J97-$EDIN#nnLfJ_O>SVFT3BW5-yJ?U|KACgr&K#27#d zC@clx*w@o5;j9JZ$#pOwEvM>ELJw2@N9&w8ULITA=K z0+VqAOCn{9N;fZ9%5;b=a?S+Z$wKVW!QdeTXF8*Q131lQ_o#sM4|nl9xT!5d2M!&3 z0@HITl9JZ*swdG;m2<0^tzWn}O)(~;we&E?KYo5KI_`na!K+4lIF6citGppfTh?}8 zT^ZJCFSnGmNXII0fe9`Rl#po=j2llI=-%GLgY|zC!*+xOv++Y;`IL9GV*00`;vtUy z^WU#FmbIi)ONu8X`%WY97x3UsmMV7fS%biq^X=mJTF)uZNYQeWvx)fE|007IIA{;J z<#BP)P^lYHqxdfx;iLdC9MnpVVj(aM8iFGdf%?Aspur0@F@D8i_I;W|j-NPqAbLJw zk73GW5z##?d_LEs{U?0D} ziGH74obpce3|7^_@N!2II<#SZldxBaWqf0@Rx^=nn0v8f&wcniqhfka#NtRzQ&op{ zWI4Q}?uQ|t;w=(ELQ`6|1YOBVwJE_}(ip#f@3xjwII3;)G{eH)&CdN6cIy;efne_| zsP!WCkbfOA*fJSdR)EWKGP)$sge=lFb;l*U+vB>is703=Cf6hd2M^M6&pE)rL#-|f z8te}gGi znz{*Vmm_-UBsCjm(sK)Gb?qAaD&1)(nq2x!qgBub0GwA1rlZu9~542Ye;-yc6q2$4`q6ft7-6;;=hTBjkLH^7F%N_+)f*9 z)a8l_i9MCf{Rxl+GTtJ3i{#GaT|~UHB0)ZC^vE%9LUJ}`)b!|NYbuRZb!0*=g9CO6 zfeO@k9rsYuMwsf66EVvrRC~03RvjNF(kP9^ysf>smgKe2sRgVe|1-1He)VoFjiSfu zI9~ysByPIisOs1n5>tZ5k$j(PP=|B!sNuDpv>5kwXw&s;6u;rguOBaaCmSc5jVG%N z_682S8dWYA+g>E5M))SX*qifhJ4Y=gp)rdX``FV{n9YO=@%lPOM>fA*8E0Qvdku7q z;2^&%BnWJz)d-igJB|Fje|lCQF7fw#I~Mu=Y?SMI{lPow~{q^uk|AT%!EIlCPN zGg7_qTEBnoyMJ-q4EyDP7sz!Yi^7S4Zs`86(IFZRu$7P`drvlCf;Lt=b2iw`MKslO zctaQ`W>FC&;IgK-ne5gti}Zgiz+NbN0)Hzj)g1izT44WLHU(mtHX-cPZvi;!%;F}( zvd%i2h?rBWS3Vm-0bLF6$PRa#nV{OTRD}aqwi!cG$A*7$Z#b$b&rHvB6+ftXIKctT z_Yc3qHVOp1pQjC{Y`>5;X>eJ4b!uHVhg$weQ=b0+IvpPb<=gnTM(WVMr;+zq75DAm zJ4wMO=Vd9XuWN(g$HQ0oqIveACevW+v+4wk9|??y1FcwNNi@gr5nFevD$*D4|*!r^!PYju2~ zcTtI)xs5Dx{%VjSj;?v|dv^pwfdMRzC0~*DY#Jv92N{ja!wn3%9foOt)Jv|0$9>$+ zTC^oZA2OuzTIrEF3KDGN6H8Sorl61zTwSVR$M^eP7rlHE;WF|p)J0cD;~ZDhikFgE z*=!%saI`j9a_K<3iKcZbNreU(UM}}Wegn8(jb$HuMoLZMUElb z+jWzR+UnXEVli$+c1bv^uQElzgfRo>VRK?SdFDoVGO!?%iFib8G`@NPolF>*b>QsG z9>VrnQ`jAkE*wig0)4BgJ{E|ud<=rVpwCbks zGKU>cCtKx_%WcN7_qV5Y=V&LAo<{BaW>o6Mm+v$~!^3pb$Z$v^)j6e0Ze0{4l4Ye- zAjHAw1^)RDMDjL3m@iPzP&>Xc4o#cn2n*JEJma{HS|*C3%sbA&IKaOlJccda$v#>l zyPS>iVGvJCvG#KIyVx~h@;UwQx8CoTQbn+!M*sdKI6x;^w7GuK357JwE+(4)t`(PR zH)&idKI>WQ9FKH0`j{RP53vibSNlNu^_mgX*SEre#g4!v9h&Pb$Cw@cDPFG5V2pRQ zqw#L3g`wX&QVuuh!L2Bi-f3E512*5aftNoSQ#G}GSO;!*+Sa{Ned9-=WW0wZ}`>h7w9q_cT9$7p#6-)ig2nyJxWiF6v!QN`?37kRD0&%hq4?w3D9{>w(9Qg`spv=~=lFLz4n$hKZKd)ox^nhWbXjIz|GpU8jTKL)nb-JAjk1 zpFqs9}@V6huvFnVtYh_Oo3|l#Di*=p6 z8hu+9dOJNATLno$g z+5DZmqLV}4wh#u)x1XmM5uv+Xa#=H6tuA|BxY_->e{=k2;PDE^K*Bb~XSu!MyT$@M zM{n>{vMOXqz?S^*sj1%<{3ll4<_1z&Sfodb91;A#u?I6W8gi`;EIxo!=IbjSN^>3g z%=zXw&8z7E96};Z?Y-6TUT+BZ-CL=v_arm0X?kzxc!%-4AIqTsLz(7Hde2#FTJIJT zdoN57@N{Y^_S+cME+ZN){!m%$5m|{OrvRDQ4e-@?XgZ1jvwxw=>+Wh^&K?a9(qO8+ z`2#lnHC^zE^>_nvh&hgPV+bjx=q?FFd_ke`-jxftjR++}oMy~duAb>f43dYKXq#1ZH;Z*E|(?oK1?lNT) z@u5R(oIXw31bSodgQ#TVC=_SO?f)gG=&L-DY;66uSpjR#?tYvEG!$+v-T;n z)*kRj|IiK^nCD=JSgnyNy}8pUdE{uSB{XbgTCfEns;EOh5y%J9fGNHmUJwwZo$885 zGaEgAtY=c&rU8I%Hguoo-Cj&A;L5+Hr0T#^%|%xk*0lNt3XfP%YHNSy^2z~X5J*fE z=E8Bx#a|8+Qci~fRWE!2GR-ZP*C~3T=x@i$MC#~XvlY`#$mv%(iiG`(Tck*zMuk$h0+&&+A}z&+Z>2?v19OLWyW-=pBWN znh~h1ieuL-jKe~5N-j5l{hDlXj@-ziVAIm)^!fx_RpbhQ;HgZf$I>J}B&i4%6lg1y zKzI=Wv>`xvh|_MV{YQc=~oNTSBbfYF}+4}PW8A}G9i%pRO4T> zfyu&dxu=vrN@!1NreVogG#JEAC3@u=Nu(Gg37VMWN{VWp8AMXi6B8_n z4c0@2aXWNTB!60#4Ra!rpna?xc(}|&4Drt`)Y}d5azDMZ&Sb<*#&bf+KUO`?Xv~w z<00@%3@L=jeLW>B=c<~9L9j5e$n=HHcc#KPj^bf1lrVQ@of;uCkY#TZ;b+QTHXc4w zz57D{p95@JnhP>`e9;yFN9*J$SY=2vAjAZ-pK77|p;%g=R|mtYud}=?BC>lb9;)xO zH>~>0Ea_e_^MondG2n+=$x_npi5l(Iyra-1%RTl`Ljv3R``={}Z7_HWpGZ?zfgk${ z)M}thM1-30VU%E^uJ@#eIwUkS6c$levx_)cpKvn8u7PRq$Y`Z6ncJOxdt$&puKPW} zM}g}6cW2oO{o3_>)2s9JyF6ax$K>3A$92h&-3uf`upDF(1p*|;rT*YpE#T5LkC1X0 z9NZDy`{A$#S#*$^5%NQys&Cii8#F0L)n*OPZgNx?dJd06UQ4W-tZ6~>+d4i211U1jTp3bcXyXSBdgHL@1|Xktt;yKc+)QxPV3$3Uh;8H z5b)oixo3MMYvY>bg5u7Hi2##|N_eMmqEmnyi$7nv0-A-GlSH@_RVGD>hHH(r-L{nkTnoyip2cjI^4xCOR zhrsN~rzb-Rfpc>sm97r{7QBuo>nf%QCZkcgW2hh_;a4IY2}I=5lHnf~PYv^fz^;Gj zh#X{a;)=gil=_ek1Pl#V`YHnsAv6bbJQ=)gZ3h;}mE`*To>tC&CFS^lujaNpeP3(s z-osQd?tfHR$yQ}UV55n^|IMVwS)g*ehA(GtSDChs&qZR7JR+nfsFi8xARGgqqv6N; zO3RGUgb$8Iv*lM55kB}M zdq|%gBe6m~sYu!B$k~siGQK4~1+Aidq=Lj;M7+=O!qOk)SRm>c(te#%I>(aSWG z)G}FQz=W1Xo|rG1$5X7?cx zzek3NrFJy=-Z5_v;d{l^?-$ zJ{`$gbipvoXqRGS1>;M>0ErFjCVc6FkJA9qs*mM1_rGy4FS5hA-7->=zrihxGFh$? z-2otSHcOcL*vPQfFnXhNS^d?3lk2CGMg&(RlhoR}x^gMI%so*XLwqILt?plUfJw8H;*G3cLaWu&Uq7QuVi)!I4Wm-NNYwl04#Jx zjI>%&{q;){NUU4qCg>~iD=|0unOcK&6gWZ za1$>%M|^l!g{zLe!P*I6e=;(Jk5D5@h#!(!g$1H2*M!)yIxS+Sj9{y4FMghPvzvFD z``R|yWgw@d#_Jrkd&Qoes-#Cg+?%tjI#-(`!|FGY;#pv?+^(eRL2Wb4W>u$#qZFes zBH5ztUc7SC!{W-K`(aKRBA>m9=s=Te;JjS#cHFo2Y=_Dzb2pvfy4H%$A?OSpFIWOB z8Q5WQjlx6fAtR{pLs_{m)zN8ba{95~HQ;}A3S^5m0b$bF2&GuJLJ3*}TDwCNsL7L@ zrwu+=`ZU`qZ+I|a-lvTSTE{T9eVWvO(OAUFKkyyePO(AcOyV2^(7*pBZM=>~jMFp{=8n#|o`CR-Z`T!nl*63kN z&Ci0axi1?;=J}sri17)fYk5;(hr_m8`Z_5;KkL1EZy<>I&xmLnxobTXh~{O%0qVXj zR#Cn_Z@&F7`c{8*PcBH+{rGpUv+iJLYb>J3vL@9TPj#h!blN6gis_;|@4pILg3a2P__So_cpJ-~3W% zXRT9TuJ5LwXTZO~fS;B7Bs2j2!Y>ZJ zKLpAIEVErJ%UL?Nv+<0}B12GdhlwbCPcMO{=pWN>S%1;cYpWw5)^_Yy5*3AEFJRzt zH5rIzuSe^KtmY4ut2ZPhE0KX@U!IOvGC|=cpOP2R;J1mOG>KΞ8@v+;RpU$<=Tc zNa|n?=S^@r&p87iSJ+wMDg8x`y6t^_u^*8|)>N^eljBp$X2Krd0Uh z<0^g`cPhVs+wA4%#YNHRh{2c2`A^=pFZ&0=LfgTSO*&>J3%XK4jSAKIWS(o3IPpY9 z^{i}c(lLjT#CRycc+N2v0|C^8Y#L?i%G_Bf>QC;Pl97+LjqBL+tkD(BXf9K~6mZ!& z&7^G41nrRkXaWCZdyPca`ac%5*#E3z$aF0)oMk4W2Fo61PZ-;1;il6yQ}>_h{{8qT z$=9%1_f2A-O<5jU+>X59W9%YIlCBFjHy{>GBoOh*1$wz1PATAV3O+6~2I>dx(R*cR zBv-&u7dMx5$|#1CaoVm#UQki<*eCTNasHj4g;%mra#kVyE6k-}0xD!-`>tu~Q$Oqt z<@`)SDUJvcoLt`5mOyPjH`BWwAGbN@H)kw!dO0Vt0J)sGYvZZ?bhaSCLFt^q_nuLL zg2fl&!9*r@tK$1zHZv>Q3ox)OSugW=X zf$4*Z=Qv~DX6$FCIn5T5#zMAwra>(C8gGW{d_++ zh(05+oxIK)qrO5{GOk92d_yHEQrakdWWn-7XE=c_bb=}$koPT_$_Rp0Yz5S@VNjuKO2o$z^z# zfgfo!R)XxPeg|pO-Vkx^XkfX()L_~>;jg~LEk!IllqEeV5b4a)8e63T1Ma^VZp4YE zhK#LkmN(#&*0Y7yAW(?>M*G%jCmN2Ewe>I^E@@#RSH+iab`>;dpW~&<%aEqXc&@Xs zv6+>*^6ZyFSkMfc++a9LY-vAI(y97!D z;c1M-AUmQ(ZXw5CmwBe+^t-Y&Swea6&$Dc;75hnW7@xmNS<_C3Xs?zJdc}1$%oKk* zS^`_oDe(J08Y4&Rf<}ouF#|NNI^`8BBPy<9Md$l*&|QJ3O#*1-rbPP#T}SeO3)S{G5c#bSq_c z83L|0(tQMkn+w0+3GT#LGl zzCWlOoc1h_$Fi|v`i_70Dj2ywY=Kw4q==+pLeCD0sDRb7I=R(oHvod z)Iy4yxXl&N?eub<*&c+n*Ee$W@ytV7m$&0=8S1c?xUvmu*$woFX(XJsG(OOpR|W?o zrW^aMhdZ71^*-2Y*Q#uKsCuT@+A21o<>X~#J7{^F{IZX14zTbycGneeCCBWt=IcS8 zXS3_fGR%>WTY&zX_VnK>2;YEN7`>f0&4?al&)e$=sQTa&#uzGuKwt*K97MkbLAc+5 zTQI`~?Coo#e~EhQ4_0JvOY7`^D9c+Lu6ZEDADn+YqVx)}Ox0O5Jk9 zILU12075o>Gwib7r;o++!B8r$=5ssFu5xP7ABKS2v zYbJDjs=}6$@O;5C8-L563@|gWwTzSqgrV|Z;G1KU z5fJ{DI*z1=wf-oyVx6oc0W-OO`Y9z=fduRcl3|?y%?aS(MW(rEID6p$)V9AN?k)@* zOdA0J1HV!P!q6O4;tQm0qh*$HApFnKBx#Xxs9*pKL2rG6s5n%K2wrjM3tUnd0&WI= zRVB}N-d}ScFetO{>2QlA74c;aPj*Z4-&YYCr6!wGgDK&IKt93(zXykUBZy0Yliu*4 z7D1|v<(0&h)}y9E{R>3(!`+yj4~Ux~<_Oe7%&=3aEyE|#QMGTpv!Yi!da~YgZ)0oz zPiJP(MBSb2i)17V9Pxdkc!ir%1hfR4Mp3CCI-7yw*fY}1i$JWx2BGx=twF#~vCP7bhrUI=83+h_Q?wt!rR@ z+jK@%bR22?xePPtkoZsW*#`$?FcgZjpJ+^p~6LyNFGX+i1K}4Z{!JH?f0Iwywbjpe#ApSe%`c@x;-vz3Of3fg zMQMDZG>=9Th474Jqvkd^=Mx`6nAx&(kVNhHE6*Ui znhh3PhDQfoiuFLXG@AKY6m8xOE3<)qT%s3eq}585Jv9sEd%{uQK%~4>_RQVs$ZUUmgDQce(o;^Z!uyO zo|3sa_vNdUh2ULfOd@OfDI>#<5fXk>pm?co(tOX4lvh2WWN*@r@n9PEMDKX`zP&x$ zNntL5n(sO1%F6epDh9&yVJv)S`44jD;V-76=UXueE<2hElVPpV@~ISe8)9$I*LZk% z=?+*@FwUbzWbrWRm_ zgg|?(*IVzqhrP?DD4UtJy}5%=s@wwi9D)pu3Vi(Hms%KnVSs`C6vZ+Kr1C-~wVg$z zQF+Vn`%0DeJlFrCv7DM}c|WPkNEBULwX(~KNlHV3-Z%cZGP%nwE{IY!ql*pKp5-y` zpwNA_-uzzy=ESl+8x?zH$@@1HP|^oynUx3f!trzfCJ=#wrBu_D#kcy{d%ecX^5cK6 zO@ho4Pvvi!mPMXp9DRC3wG902(H)53s)rB}hsIFk$Af)rv_&QkyGAD`%Vx5d)k`!K zi)AZ}%5X#f)al@ts-58Dk;i~?@gDdut;+5KxOoCIMt2lSP$VCzwJ zt(f9lR7|hwb2^B90+ha7!z@x(c;oP5@x z{Kj646I)T?jvUl#hw5EB3Rj&6ZLB@2W*eaH8yRrAGGBzZ%6dv}MqP^Mk$-9Cf*9)zdnj zhEuo_TqaX-!dHf1?n()`{I)o6yw6_RZTbnj4t2CcpL+)cvN^aF`3^3ZtA&*vofouz z7Sximl@`<{5~lY_AgWI7wIi1x8(XY@LvY0`P6LUcA3Tg#;AL9alYln7jG3uPL6Scw ze*FvoV}UA95`=r2#Wrj5g>OQEYnvCl|7Y|#aNsM)7I1DKq;QC0g=J&0I2GE=Yon14 zUT2L0{R4*UY7Bqt{fbQ;@z^?A%yGR~wcPCOrZulsjKzIWczh4IEDJb(sWEOgcE=E1 za0lb|+^aRp@_voCZX<}uM1CMzVy2KAOXMR}Uz?pPpncuGlM*K?Wc+lUu`k5rrOSmr z|G7}Cw4wh@tmgGbGz18k)iJGE#JKhSw1($6l|g;fW<}FlIwwQsE;X=}ceeTKu1Kh# zp3nd2$#48FZf$>^ayfY>I%`8->==i|y4bJw|5$*+BOLBH!8wgdpN%4?#?COGd-{^w(-Ja&vJ4dv0dgUR;MsI zr62a6D8~kH3R-45lW$&1@c|?0DrHTboy^wFiX@%ZIFV@0x=_u|W=3?=NmvL+0+}9j z9uv6ugK>da2=MM~^Ue4B(~~@jP%RF-f#AGDNsaaDeOgO|OR$~Qfw1R1j(B=#l}&di zZ#grOx6?8)74^$3eYvfUUJd;S!hs*^2FfPuk-)q~+NReQo`rFBRX>L&kLKD|@fW-@ zF%SpE;G9c9=l9b&IBRb|9!CS;vIDw{hKj2*=93w&Bn@xkZ>KB)R|qOO|J6ez>oj{< zU%JP1Wdhx}Da{l1e-3ydeEakLdAIJh@f-_)4E_oB!b>0;60lVEpp9cqSrNGKGgz(^ z^c*}@eFsd}bpemxMW&-FLI9AF_;>vzgKOoU=9{@gG4RIz+rt`_cy9A+DMgwdf?_Z8 z@1)=h7%vrXpK;wc!O1=uNAIcBV_0lsTqLO@0&@j?Yimy~x}9KfCMkF0003Sb9Q>MJ zYg1CLDkuty#Qi|(E6z)F;xjas&LfM-yWsw^tDRe!Grxl!>ahnOQ*WPdF zR8h*PC#tz9D9s@TCJ8Y}gUWK3p^V5A$iRf_19roXZ|2-&J{PX3=}NXx%^|DGM9y`G zWdYd{IWtM?Yu=5^cqhAGRPU*9cT^)#cM%p_LZ!8ri?-`MYV9SNrNif!7k5U9jy<|y zkEtjG@tu4jNg#(5h!P>_DmI8EB@-^%6@$f3{%mLMNm~REK)W&;$TAdYlC?az{>6ZQ1pJEm~%W6!YosSJRS5*ZNitJ^?5S z%x)^apdqxW1MU*h%9RdORB%4NMHC`~xo@Z$EH}(M>H$pePIKxiBcVSFCE)Qd{ETZr zNyJH7Eh^@%GM#zY>D-5b9krFW{sH#xiwq21S@?jh!`Z=&r$QKhF0Ru@yB06K;HZOQ z7P&(=9!(`h6tNK5#Q}N*HlH|Vx~pu2H<|&8iWCWH<*U&l&HHk!994iS1;plhNgQ1R zUD8;Yol6~qG2^0)ZYE+=#743vRrDh2LW$i))k&UdC0Vq<`L&4pM7@aV#iDIk_GEd} z+RaCxx{p%tJdljNn!mkLq%3h_mB^*+>kV(_LQM?U?*x&LD@o!;S9$sO zOO>W1L)LOosiBNB9Fc?k2Q@sTXqk^8UTVz@-7J~6o ze0P;>_9%5r5$UlMI5|xVo)4*=NMOVII~5ZXbks=5-wnU(ol7r$Exw$q%*eua7kBEA zuQh6YajM$)r|FyAAB$56@UGI^DE9jX`n$HBbYe!c+byzn79(ahPggq+a|B#lD=Q-+ z&`jk*=<?S`~Af8H0*~u3WiXT~Y4zY?B5s>9kW%CF21m zjfVGa*Gh%I zZg0M&G-~)?r+~s@QdwX5g`^UeKMeZwQ)3`~0F=gJ%sdy9iz1wg_ zGxx+c|D5$j{L{lpm|orT<)x8`DSd*Tw1doR4wpX`5g zB~4G32bK&)Vz+Axm;hEg_l8YutW8aj``ad8lO%&ANBRWpSi4O-+2#_Q^UHQ5t zrQ+4;@0R`dn}ko#PcyHg0IC7Sv}uP`Vj+bm%YDMclgHz)ejYB&+uKJ~|**PvHjO#4kOk ze{U~EDc-9bR}z^Bsa+03|Glf4Uw=V`H{9;u@NjJHQDef$K{m;`&U>g0mB)?s zN9TM&DCLL7jb-cxKIYEON1t7^+o!@+wcT}-=@OcM<)8yYP*V%k6|NidGGgFM0aJ?{ zu73@u#z>b)@E>OnVD67Gqo$@cIuqk%2!9aes6kE~8D9$45z6D`4VDvv#s?-ho;oXK zcbvOI+jz9Vw1{YdoAu_PH;IiIz6YmhBGrej8n zU^eO%%0xActjW{7zq?SfucwD=8PEZs>e5Pze+&5&d7FTJsHCt>MI^-Qf?dDc>+(;@ z>(}~@)`F?mIo!aHx@?B+UNBcgMpPPB!S3LZ*GBqsqPjvo`R||7rQmkyUWbE4rT+1-> zlxKzQ-ope(E_UX{KY*W%#1sbrk4yd0d8yW_U?YeueFvY|8oT%jw;C93KNcakwCR1G&)nhI7Eh+{X)w=Nxw&q?MT4_1ONbuR%$XvzbF=Pps(iwaw$W+?}Sa1I&XR|aw^ta-6GG>0Zv!Dd;zaC zaRi|}BjxpWs2f9v`;0-D5L7ZGcFvuTkw@V~1QDThh;M%|$~;0cVn=s6D+P0~O{gSi zAlXyl{7Zl43e2IN96)#slkVb(Tx3}EwQDfiI8@J7IdiqLX*N)_YYEy2yjZ0eU%Y34=$E$Z}F8!RKx~$Hz;?Q;nuXJ%t`t&;fW-Y7?Bd?pm9EUQ-@kZ9$WGx}>)X)#r z4KlYs%iqLxx*YRyu-x7p&3t%MM_dVW$_psHc$h+&*sk58+zjsFR6F zspVA;ZS(Jf*&9CGT$|vRbTWzosUumtPwReH*<4w6_M~f zTwgY}-Xbb1@O+q12j88q`|gduw{W2Sm-KgTM39$Vde>g;;coM(Xp>_RWdX!Eah*&XE8@~8uh8rCTP<>y8(7KZ@kzZ+>qJ{vrWtHf4#kD7c z>%-hDEiFFmrk=_1e=Pc|*rs>=U&~r@BvXG>v+i+Gx_e z?i|6}IjMI&HLGWWAUKW?h?xn;X8HTA#X>bwQKU?514Wq|d2f4jbDqC7`i;CthqF?V zYws~(YaTWY2aPAj6NUx}37Tyuxdav+tfQ^$F9rsyleRs^SJar{gN3Yc;;UkR@a5pu z2}0q`m>LO*OtbIN%3`@0EwBS~T$`G>KIMHoUvjnF&&W9Hti>xp115=D7cWSB(*T<& zxnfhtx+0e6Anf%-YHIn7lFRnK@ zgFmHq)HgXow6s`SYb#gUrNRe@*bvsu-%ZTeG9B4HE9BvVx8 zMyX@%iStp#?#l%!;rB0}vi^A_LKPfytJGW6@_w9vuMzNB3QrszG(JeDB-6wR@;O|){~Wn za?}=iLT=~z0{mbc+&~tpOxm@A_`-J*=~b1FYX_8@*6Vfp9i5%6qFh)CH!@&2MvSc1 zmEz9{4%mruagW$1=2;8_m9;sm{nDYOmtJcZ_oha85s;QJp!{O?ynFt&1j@Y?_v1ca z-uHe495v$7C2+2Fk#5ebZ9y)2%znU%Ku%QCD9vjx)PT!PC!<@Lhq)y;8GQ*%_}$rp-UA1~E- zP3KPsYhJ=^ktXwP`O+E?f`epe_dUux3Vj0ua!h0tY%*0{ryl-U_;Q7Ie@+$zj%$0A zcc87KiGvzWZ|~tS`HWRYPi#9MBY?t}Ogj=(9h+VAt62lS(O_5Kx>~jbD8BiV1Tq}X z^DVgx{t!1HxWD{VCo?lvOXEkYPG`Hsfgu2BA;{5oe>`4D?O|@IQCc4;)SzqvfG@Y< z1yCeFW!&G_6#*>h%W7*rIChF8wp*f!$1Y%?9Ne*2f1ryTi*Z>~?mB9T_xN4fb;Ogf zAnJWw!48Fb`g2p5x=bO5*qt1swN%=G&^KrbksIGc?Yyv!g=+nmexDjodFb)IGlvy!*)G9Q${0B=HkLr z+5<8Wvk+Yf)#Q$|`@?t&`ChN%X78+(eyUVU;9|H4LYfUm#bRZcewdngAJRqk@@EX{ z=&H)^Qa8tK0SB7_H${|8kp&Gr&G4|k`i44@8nN7#;fTK~W`<1U=DoDmUpE^c8`%y7 zaf7Z|D_RgZ3MZuZuooq?V4;6 z>`+vZmd6<;9bGV>N7?QXD}^ox4+|C|xh2+Q#7YVY0*X^t*4yV_i@H2j2{Y4n*J+IYGGH z45s6asDV)fLD*;j5GL%`VAuj1YHckVmiP}+-Y=dgpK{-KdT41`ySuf%Z~a-F@tfc? z%9lMqHGC@P&P5y!)3SVH)Qv8_?$=)OgYz{jefJTqG6ZUOZYrBTI$M)=23VK?oVcl! z_l3Q2=rBs1$iG_8UemIUP48v$$?B8b2AQhr_D~XWpSNP>nd2u%XpoE}ikbyCsD7-y za{qqvtQoL#*}i%v6T~%QK3WWsD|Qn0i4Zg?^vRF7A~mnR9kI1IX!7T;ggNPle;xY= zr0*oBd-l3m9U{&#v0-y@(fsu7;BevT^}hEc?)C7KXR`0vi#YUUd@l!g>ng4 zR&%K(WB3pbz-=Q~ZjJ?2ODkriZK&R%j6GnlYWnHYs~T%n8~|@a4G&?SV{8S&lS=t~ zDoSJI1d_QTUwS4lEuk!>=EQQ1R6fSc)!2nxt5O}40*%k4jFq)~V;fLzYf+;MRs?#a zR@7WJw6$v9^N|G;paFtr_>ciq@PWavOH58bs1ZFj5TLN6pA*mS9s9g>>*eKJFX7zu zbk)XqHMM*oKJVU;fR;A$x&i-jQ6p?FTR%4=TSV^JL+vuH-GV_jBS4QXXbbK|r5Ctx zcRqymm$P?ndRhXL?PyQ+ygD<0C`fYaPwkAtP)?|hNp_IoTtUM~c_D|izyq&CX8L*N ziW~b`+2@S<+uCswS|~mqJl%))7|L@Bv*rvxK869u=1?en%K3gP-s>d%48JtwY#f%p zIh^bJBvhi|p9egC7ZdcDsqEtzBxe?7vb{1F_~I*Y)fI~BAXINu$16WL!W6(gjHu9N zRbSuPUf*nQEiqQ=b$gVniNp_+XN$Ui}PZ z_uwVAFldfS+44!x-vNxy-!U>YbgETU)}+iaGnPvtoK-~kPk!#R6WSCRcDY=`V7HWF ztLrt_nVe218K%MxCtOE{%r*xO3!JT$$E#B}H$~X@OkW`_FQr)~HNus)Aj6?W2;zxg zP{@DcsVuFnvN8~ATfZwo-S@&QDL}^oEIppM&Np?CttxXy&yuZ20XkoWdHkW0kJ@ zBL|2xedw1wccEuOJzxx^JbxFMkn;ky)u?>}?W3XAw z;@`ugXo@6PHWzikO?#0gmB=}zz&9`14x^4n03ZTm?xQ>jzSOax(^mBVqvR`^XU>oONiuV0 z&OZCyYwh(UTaJsW*0D-823IjhFU@_ttY1F;?xv~bW z&Dvc4c6NIx<8Sl0`&UY2{Nc@f?kc4@0|kk6p0DqadyNEl9tE+PL(0N7!B+|_ z>AGE1OL3{NK(-!Ur`nkg{RGoYs#O&!++96LIAL{r{@Q;lC;gJ z$CBH>i#?b6touFo9jOiYWN}1Q$v!FdI9-`Qv=ciAl}Ak#Mux2UayWR#jgy<-=lerl z{K{Xv}o3v0&<3yt9VGxuW^moAJf!h*o4GwqT*ZtW@RQD^> zj*VQE#@nrXOQ`anp2JVsRH|+sg^|W%6i!0W4iFqP^1f{BOU1ATs|;;C$6iXK(wHIm zCmen{(hO8=TObz}k)UT+;EqT`CI4%?uL>_aaMtwO3vXY%fQT{klYdimAq$;1GT1wC zWDLr|J_35pz@MPFzC(<5hu2)g=9=BnyVjwiR7m-y*POJ^s5beUR1wd+I&95P(v>78 zxGHn6OmKvFc96r4x=~5?U!egiZWFcVF)!+t>4BghU4-Mm9mJr0p9{Af|7y|`nDLkJ zS&g{yZCJLC+^;SLiHk$W_KN4$V=N&UE^_fZ0H}AsxBP~Tj*jcIUXLf8E%8Up-}0b1 zN`Z{bw5-gGPr24yQWY;+YCrhS@%W3N5+agmkqeRWD4Hvpru>od{Ey^g9}nBlLxK^V2&{~79?vZ8nQGiCQsF3K>kGKkke~Ycs2fxL& zW?*74IvsqAwM>u4H8=DKMK(w%gZG@*J2S0CXMN|Ip z6vg2X&JxhaP5*F+l78?!iUKS?hddT5=4L~KteKx?r*ywJB9Es7;gN7>$(~>5IW%e$ zi;F-KuLlr4vZpr$;I+sh(Wz?ooNi)RCNi);*}Km5xUxLeCkO-*Igxzxn8&KdIy|z zbYw*6;iP#qLvXYnLM+Wx;2`$__vgAV_!D6Y;4u02OMn5i+By?!GpHUBzS)|clEU+4 z)5)Z?*`j={DC_R7GZ?*h1J1x4KmMto1wUt41J=zIb1Mz1rFCm8q>Q@q099TP$7_1O~g>wwVUE7 zefMWb(qz(FdRxR?D(@#vt7_)i{7v4tj2X{AaG$o0&g&qDdb_C3O*VM^7$uZ0HS%lR zHnPhvJEF#9S}^*_pYs#Bb?A$Bu_ z$IaJSbK4X2`~Q??Pq3wdYU;@JKb4ad^ZZ3|-6)PyNHG2g#7> z>B}x%ErL*Rf1r#pR}Z+FO#&A54WbXhWn{U9)Zp^m+T3>_Rz3BXTt-iY?-w`fv-okN zd#Ua0RSB}j(4}b16l`SUz-)OxLUzBy3toxVFoBT8jEmvU2F=s8ozXHM7ie*lS2KT0 zb`!HGbPh4rDO?b8qXto-zS=8n5atuijI3TVQL!&%PNRV?XWJuh~@(k6#=yN=m|t_agO#1sy!nb z*z#LZ^iL2{<4#PoNIo1s_=8pWeu(>E-s@O{;&$};ft7y=Cs3scK%bcm&NJ7P+R#Uh zk)OypuH^|kd|F>&{xVO4N+1%r@|3A8`20|Rb+~{6npFP|oKu}PoQY%Qf{Ybrh#jMd z)k8j15x(Syn#DsaU^lrH#zs1ZU@8V)jRhauTp}@TYbd{cX{G*-Uqg#8i=ZON$=37> zaMiXa=TWhp_p`IM-K^7?=h?J@N?KFB&&=QQk=knNKK^GHidK3B zf<;pX(%fF(_NRWErWMH#H{`{vE3Y9&LbY=Z<21(tU4<<$Z%D@sT@Q8zdgAOO#A!&# z9au!uELvjc*ad$(l^(j;WF6jVvum=VX`sE&@o1a>qCgjja^H;4u1*ahyc!a^fwV}y z%g9b^tgB1lW2jr1p8i`LF3Y0af{Lu-!2$AIpJNqxs28fKwf)aU=|1s(0T55<5@5cM z2S!p7Q19(IM-~aMoxNK+J%t7#Z@+s%l1QQAP=;vp@D~Yt|f`R z|Np%J2iNFvzMyaw5?V>ss9sH1gNtR}^4;)j9MH!O0=0ZtlRIL*`KW2qcdy^cZgmNO zD^CB${ImAKc^F)As;2P<{Uvs)`Dia?BP7XigASfli&*s9AxAuIUG8UzElO?WS!F*W zoOmQ8^aw~7JMz`P!5E<7s{0IgzmP@1hF?BgOT@f+U57YYX+Q2hiwHD zk~oi@$wpyvV&aUcyyc^?a5;4bL#UzZa)DR~NrU6MiRpz)-SiyK}L52u!p8{y7eq z*=av1DAlnF%*o`3#z;|<%@rnwd8^JwjlO%I&FrP3DT;g2iJ$Owdmb0aP9ZBZ&}&gz zsx9XR{_r@9&;Q|O(_C5BF!ebJre(j51_IH42I2C2lTd-+gMeYRnJ!YdLmF_y8d|E< z_1_v?@$+u!;0Oi4Lm=K|BhuQ~$e|b*@7BPv!?4k$#LuN3~lbA3yHJQwmBnXf(N{ngi>WC>(Gs~zxPRgSKmXv*ZPvEVdlurz+aQ|7O&1;!l^`QjtO>O0V0oP)_`$(SB z`WwJh*Khkz_84jFztt+6WK24-Vkv%?hxS!|V&2;eZG;yH9WyFupss+6W1$6;Up5y` zPium9-S~UPVARjHJQCvh@jV(4N?QxHDF!DYupPt4@j*aZzDX6n`A%*T4MGs+jrVC& zu%eL3r_3iZDxAJF*^muZ=Xm#8Yf1I8v^G--crKIQQ*X#j-cy-$M5bo4twp+L&=pA;#Ro#o>7Bu!H$b?9}fo)KpPX)8M(cUSNj_MCSWC ztnF*=00E0b-!O^b@Q+Q7VhJpATlVo=4h#-W#qQjwoQ_~Why1N2EaAsWWaV;9k|&t@ z!J8D6AW`tz?T%5)PL@x^S70Sz<~|sBK|QSl6=bJ1?F6{1heVyuSyW zp=USQ=DoR?t}Xced)&mxMKyPKx|TLj(P59J>|z_a=b8wS3|!ZvL!%0c2UgHSS9_B6 zxs<1{=i7ig;ph5iY6|#yrNDE^VB*M%Lp|VxekFK0rM{n87-xOY6i4@^O&C$T`*eS7 zwVlg=L(Z2!F?8Ek(+{;PM;Utf$4=j?+SZ_1sV_Tcsm)a~MTg^X)+A%q_{e@f4~ACo zX{YZ=Ca~rvxLAQ!_xIIQ3H;l%a!b+jAT4(TxVU)4@wp6q-^#g~4)8n8%k{3k$EV~t z<+m~E3YjgHy_dwd3oS*BP3#+)$4B!l;m}tl&eVYsxx23P55_gJhlyZ5TAU{QZ)|rB zc6NinxIi}2?!2S#5E39mF~=>q(zW}a*sb_~V)xzmv%a?h59|r%!S9XQN`%gOW~-PZ za=}!@cKh&8BJ}ixYaJ43xH~&LLU-pBPmjvay^5cP3k3~V9=M;kuZ2#-vw`mMLr)xA z#Agx+B{kGM3av_dEl1jS+c2EE=p-9f@xi0yYZw;DLPy}N&qw(A8*A-aHO;~cZ_Dqf zrhZSDM&2_E%Ym1uN#6@3Us;0%DKT|Xg2qYBDM#}^m-mQ^L!T?nW-*cO`6!{ulDFp+ zw{xSu+p+Ah?=Sw;AVK;5l>DgCD$~zQOXKKCU_*UFEGH(#jP1kl@&)RP&fA>*?0GiA zMw~#(pl=++KSCNCQ~&;TI`;F(7W5JFdB5|fI-E4V0V1~g11R+If5HHZ5=+FyeANdt z*-kzYNR=LTIP4lHo(C;UEXKADL>b|XpL&1PdjD?@b1pz#5DDLctJW9K;BJ0K*}ZA0 zxL-EfMoGd#hd5WMh`rdC<$uLjA66nFdh3PDtlGtt|S=v*7qcc-DnyyfjkDE~_lBr-3A<;7*lcPubmfBvm~O(ON9xaWK>FldWZH0Dl@MXMwG# ztW3`PrGX(r`!1caRhU`_nn%Y;`vJ@f+wPp zs*3BpOf3QiiV3;kmj>)?3nG0G>`O-J7&@Sfr|wuBI&`~66FbL zaR;>Frg>Q5S z6cxBWZe~hL?7YccUm`>BnSt4w?m{c5oF>m#yiJ^)Z8+Ytmg_=3AP9P{yq7v`O=Wcm zH8p?2tSV98S6t=b{XN7G zMdUd;zrWMc?YWC`W|H~5QtycuNXb`S(828BZgW0+x?d3(PiOc{1nlPUftOHNMKdHn@{IS*(wLec?A-p^Iy5EqXt^zTuk7dq=_aK-DmY5+lu|^*+#QFglXpA@JrZp^HCoi0Y>{ ziX0uz4QpNQK0B*I7NKI);a0(vcqD(61DgoE#s9f%Wa)T!;}L>jWW+&{t47GSj7=3c zAmPmeCz~3jj^WFbF$zPm#pdUZHR|3e7i$OqW#Y->SFb1-c`JSevc6>3Gs8+XEU))G zOmlH)UAY#zpewq_2Rf}xmv`9tqtjRNM^&X;)-J8(uXG(8($anR`EslexMxIV9e*+e zEZHn1JjnEl1@kbv{^(hox==Xu)w~t-DI8QnkCsH$z$TF}Ab(+OYnukMwA^tm%$FU@ z|5i(jnqpruLL$?*S2~TmFawHsW%*(>Dg*vwsQ!J+#h&oZP;bDRS%q`yLe2Sp2=n0C z_w0wwyjY<-0A`WyW*`oYc6c=H5pjzH^k}#7o0Bl%5?_~en#ME0D%3xU8z1nr^04n@ z8)^#bz>aPgb;|jMV*1;rqBU5*sFf?id{>pwvdFTml-2G+R9Lw{@~s3>kSBXILtqc7 zb!Q>kYE0hw;P=TM?X4d}io=!@z)!cG>e8?p00lkr@wJ3F%;A-&^neBY00YF+bV-ft z#`o8H@mB_E;KD0cIEpl;-9^cA*&FDPk`mLx#WvQVxv|4MIpDVU#xDF{n-gJzQ_XBH z|CQC#YAnTVhtF%?Y2{yF8ua&Aks_i3a2-%4=C}Cy%CBIbt0CZV)!hc&&Q8W@Qi)ox zJdJqF5E|_*ggX>bLeXC9hzq{-V0OdMCjST`K+^#sA;6>N80M%Fu4FQ|504klooz zYDv}IMw%_9(o!PaknkynhY@)q2mXc?f;5haaTEe#l%Sp|Yhh-%US zNyL9QB*tK2;sFWX)RN;(%|zpc1q`bm0okl?!!DTSou8nYajAc|t_) zi~x&hSzO_RIX}w?IM{knv5jKjTcm%FQ&7MJ-|SPyp`G`@yMvv*^custFh5v`#I~h8 z&zr6_K8aw7+=TLvUQ~ExyyFqn-~!$rXNKI09PgR^lyO8g z0-`1n9Y-7eMzKh#)%RGC9$=c%DRN|07oW`UMU$tAXe)j3j7vnvjgRX56`PSOhHu*e zm(@~oUv){XuEpVx@E8(JDkZ*X!f(;KFC;oh$xy^4 z{vc|9++gmoUeM7GRt0-GaLL%E*^{xT&Ly}qYU|lSf8yfO>?&3gPqERniGA}w_^s@B zxEkb#|6QF=EVAp}XT{=n=!)<#Kk6=lwij-4mxwWm78~&+Ktoc~MMxHOTigEz)a~WJH#JCBL$2u%2t`XPkW`t@olNoC zN*c7YJ3tUB=4}*GY@k#RmspGqa!I_zT5kMiH#1Kz@lrH7=k7%D@C$x5G-P8}axsHs;_vNV+s?%;*gS8Pot;SohwZ4TZ0PrrV)@ue2~R#A3{nHMofvh`$cac;-Z>}6De)-^|e=7~#; ztKd9Qk~;Z%(^E$Y-~X0-u6>qK%s-ph?Hma?x4?mDW1?!Q3#vrPaRRzw_20qTnUW$9 zr{Z=w2@GfGYF28aAiK-&;LC5*1=vLqg@!2ubz52~*@Wol4?~L&7bO@)$Q{pTj?YQ7 zceA}hS=T%YqR2TftoJ@03+r7KTIMde647 zr((vMGv3g!$6%rMhbuisB!FY=m&z^ZC)=-!Ya^d+CP$yaW@GNd!Wr8p)rMHW$o=EF zDWVcs>x$)K3j>5}6)JTE|M^7(aK;28ZX0cQa}IIO27t`G8^E8}VxOs|31e+{X= z)z7sJ2{mGF4F(W!pT{Cs{B%a~r%d&w-YQllNqX`SFM9P>8irx5^#yHj1vgL*sX@S? zYR{Nh4vq>Cwsp~}otJ;sA7CFYiyl^M>WOn?F-wVN2`{Uw_%8U&c2n~wwv zMM}Lt7ofQMe3o7_-p=`w8^4~W;2>!Z9_Que=XSaJ#|sN|3vpHua9%Imxc~R>Vu}9f zqZCr)ZZ;XRPd0M7^^y+Ha23xy9w@ORN9M`E(9poZ00kHOtYq_4;BdlAa9fBNYvm5X z?FeBWA)mYX-D7JjL{gZjpJM)*M+>EEYfx*$e5Rm>SWThMBHCK4yI$a=aL8L;Tip(? zR?6)NcC&yOys*>J2g=1Uld(BhnXA{ATFQ6ct3lkl_(8gag%EWjB7%ZyWqf|(mA|Xb=#}IJo9c|I2 zfJ~z7vW;*fra5NGeODzJ$>z&YY6L2IjBNeT{msN}+-v%8UbePpbB_Lc!9U4LeNask z@8>|pp!i$QnNu?WY40#aq|8+$0AH|=*Q3lgWz=b3I27e!m|=#bDAZfh>>_x)4cmVi3Pbq1qj zNsCe-M+0~4^WtUD9PjnTS$C|2d!48IfSaI6sL(^96y~?AMyEW@U@;EEP~p_e59^O?Kkm%mh&QIA^Mkb(T{or zyrc?wOwf;P?6gqt6_r`j+y%v%IG*uCCD$e}&v>dxceoM}TbnGbH9XwVBX=f~@?+~! z2$!XnF#a=QFv-(&A2=&3ahKZg{A9&#w(xby{fFF5@5;Y~*dj;nU=_GK-xNg)(gAYRh?}uFf`* z4<{41v^Vv+akP$7EKMlF>j2(IiwR7RoPODmYlYD*pY{iP=sZ8aV>Eel1gwvA_w{UT z4}=Xl@a<4E8-t0v*cFAa1iAxL#Duoy!B70K1vAC=99@tt!gIeVfGBBH45 z%&R)eI14y~DD4Rly3UuMUtO*E&At^d=Ql7@xX_eE=$ibKDEfUJpsOR7CstnVof+<+ z|F&|8c|LK;blr`9`675E0Wbmkw@e1yyn3Fw^sgP{T2d^3O04@VfhuMW#aSB_dhQN* z@(>36Z#iWoAlsNaX=5Fd2-;uzU>ashNPjqR)K~#Z_K$WCx{$Gt)*l?pkqHLz9(M7%%lW)c2DF?%!P7Bz&LkF2ed9^Pv!U}nKAST-CKn-va=`q0EY1A zO`E)FpK(wiGi!F6)4q?@iqp!=LBe=OI3M6!P3X(EC8s-8ZfC@QTP=LAPDKEt5#1A>pulqBWc$g|DShj!V5^d@+o2mA z5{9#)G=7UK$(UWrCLIF(#k~$Xi7sltT@8K}($QmlrEMOnxY_OdVb}n|v*Zv73cN1a z*#KBO5zFhR<`JrI2;*Lg^nt?HI*^^Vhk#`Ah^c=V8iO|4M|7b5|NM;q0Y%gm>J;>S}p5l9C33`a) zfr?#+IY2tvWZ5*|`aeksol}cJ@j0=V?}QWPO-0_xPR-1sEjf6MK4eIRE$}TtPpLKE z01Nu?`H}_}C{&8|-?05c)Q7*FUml@-$boeg^f4>WPmA9~*m5AWqy`SG3K1QEVr`_a zZ~KJ^6_g~@_t@rkvt>E65E7N{!I$aLdjFHY?IQnL$lc?>od%VXfXcRBx9!TBuV(K! zw0GR!XOh1)e8iY1v@es__iFLQbWBc9ML6v!@dR4%hk9dEy4;b2(xli{6tDOo*TX;< zVxC&sL5ut_xfP8Pl_l5cA&dl+<+ZKnc;AoC=?JSD_v#Bz@H@9t&~D#sj=88V3rqp= z$$`m567?ewm~$gsx}$S!umd+KqOtpN&k_>$q~gq;Y6!SeyuN(F!yBbNb|{_p`{O;W z)VRW)oJJoBs%fWA!I)3upAb_;qWSZ^v2!9JxY9%Y#m3>cHd$0&f-K50gsDTwr*mI3 zM8QU7Z&n;(F_-R$4nbk9w0WXndvPpz>;Yn^Yteeo&0%Sn_LS;oRj)T9F+n)|Xbs%4 zr{K2YM_*FQjFl^jM&+YwEQD7xfChSe5)KD6%kGW1PdcEzDv`JN&q2&kFYOh&g62sTs`%bg4m0oyu7Bv?<6fVMj7x2>Cf zE2$P@{`U43edVqY1^o)nu-UwFx%v(BhtR!rcH|(H)Zv#FC7W`sDVDz>hr%%KyDhh@ zmCt&5Mu!!i!ZEU#55a*&!-0VLESJImkm8~BPuMH=^KJ<%TidFIy+n&~Mt)KkwQ?(n zvx5WLX@Wl)u9fA^VAGe(GNkHC5ilsyjtQJ%@XxByl4C{Et$F#q{X)7oPE%bSs(sjF zzYR!RUk@!X;BhbDdUGcG&gywL8&C%`ZQ|{A9};3@z3mDPcFLdc%8gpRFM)9Iss8Wu zN$&8O9UChukmnz=<*|cHAO=7gK5A4n8MHZC*(jLzM<+u%`-WdwYxt0MDVAmiei`7; zUaGfZ6kth4kK5{oA3ZqnaKQ|HU&3q>gdlz&`2qJqA#in^mk>un9Z35C)=)TaBOY&l znmIoX&TalBq127V)7lpxy~KB6RA=ZQrlw`D&OTdhHIX`=C9J+uX^F~>;_NkO@WY$* zxE>xdlKn!b(H7)CUy>jP^?8{O+!J_BzQd3_sa&#jwm*YCh@lDN1_^SR;?_DVHwzc z^FOVm_mz)(Uup0XL>y1R3gPwJ&iEoLbRVkU%ADi21I0>&@OK=`*sg zP^5QX=FyIQ(T<_-7dqTiUXAlMBV=Y(0&^KGtt?AwNvq|1_47tW`t!(6f$XN?Jhx^z z-#D*UeRDbgPL@mh1-7gVrVW@%*<$1MJJTelK|E^K+ z*PgczwnMgmhtihRfq#1#3juYp0zq$vm3YLI(~iM)%LTs?u@yfw5X0T(?Ek$0v8lWB z7WB#0tvii$YVS&GH70H=7Li3!#8g&OZzxS49K5_}>uNGz2b=af27|v#iAbnn*a?C@ zN7J1io~=i+^7wADCC66ZfE5O@kwfOGRDL9Xa#zSg#W^DW>K@?mTUCEvuDI2QDE19+ zPc9uN2p1PsDrhS&fw=jYLtCKD0zpdCevwbApV&TTPJ zse&KbaGNw>o}qZK=VWbk zHL*i6+g?6%@U9@O2XV=ffvsHyLXMC7s9{I%>wNd^3Y2NsMkR4~0|GJ~a~B+Mk{zFa z7_PJJ=rJ4$<d`!4mHI+InOdoxaGZz?S_? zRH)J(PdnAmjECg!2ZIvb+Vn^la2yZ>7sW(0C$so?L7vBex3Nt@q!s?h;|lK3zF&sT ztyEgR_}n*R_?S!)aIClNe=132+}gwWhy&O&ZEO}!Uu%=T zI2Zax%nJ&f;=3M+0XMWY;s%MO9A7n};5zv=bRO-mWf9n;gFtARhUNQZ_GQ`ndX`7O zAPFMsSw((avb><#NirJaw29=!G;V$zcquLhKLhdw|B~UH&XT@xZJU_tEF<8_R9?@d zCT70anMeddUp-Cr$h9w^KtcP-nd%2j)n>B)Qd2K*MhgU6JBu%qQsNxz|0O%FRAHtc zCDZ#+9q+Mc-#T`LFiOOBW_j$6#U~|A-`+OXd6>+@$Da^4z*|KdkJxkBEKVqi4v7`&uZTaVa6E?0R!zcD?evialdQ zCSKYr=+rE?VU~d)hyP&n=E&Og;(DtbsgD`7!G?wQ_@njTUv8m|rj@(H6%_EDIJ8Ry zRf#dxEj{R>U zjatwx2TUgNzJA?_$R)BtBZipVQiRxumuNgs9W(NgoQp&~I`_nbl`u}Bw_<>MGU z38BmAwS2oH@Nh!4!~9t|>Z8W^ku;R=rY{c@(`R1MnxdHctOC2SkDWagVWaepkvHP~ z0jS4%M_-P##~K3^NJ$eb#OxbW=XExvhVhY#MRaN^!LF~mgi!Hyr{ieMuKIYY`n6{5*B#( zl2`CNrv@z$$=SNKNijrWNkn2(5cP@E8z)#{VSkjiTnHv|2I;s-^(eZg#0|Yavh0A`@2& zus&V+&HLb|WB=XY?8kqH6aWjeVwzoY+4?>Pv|d?T&=V>F69Y4fA$}!yS}vesUkL>L zD<>68`@r!!QYKz=goDU^N_dV$x%n;GmY>;Sq(kFf@AAc!XAlq%Ui&sWO{s`F#QHOP zrb6#VrG+rKytN1gJwmDI-N46Dmt~I3>VKo1W`ns(;LbP?^On;C<#PYuREI&p5~H#a zW~>iN1__a0Zr>jF{h5)&T%EG7Jh!TXF`CHc^}kf{z`{y8b~P}gDy5L`NdwqKxJ&;9 z6ekS{1hXy;_Fiw1KIWyS$olkS!%n_;CYjL3<)y%3V$$`KMx@wH_u%Pt!Nza-$ks-~ z>8;ci7XIb??*~i_-TeOdkIRiemL9&&JgxpF5m3|{-3!BB{_zbmqwg~OT1)@5i>NJ* zTM-1I+C~4!*i0@!o=c0Sdk4FI0-EW3NI2vI}=8J7&f5m%dkoV{W z)92k{J}UwV(4iUsCETI@U&$+Of$@nu44Lps*}ao5r#d^L9EXsxvu#pb7$l~@pp?q; z%?p`i&fMDJOM>OZ^2SeEzNc9W4lP%_6?*Q2^%l3lx^$|NMYBcy!>G0{AKFL--SK1g z(;U6w^B>`m@%T6EJu3*Sk9mUeYPNi(RK|K|vz7ShIR6%!Jx*JX9}7I%4j#w2E|5H7 zs2s=77o$)6*uqz&S821(06P{LRH$Mvjd$ZF*MI660UNnhDk`!2jZ5LRInGqp@Q=T3 z!;9j1Qu&08ZT=HdT&lJh*zVB4V;MljYuT3SwmHV7y%cRu|0x6dz`d(h9@9fl927qX@Dy6&DRx134?wCv?Tnr?Mu$}epM zq0WNi21ZTCHpz2tS!Ox4f>6LXm(650KD-nca zdNXSx{WkFc6}tVYpF%Qc;kbc`p$u1ZH4Kv~Brb}YoX<7C%#N0p;dQL~zoP6wzR<(2 zkUsW}@cpJ!wjR^?^l{G!a8Jr!O)>oJvHfhMzV&d4#hQxJy7D#guSJP#U?2-5VsB)~ z4!lc8pFht^rz@a@+WEC>>Fh{HZG0BSmM?C*Z#F#Re_p%JOn2MBq0EALMzvmhtoS|G zD!0$`x*uiLdiD-bL(MdFHW9p(5afd%TiP%^vjUXQ7$CXg9ig2<FN>)gJ8PF=OcpmKz}~cOeh3- zQzSdGJb1o(5^pBa9b|%UD=$Jk+P!!UR2$7?8`^Gj-Fhw46k^<{?C@G+s+7mR)OYI) zakcSr{PP9~#u_ky3cwMl} zZ|${~n(|RHz5pdkW?6W1q{%SW*Y!kY9Hl@tb*j!@j0;iaixAitok#>y`u0uuZ&&t! z5C1BusxcH4PKGX2Ta`6e@~+oAhNW2wu8@ZHabS<4Q=Y}ErBdeeME%6^{m~y)ixXHi zq)-%H>u$&K^KhSf<#)|;y~k-~Zw$SmudYKSd6vK}jenlGV~GX6s<)%=uAE%)rsv1u zkHdB>ofk#1x@7G3&XAzFw$CPu<8%BY@Xwyqf$(=y8;laoeRa*Ij}w34?+Paw3)f;M z1*gMaG!uz=zUutj$?|@vo++C|u$0Wfzvx|k4?ajPY%gS-9{FD3Q%F3{^GGtEhyU5! z%E<5iOP>YO*E$6c9ftKaBUVx<9W@RRV>=F6);}HH^nq~;(XhH!{!=C1P)(P1k^P*jO>-N&|t)@`bkjOqy*hUhu zv^7FJMd+`~tAEV)lw=zMOKrFU&dkSHFsOZXAnvVM@7wh3S9MKW1?rfQJ~N-Rj@oyW zsJeA_GFA?-2jJoo^vZ8MmNVTDbI4?$ON$df0Bm_G6}dG*lM2yZQset)dfoHPq-V6! zfCr`9D+#4W0i=q%3!^aOYO03&qkF>}@=Dw7DBWtNoN#(wpa#SIxlshem zyPvGWPSexMKAZ7jR%aQY6X)Jc(Fy;e!Idu&MFDTW%=?pu6uE6kigmX?-RnJ{#VAvE z)haihwV>>L*b+ll`LKnI>vatI`{Z{Y-{<{2TiKhU4onAUo3C%e@#SEC_pdT|{6DWe zp~%xK2NJB>0G~ik``ylXUtyk4&({k=HU%`u%y9Fr^+StF?p;j-!|4M(aa8Sj{m4g( z+OIV-9`7IRExgQXDYOe>xrZ7IcsJBV)##kY4sknjCLNdJ{8{v=Y>iS)3QclXNeH;1QEzSVCuA6E?NVwXq71(nnWiw2mP;hW|to7E)bGJI((txSHlz(b;R<=a9a zB}El4rDG0Wx`phfRvqACJO>ZrC0D$yT`3YhE&jPB<^G zc&r4^7(Kh2_?8*o$E`*N28wLk3q>oR=s`%ylAj3X zT;~ZS5gdfL10R2=ZKg6twp7;HeAZ0PJG}uC;v3h`L=7?7ey2lg4qOnH9wt#} z&O4V+)zw3v?d=5*)0hASH2djtnz#{O_;NlV7o#3?$BR5=!mlf<2SaZzUXQt z8C=n1ONX(wi@dB~>aUBE5wH&8)~2SbpU*ePD>pp>C^jLus+50ah}iW}I(*uzs^GPj zrqWZ5dYI8U3oI5TWZa^5TMvE2OOhAMS{68<4cSbKxg;$^|I2Buhx=Dg6wY@bXhjyv zINkRW-0qRO5ofGm_AC*f)_3f5CN|&uX+|$R1q@b5ubZZ8%F=tFIrJZUTCQq^Puh%b z-M+-w;4lt@>so^UWQfz`63n|5aza2~6RIlR9mckjz*O{P1d>M?ELB1-y7f}{N;r9m z%!3a)+)F2oqf4Tt-#xpaVqw%0^RyyS4xy(fLRkv8s*>?qlJPOyzG+rIA_g;RvhZ}8 z#0CTQby)}z#5xEDri8og?-U>Cp=AXvj zfqlzzJ*6USj7_5>*WWa83-7yaiYV7ba>tT4_rj(|n7I*6qV0pkP@^OFuIoRg&RMC$ zmWkVFnD_+}B4EAs8uF!&id?R^@>p&g8V91;2iNb+E?nn7xc6j*Tf|5AsKflxHe{Fl zn+d)O;;c*`(YQ|lm*8bj~a zu4n(*K^3*uYQo%SN?swtcmXHPkfPUBAQf3vb6Zn$Dms(GmHvCvBxBPO9*B!e+RKs$ zMa$eGRHv7VtQ-7y?FtXP4SwH&(t?K;{I>i}oG|dx4a77Y$>Nz2YMC8Cc*wTj$QUTv9s6{}zM}V5~9+zha zI3weA@97_K;KiSCS+jI)&1s;57$w?Q{_s#me`0XrvnRa967WLZ?6#6CR?-A_uOY1Y zGA(^UC`^ zMql<5S3TC6Z98pBD)1}bNDoGUv&FVZ|Ml_UZF27-#ML6^$e7J;)jzs*BwSvgUo&HA#v(&Tzwvu9L?;`r|vLb z0=>WIb7%Qdz=_>Y4Ah8N@l&TktcR4?_Zr^?6w?_UA63hW@Hxa>;nw|cL<;g+8P?)J zAw=13Z?ieHVQyz%51GVZ1;ds`6WqMObvCoB zUfDY%BjRmgtSi!-|7t17zU%sf>r*@!!W9}zChP$WgXsO{zA1VPes6(v3SRrZ&AZ#N z@-~`UKn_9(!M-2eLnZsWL;7=??ip8F|JabQz?ognGurH9aepC``TA%SAhXQq>yArOe>%zeNAPEf7) zC`Eg9qSKr80jDEAh7Y*`uf-d({GCDq^C7&-0N{i$B_+5ouf45NlTgRZAS?aUys42_ zLr(Cr_=nn;XA3Tzzd25o(B=E~#|wKR16L~h9rSN5hMJp=Yu>t6rRU&|@obO?W9kkX zZi$;q@XZiAwTZs`JOZR_Xnjp1xnM#|%TGj-bs1T5n-OI7>i2-`sQr|9 z5qNw1yn;A23ha|cn> z^lnN{Ss>&?Z^;4ng>D-nUnx)MBoDPUH&nql|te`vbups3q$yYv#m z62cPFNUC(V2)?&G&uI zc}|EGOt)4~Rd@@@(PV1O3$N>X{fqtpT>Ds}4U1ut+P|b-w2r8tl%Q$Bw&yC8-819er)N*>lp>MmD zPw$#Y?VOQtZ;gxGe`8?B>R@;*GEa3vC?oN7dmEr!V%7h;1D zTK!SmP~m?Ol^$A5-OkrM>kuB3BLKM+8Kzwi7cd2(d5X9`6G|$5fnm$r2{Z5Kq$+-c zE+sCqQC^fmRGhd!t$*q|Xf@h^K333Kd?0ksTs<8lG%iZKoFZHXrsdGD;}fJB3{aFu zv15rWxEDl1a%QDf(#Km(9lVz-`HCUnEG~2aaZkm*tSVzaG6ga3eC)}b`6h9 zJ~&oab4}(?=Nof_ViZKxz(4gOA>Z>;;7H~6CW%}vCsdgy%kA0}D{}o2yJQ3%cB=G8 zNeZk`Q95!dTiF~@P-7=Q2*m0(qgjy%ah3qD$*y?TFzEP}_%B0Cvjgb$zvjRn8D-Dr zL=+gtB2JVPVSbnTS)N)Csn?YBsJhxR*_>4jvC@gFJslFx7;6MOX0*%OkR`Et^WSWS zk2En)Pu0IB(-RbNO$aiPsv6gfEW1`X)Y?K)OtwrDu``e(-~V>Gu6>E&Dyz)1>umda zco}?&a~ymX5G*1~yOMGmen9p?X5UKadUY_>I%N7so~x45dn6JTOBECtKp2mjZp9aG z8?d}9_AcP0&p(yamJGwjLU_*>u_B7MTxiHMQY=WY!HV|urQU^@nVWGhNyg+@!Q*`I zq-6P<-%pyOAFw_amzs_<`kN^~?|V6dmTi~H_%bB_mujdIfa?o@EOx3= z?}31%&)XSPB)mK<33K6o*TU(p&e+M1?=LP8{gE+mS%{){8cK}(en$rK>;wfAS5`qt7& zYZXOr6{pCi$bQ<|qwwV^1hiR#gC!KSqJ97KVb*H}r5BTvA!it-`#GE|09$LyxR!s* z+^PF*8Q&@;^^jGKn=Mcsru2*vmzzyZQD~1nrYiGOyc}vm&~Cy^utuFKukqXz3pm&+ zd1r!|j4>F|W{H3UK1=;?4@|}!9Z2tc(_l?qk5VXAPQ44Q>*zy43+;VHKBsWC>AdO+}P6F4;zPeM(@t2#n$}~U06@N>{jTp zL8+X^MrJ@%&$-Fe=pW*k02+*>epTBX{6or|Ov+OfQoT<^#a^XlsMK2v=9utwk`;a4 zcU_~c&W+TA!xG4fwz!pGRlxA!0baztbzAz#U(tHDzSw5F!f$Q3o=%j);H&Mu1C`5w zOR6p`;oSUw_wg%0OTJsd^f8xF!SQ<}+vDYG>*{$qu8uc&QE~6D6^&MfKC2LidC!05 zEAq40bNkM!6ymc;R<&OVKsTx0=1Lc$Lt{qd^2gL%8P9%jTH8gZw(GPPVYF3*U1NJ%|yI~&NY1r)zEj2GH9J9A^+(7d2o0VF-i9(T8#OUrq` zIRL0ehGqj;j`P3wI5E<*BP09&@lnt^q?NuP`oVwv4Tcu<)q5x3{{iv=Z_-X!k)Gi^@O-AzB&sN{F<%-uRWZ~>E=xhpV9mmRs z?NrBkRwyow#|vF2_W_?j>%LM>C1fd|x3jf90$Px7hhGQ7!S6HR#_CmnG7?NZE6xv0 z9lggnXgt5muwVzj%IFgPz~kxkNLO%(C4FgQj43?uWH%9R%xisNBiM6NLhKqi(iU=a zfIg*o3FEGUc0ACf4mTKf7(`{655L7k@kf0O`uimhrn_xMm2k)< zc^YpiaM#>yzLi7#mL65P_yCzCt%}wyjR{D zqQsuTcP>3CTobn7hxrF+Y&?ODm?S29nL&~PD~#>Mp!2i6OWxrJi*h|HRdK9u=~fO6 z3beww#Is9%3N4oqQh1KmLGpjY>i%B~Aay?Hwd^*^!=20^L(Ru#_1IC|!S;)wE|1^! zdnSLsOj~0oD%MG_3Z9ry1#BV-_|Np}yr?f7Yr!Hd4X(z5 zBHAGh`@*ojLUFsj68z5A*4r|eKle+ChyE7{F@5P_ZiI1K%df%! zrcf~5gn@eTfb%Yv`AzOCq^(h=Z6UpAV=Cs)dkBla`OBFAn4$19u{!TmdwKqx1^t}G zMB4x|a*jrWk*8|2`IHws-S=bBAvdYdRj+%r>{{V)u&^;POInvz`$Ju5{kYfi(QiAM{l}YXjiMSnYaYV|F6nANmzK>e>L$)z%#8r?cyqv*(vdV^oOoOO2HC z%RG&4=8BVUh--ETqQAH4+6rh{-K~=|O0}i<e1qzjMkg~$Tz8>^ z?|N^Td^f`gfL{ZBf8zV@Za406>bwj0xKhp^HRmVTXT8kGxmbB}KL`uRJ-JsUmHRy_ zcjw9S{e5)r*=5814$w9*lq~Zu@U7A9yjf9J-r=*r-{*z?GhJDX;)i26KI3%{M3!Hc zYRW9@m1>GCd)Yp#i*Bqmwpp;5-f2*|w9(UMk6X3*N8B~e9;YL-^_r;BX3)%DY*c&Y z>7avTDyvMQM>-+Z4tGS_>DxoL;Rj2jxLM#$6Dd3_z6<;v|G{%8KTB-O{JkUDgP`#e z%O<%ZTHtMI^XWSVXi2XXmyR_+BHUws+$AQ5$(?NYa68j?tiRT_rShZk^YYP=Q=(oc zm(Kp<9eRW4!-MNUAO6Gl?>a`WJJ!RqyIIpt$xH%`6Y_7xMZ%Q{;PWfm$!M3M@>3KP z2d;V{()(T?eUjoUA!O&$Iq+H!cylCPc>t()eq1z^4g}i&aQluDq(dl>i+`VhF}(@r z>|}U1>H4>*De&LU{om8xW!wMu`5|3L?b^%;hf?*UzP&CnmhHJSL2E8-OYzl-GgBg3 zN_P2eGD0f&c)7chO~UVWAIXQ5;nF&(82d-xiY^#H9y8C01hkZ9fZawmWgw?3m^?$7 zwBOaMpVmHRFq!)a8G-zy;Jk3=n9#3f01J*L6@0%67|CV|8FISYB$FHYws$b?GqVU^A`V57{vvme)IXA`zrW*(dU!Q?wwZdxR)Rl7e=28KHb z7=6D-(bs>K3>WRlS}>u>9Q3xKSK4`)^A zkaf>qud7=UF*R=SM$V!VD9*!m2~{%bKc9u(c89SLV2`!%9XcK_#jnOYxTz>n?2f+} zD6}d=Zu6VKVXP3v8T8d!8chs<9(!Z7wt>S5k?bHbQfLqONL)(Ch!cd~FV>w+iKD?H z_;vyw@A8(qbYTZFO*O$CD=Yx>M0UN_cA2PEaX$0<`t`mq zl3EeG^DZ@_~^a!`=|g| zwvc4uOnPVwc@ToJv8z(>-agvx+r@QAS6{2De%1Hm=I;FdQiny?jYfdD`9KH8`iu+q zmFapQix-6-v2J6GCi7pAa57U{B5#zXVokX*rW26B=oEYQay9?$3Z569tT}w^J9OB4 z68Y?u&Kj97MiY$mS^50t_;y-s&G-A~(!yeshrrO_j?4bi@K7nch*7axF79rh?#>X#l_rA{>t8qgN8-+upwCAJBj2|c47t7koaj(t$NH-7&{?$3o>0U* zrtdr`Kdd`m`N z4+hHZx8k03(;iZV!$rz!^lkHh88BC31TAbTw8JiXJd?CQjFaBz8I67`;YaT-b^HtEt)K7`@HBP`vtP)B6Xt^!sk+ttw^-6bm$dO z@N2}4E$Z&yA7nj`9L~_zWGO9{ztF1PmO%1RT6{?VKLc36doBASTFnLUywwI zzQ|DcVDoqwm#(=5kBB6RbUh&C-?!6J)#L^5qx_FMNm9LkK0Uh|*8Yd!d?x?%fHYHV zQJ4Mm+hupD$Gg}!bvU5@Yd4`YmyYSxqo4XQG0x1v9ue)A98R>j{Z-X7FXR9Gd-OcT zTzayc~Juw8<)dg)g|V!i0GJwH2GA zvDLUi0t2~gT5SSAI6Yf3gY02H)*)X_fiW-0!U9}Ei3>`(0+KS(FJ50Oa&r&>DDLXU z3_ly%$b44&N8RT z&SWXiY|$jnj@T#`dzyo5oj~gr8SH1#$xGNU$>WfVG`~+~NvD5~GyA^YM0}=ZtLprIcNV-*JpQcpLY%67HtBdJ-JY zt+@;Ex1mT6V=Az??P?T`Wql4CUyH^oWdU+4KR-Wr_pRENtA_e|-l{UV z{BBvJfyGoQHfUz~-JU#LLvxaxSdi5D8}_w!7i$A!EDN1o zJK?2o-;gkwqk~t`2LlsND4(*3qXlV6`ky|lJ3;8c-c=61V1K_g?O=*Re#M(#bff>R9l-_nbSZQqs38pU%u1M%n zp7CvMJ%CgENDzySyb$+O_qVB&?G^zx5hbLp1ju1X`0I9ah54XSI|2e5m)}2I|FoyF zi@I9B8B%5ZxE(i%oYS|q{zzQfcJ-_Ot|yv|sp}#w zKIDw^^?mC7#cS+w10E2wwmAgC4em@xY<%PsV6^M!T0XDhv=uuh6c+m24Mg6Rt>c}^ zGE7;_KVp+J-V!KWm@n@B<7W#x4gQ#dK}85e#xbiAq64EXC5U+Hx2-(P`eGbmLGDk* zMKL{}o<)I3fpPz??a-p1S*H^mku$<=wi_&*;(qmQ|>|;nuD6YEtZcpDq&LVpH z0i(pl_toN8`k%avRSPLkh11=Q{-!iNi?aBQh=B{taf+W7Ed1ywRYLv0CJnNfJ^C|h?_nP|?vOB}!0SZMoY5bCuU=81sO+sHuVx{c& zWVoR}Llgd`U&)eEqf)AH^DBhv3aN7$G>Lnf=Xs@S^5{7ivsSDZjh$9Tkl=0~^O*Ee zCKFS`6ai&%@hsUF+y!mekvOBYgimp^2$`+|W}VbA_QTH^~Bn48a@)P!;=1MI;1dOZH;ySB_(u(T3f(Qnq{D+78S>bgL{WKAPsQV^1~ZYwGr2 zE>aN6EqgkpW%|E1+Y#~V(q-;QjM1KZX7JOgKSxb zjZ;Qr9!m0Z^bbmm%1AF#-+-VI!-!DlD*L&erfF5oca6FPItIqL(b6CpZ{j@muw=;? z&=+p0(N|d|HqpQp)+;j!^h%o){^Q_&L1^kbl-#3IEbe~+O+`0LJHOIbW+>4CCu8gQ zGC9D&ke+TGm{I0Wvh2Eg7v_GT!)I`C5a3LDy1R32X+dg^74zIoWHA{k$H}tq{sB1p zKc4fUvN@Gs1y8>B&N#qygo49fMy{)7XcHwk&`~-$a^y9 zI;T4-f|IGnJijt8&~3>8XdwxaEbv(FTpg1}15_v{N{j;4q@}$L3ECdi}0v z<9x1!i~9G)l4C1SNH*-d&BA)n;w)&h_PO)7P|~`coh+v7A1!ZttGLc0{{~yTn28ZKU$B(hi^Cp2@GIBD zA!O)QKfy?&o-(mS+EyU?>1~aX~0Oed+=&JSFe>R(@M^I&58AGnnC-=-rgSZGx7TzG4qfWm)D<-Z6D98eB8|-j9C}LemcGpa{nCN zed_;C!qgCI=u|OJXXUI)UaD?*nC}Uq8hV7Qq{3`xG*Y=**mdV+{MNbl+0&;Z9Mp{- zy#=#xh&0|bFWk+`J?j2Z&3h(&sD~1$uBYb?N+V#6QrS~ayT&rUZX}thVx4Su?NAKM zdL3-!W||>r1ysij^uHzIoF!oTH_=jbJ2g=Jidur4g~;4!J>8SqiWQs`MvwE1h?`cI zl(KO4wZFVd2ctxU^kYHtkWVB!R3HadI9$JHFaZ6xz=k+P{cf-}+PzX& zNzXXv#0KViaCoS~-4$}*i_7lbtrpiJmK|y3aq^!G5m?2M`0MK%N1+im?}R{HXQ9y9 z;DPUysseU=Qdla=I?US+!f=?(%|3nK$){)Bs3mcB1UoAxWel6#J*az8z9HncrakKU zp#$Uzyii^ZpEnFtMqD+uqk$uDA^#Hg9=R_GSO6WX0q->MPx6ALZh|nTo6>Rp3v4WN zTikVCsIrA}zIbVLH<@D8>rpqB^jqHyygu(U+~WTP^C$!o{Q1CQUYKjx>Gk*D=YvCU_A7-aLo+do@CxTq?OFtk8Rf3Ynk&FC%kCsx0+Y9VMwisVl(gk^%! z?NL6Hw`2yGzv&As9;}Z8!Y*cJe0x?_W@D}1p=)4kS;qmg7R)r?jlEeQNE9|?OXCd4 zZz9o)tEK?Higm$TH0x*deWxr{cE0Y09U$juo1BCEk9(PUlg-~ce8{xPFoCPx+r7^s zya|UsW8wKwz;aztW$-tSeh>SLf)=oXtKcxlX`I6DFUrEir@gk#wOn!L4U=a}&;(Gh zuejW302=q(@Q+W~)e%z9okZjonMh`OF48Lg-O~I@a`Pj$P_b~8C;=%cUwoM?EXnwx zXKVdv(NZwy^B2_s3W?1NcOrh=8A^d|{%0nnPQ@7{?@I*mH!NC>Vb1QZSfRBK;jm-W zl~G|%Du7=35QI=BME^6pmKul<(tz9k39~rH@TWuR62*eBrrkxNnCXpb$VJl^7La_* zA#mAf)rquYfdpz^^uJ<#D`7^+u>S>3_*)Z1R1VYvuG=bfHuTwlK8mcPf4^}+F*}~P z*O@b#v3_0g;311u2!D(~C>GFArIe5?&id}gSK0u^Q#CeZBWPwqFStFl;{hn1m}LJ| z`m7uCB2EZpSi~uxAH~{5`ZE-tn|%C-+q?P%lu{Wg^uq3+5*(PT3NiKtL76^VW79hF zpYT88o?))T2|7>Nmj~ja-ApaOw&L@8|e17zkCjRm{(gLeTcS%VsQQ3

0Bts@oo7nrNH9ip|@`(03J6jF7ASsq; zKa`!{+OvC6A&055{C8_xV$5a4l~u~31H%3n-fa!uI78^ORwWu>$Hd%x+H}6M z@b$IX>2I0w&cgt>6A@}QuRxkl@DkoFCT;h?n@@2I*zN51PsY)Q_RRT{N8Y=-LA;nC z)(Im6V~nqI8jf1X08I*bDY7k32_gr)7lpWy{wv^SFO2^89MrD;mB)*)$13T93I}D0 zhQ6GUXFzlI%quf@WGLVI$GS^Y56>l%_d8LmQTYk+3(wa8uS!#w&qh6ecnGbs zKQHGC$dTk4I6lWyma~CXoy2j=nV56O6d<}ro-5r?Z7d1Q%#|fdXFmRS7OloCwB5O8 zulTHAq~F_*8>-YDxR(!lT$N_ z%8l*2fK@O|+YiDI%nS?DIxhH1wfNf} zlZTYA$Ko(^=s)r4DbuRL#JAshW>3_x4Z9Vfx(8S{cPk@xp5(Tt2n9sZ%d==f*W;r(dSi3~72@oRq>EKS14=Vt-` z%kL+f5SDPy{r2tKzuv;2f2~5s{yohdfE;7v{C!nDM~zE_0kZG44822IecLvG{woJ- zi6ip^Ib4dT#Q~{nqfYoP9y;G1bOC=u6RhYz3{@~ooat};ZQ{YQI}mgL!{63@TcHyj)sQ!{DX#{76HJF%A9ruHSj1 zGe6J&FPKEa=->G0jP{Ic(6f=`%j-))49bV<-Z|E@fKM~yvZcdm8tBorb05cG%Tk#yehCaD9$6E4=z)j|RWk1qb%rEv#RjaZ3xjGTHLv zlJ^uZ{+33H-;%xa=C5<^zs0blp=0{D$Sn1|s>0QB8FmAgfJ#~~I+~jd6_LTSVjHlj zIPRePVmVa&%gsMX!H;!GVFy#Qd93;wvVCkaY?P;&qwt*8s+E4AaTmS;$KAO|BX{Bs z+=i&eIA|$Y^d^3$VJV)r!ER!m`Qe-}3bv~~@pn@3abz}x<5+a{f`9*FU8MhQ=>5u+th2NZ{;9^YZBduO=1^l0k3%t z6Qj1_T@TdoUcVi7PpDaE@YKD~;bZSfBn?g&<1nrHqZ9Hu^b>%NA8C(KOOS; zX?|dgZt|xD9bqm{qRY!4T%v6eyTXqwM1aeocK^aJmbm=(5UIzC*S9!5@SmS{2ZE^^ zd`EXnJapOLj2ilvIswoyQW(hs2^^;_TcV?@&Z21ax}?Kmhid4Fq&SV2-m7Ee`-p6I zfIF%`B15ANGBp=KVp#@V`2F_nkQ{vFO*K0Q2V6nNFb8*10LtX(H>{NT(dv)YXECga zbVH^Y9Pd%F>5IhVa@HnQcJ)Fr_o^c2Qf0`CGwWS}4tH(0LqBs8JXfqy-%@BYD6ly~ zgrWGPA!zBgd%HcoQ9_aAWg1FMTG37^me!xq_vD4MjCCt)lg9PM@K_el4i!~xzzZN| z!QP4{X1NfI?EGbwx@kc?rPRz1jQJ{|0jgZy#U^L0S<@-*5dSx2oL=~v@HqhA9qtFI zGBWawW##l%z>UcfK*SarVAGOHJMn;5H*e1Zt_0U7$VPveF559`SBL0oWJW|fXp?rw zY7>gei6~|MII!P%U}Pvc%k&qXn*mNsc)VCVeJvf%dNtj>Wln#kxxBTjFvSs4?vt#< zz{h*wptuxw1%_$6Dujq#z@|_)9@pWtUZ5}qC|(^r`}7oGw? z6jQRUVxsZW8M-_}j?h<IsjTOH12eK=SMK5 z)ucfhmG7eAHk76zihvJ2$WQ^h)R0*7b-*`rqZUMORo?inB$gL?41wXM9c;vLRd>I6 zcVPv{V?1^C?{mY13$Gi~-psQT#pS5-9O9DFxDj`R|B>#u9+=UfwbU`-%GhQ($7Ki% zyGOFJoN@8_?p$8rQI8jCSqG=F{ylf3qCo%_M0dYUX4&HDfv2BEF{%%Uc+z-56~$vHaZ&)p+6!mvEg zvy=jYDur7j0KS!eJTLsx7tX@zb7hU}dB`wLg2KeBIV~yk?r-e|?6|o&T$b7xL)9X` zGtuG;IxjzExCXplNWm61UekqPnp`PR;ef$KmHgL zJ~a{k)Jc%L7y!S8|8siykjNn^PgXu+M89QNy7USBl z_e=#Yp5IatyE^*hnkYxZ|8$uxUl87*Yz2^HhZ;Nd8)tg1Vvo|C&iWHJfF0!R6N)}u zMN78sR2g&3i;d03*q=B(i0;YSzrOb#Wa_B|SDoPSvNe1NTcz0G8r?R_7{P}N;W#0W4vvX=J;A@R_@lQw6PeiI#{+E&~4 zG})7My4ER0h&*bp%HivZzX{hm1~#6?HgI~}ZuU8inq68ib4Z@If*)N~RO0kIo|dxN zH&1O(1mkhONh5bP<|JhjHFsIx)YR(5rqk(QsH(O#8p3<=wyQEDCn7XVwlFONj!ZaK z(quED2W(T4IDyvqKTl6@AG~fg2n9U6Td&PYSEcJ_nIrczbhIHcqw1JRfuC$8X*gYWYRt`9r-e8X!47QA!ErXP}%K!7r8m``ERoyj5gXGbZZH-;gF zEE(w$i<5G(xiMgPTr7wb89{kb$PzGFu>Q+_W`E3RTFR9ARAooozJ0RU3^=KLw|1nzN(G;lg_)P&gUxE-~+KTqD65v5c*c~2S3ZBxl?F(S4}J&6~iwd);05o zdQ%d-(JY}%gV_F9w5WG_q|8rmE<{D zht1HGpJWwcOd3;*KMQTuo{&&n@B&D!l5W*IPX>ad-5tGn39T8Nz%vpn+NCQOnb-2R zKj-utmN;5S6Ae%P3v%teYrV+Yl`5F77nSgdLJH9{9QTGa>KQJ>zc=PvIqlQ5b#2uGrOtKJ3K-cgvsB>dt&r@4l!we!6MiY((B#P23)kMWbW)wgF zbq1<%;__d%XNHQcEhftnRjun)VM|8O9WZ8@&bu^+)BMmGfp{|uTJuVVY`OiNq)!S% zq!B*^UC+>KRm`2kl_*n3HPeGYYA?&u-AVn zQqsNf+fHf#pNzt(0)E{G7Gt;j7Tk-V(Hpq(x}@4fjRU}YE9g%-aRYkXMoNccT>C0b8a3fN?&%48YH*(9k^)F3hKzBDZEX-B-EJDZ8H&0)Y~r()F|yBq zmFO=2y+5LT&Oe z7HkV-(O~JdZI;liOk5ozTxMMp8TN8}_wivQx;uqmUrB1aaWQ@Q@3-g>8QSB=cA^;% z2|Di=&U20g%V^8P`yD5y0v*ivpS(OXJfMh%LZXlu53kj1lF5|a+sz#o1G2{7lriQ# zO32Aol%_5?et~pISmvL;H=W=V2>~FgE!H3hcRZY%2G62iYgtyEF?IiLaDCqe)dEC- zyYEWHab+P;wb$B!-aPK+m;UZtnR_-H0Kf(ST7L5Ry`&l>Oz~Ni5+YMvEri_I23R$+V z)7=STuEFR0+;E_5n2EK%nI+Qf*FR6!-~s>d-h0Edv$vh5!LaV`Zn1U0y^uj`zF0=; z5Rg@w`m_pf+>dnGn9T7}ro;zMu3n#Y_ebyq{MRT?1UqSfxpeM^s1bWVsd4zjI+4m|i7{v3L? zKJ2Pa+>FDYbFNG9?oRMM^Mc8%z_$Xz#)F|pq?rt5pt19u;++_NpYt64%rMZMLSEB} z7>8Q)i*VcFM+;K)GHxNly7|f}1Bs*WX;$gC^=|*j?AAZS=y4@(H(g)*PsYm5>Jd0> zh4{SR&EX`Af>Q6S<9XeQ`fPc+BKvTk?+$#{eNWs-{R)^dsqnGt5UU{^u1jsYrUC*I z&&3dbyF&q5A$YJL)A{PunwCwiykRR4&rLwrtKbkzUs;IA&`mQl` z;4u`&{To1P|G%r}y99EkYFZ7x(qv+JgOUXiUkBu{qDEFI-NJl9HCZGGB?ND9=p6v9 zR)?C!S$m36n~huF5FuRe_(j45V9sPK-`m^8I!ZL-Zn6-f;X<4N_pJ?ysm2#8!SDUZ ziY``^fp&w_rM5{mG2=2P6ZY1sL`hWE7AZH-0ZX4yGz{IAZKRLW3jCo&rDo}Ln092y zpt|2v`8C6pmgx8W$DFoY zGCkM!kIkd0l$r95`x%{Ae%)(+l7oDy4sZ8}Ww@XfD1}x}R)>)ulhVj!ZsGR>`VNj3 zvfkmEy_9?WbUU}Vv*9DNm3+RP*|_`jpoG}0*L=p-3r$c2gv@#O*sM}%X3uV65@Va& zc71CVkw8>Dc8$;~ifh>#)ywbirIl>AkSZJs-9Z5IAHaf&KO8>5K&+O_@0;;ee5oS3 z+)F#)tz@Q?ps?Gfd5e}F=&^u%3f&MQxEt^RAIsduMV&Qc$Xa~E$h5+@qa3Oi{rpn2 zawf|Jz_393ic6XOZ9REn4|;!`5H(*w`VY=hMA7a_9QNVKl+Wbk=CTvP=gP8`GpHCP zay;C#``sARE>ahs?60%TT&}jmRN3NM`x({tB?_#s(TBdK6a!oqN-_B0(>&Ht3EbE4k6E|Tr+1V_dT-FhE0D9#e8&v|6K*mREUc( z#l4@zB@uv=lLEeN9NzPIG%m>2cMt#z@F;PNd$(dg0=C_FNLAII*D7QwY-!Px$4w)V(=1ejq=gx= zgnU1@LF9c;y;iPI61A>dMWtp$=fQ0Yam#B;jzwssT>zR8^#(QMXM|C1Z06yVbFUL% znsyq7`^8h}S#Hx-wD)4B!P6{lQ^nGjU#msTTYjo_{?6$)Op$nmqz?flwKf3D!_TyW z;mS@;xC4D^xnSpNC&W?ux^e3}UaR&Qj<>OT->9%p4yzp-zqP0e#%o;_CuUgf9sHsQ zSA*YDd{6CWqT$5g7t@K~YKt?Ah~AzMh{{cQJB=w&>R?S?JcQBEW7P@c#nk(` zxSXGCbnIntzkq-0?LG=}|D7T$d!N|l)G+BGJlpOJ9Qm|!1!7Maba-~z`34>vHBZ3Y z?hAikbRc!n*BtoD1ZmV_QHrER1a3T_^aKf}rCE?LOKv>a*&TE=-%O1Z_+t1{*)|Lp zS>wuwc+~YeWl&e0Dfq!}v!bkli10kItz?|B;48lHT5rMj%Tbo^9Rz7k%M(3297JA3VmQ^{mNX*IDU;kY8C@& z*y=RM_WZnSJeCrxe~i*h@Zu9T)Q7UCw&rCIUsyBSdVLNPZ7&9UY0xgsqocW@L` z-b~sj5nQ;|&g54MsbU*o{GteT1eDAse06>qmC`c*KS@GfHDz#QX&$|kORp>3|W;7q2wd$NZ!kA zGm~i#yb+p&b1>rDai^PChJqO8urg=Wi0F<3C~S@VqU|jpT}x=)w~B{gGmM;x1@>-~ zNI{$Dr>{Y0zeYeo}>BMltOVPzSG09MB&F zCTG+oeR(K|F(0vK(jEh7ezEa4ime$s3k>eb!VUJ_CP!==`YeM=4B2-1f;Ll%gF3|y zafyjD8}GNJk#d)ouya(Kbl99%1T)aZ6U5`YSz<-=O-rXP5jU&@cr+yoAH-{P*v7`x zbl4-i9ukU!g1dk!DkDOxUZ`KFtjA7ItLJ~~u&JrbJ?j$uH?f5TTjk^QSy^nP}T&e&++ToPB0sO za>jheK@7%43Ug33QPV%liH1K72P=Psn=>WG84+UOBDANdcPWBm^=`x#TDzS;x?B-U=f$zLNE9`evDKS>jvOI#8616bE zGynxa8dbY|sG;-4?JvM-l}-gW#3J z!DB!f@YXVR9oysZ6>7hxs$wR*q zlN_2J_hPcQ7kl0FF76~@E!^@J)&#+%79ug(v{@sP*Mmsi8xMXGBzLUDe>eAPzRc}$ zf6;w^D9;4Pa+lnd2^KsZG=D>h$qD;-sh3|na9>|;%r3hb;@Wqctx;sY;ZQA0k=|-r z5Xr+QLIMyVL`zsq=%KG>O?BjJsj&Ke(rn&(YAM2&^;!z;c1Glg*<~epXYfPQP7^L_ z&tk7jMsf&s$3fu3Z&&0{4Io?#^4MhB8`=2vRv%}eF2=rbOyODmSnYzzJJ(7$1pLSy zbheC5Zp*$m>?X`W(jMdN$D3ICcSxd@F*=vw*o*rht8+W!B5*^|!bVcCPJ(UqPpXdo zC`oFuf&I^SU&RLMLVGkiE^TUB_7eROHp4Ir`$o5TR5QOCprVArr<@X&)Jj6);GWad zte-8qbHt^>iE13MPu01lnGAOM6j9dEEEu{C-Z$eg8wz#NBO)?gZ-XYc9Tm*4nja@X z_6=Wrf?N+uE9;!10{v^<>iY~hIQz)P3TUwUqfL1Gm=d=QI60nXvO0tMbL=>|xy3$A zY*XI{6Pw5>OLMxIsoWK38~SbQ96g3!z$H9b#{~?&Yb)uC+E=Qd3+MOIiE$NTm;>W= z5Jh|=RVs;#OF)bEk({nAD~Rza%%q?+WapGNC4wJ0L@+RZolufg)wLFjbL<`Y4rPH~ zP1*m>nmv8lxDnrY_dsERMam~mkhY3vel2v5KK<~K1VNqd3*F*V%lx5oyk-VG zK0`gsdrBpZr;<(LoA5l563rly>(O_$&-Z^_&aG)0UD?y#g?H(lK8DWLOrbD9uRao( zFKpSjp}eW>#gQonAu=I`XdQ=Bzf5qqJ?Z*+u?i~uBrr0v^r^n`aD*<|GdiH#avj8_ zfrqW@_%th3iP_Woj)8S>q(6^iDC79Ejb#}huaF46C$Db)vS>4F?u1P}8Tjs(yc0%& zn5g$3#e0Y9(i5p6#pi3Hg`oLsLa5SfrhYw=0^z8MKLJ`K9Hku6@~YIy=g_U{^I+7k z-UZFcisZ!Y2)QU5$ir9qB-UXxX;+NHcyxeMkv6H~>|UK=64qjyQfe(@B;-T;FfXf z{O_-T6>O;Vwhhn>uxy>MfNrI_&Ilat)Zxg8T{2EYpboyZ(7|X#3EA6|-+1tREYji1 z7}*207_$(^_62Ohe4a0HPympw@RpW@7UQ2E1~b|3J3vq^wk)(?YYxS-lkf2EPkkxy zCCqf${yP{)knn8b0Gvv>g@pc@+4SGy8MfwIGUnmuH(?>hhz#H=96PA5Ikd z;cm-{0?lS;@HY>r!2GyxTb^&+$f@k$p&I1pPA*sDKhrwYavd*t`?o_W$kNtobUa@y zMoEiuFo)aSV_W#=!b!~MG~(T9SEc*4a>=`jUC;XG8SD@ukAF{DZJCB@R=!75_8Lb> z=ARkjsj<$PMYqeRXuC=^4f(t1$Q9fv7KjhBL^XRY&}fkmA}W5Y?Y>|c7^I;ctD*4a z^lK4Cx>Im3=#!siDq9!Tm0GKkZUG$xnPN*m9erq}#73ROr3J}=k1WZ%x{$;1i1ktY z-~{a#I03%cTgO|MCbobxb@)`O*PMn`+*qE?jmM9`dsE!^$P&9sK?xJPfAG9|Alj>d zsJ+sYR{p2z;r{qD?%u4+meIV6LuGu3+id~fz4?p7^0skx_57PH z^G~97HS#dUhg*bSI+NqI_9J;4#|$5J5bzBtm&H#g4ddD5-;k6+62EwZCb$**D2T6xJ1Hdo0egX`-(4bq zG@5lO{_|4|=3$s58c^k>4_Esg7RHH@5#Js9LB+Ri%CQPRHigv3oz;w|pF_xDbhK-< zZPnm8Bdg6;m}0-a<&XI$O!5;DxrYmm1@L};{YjngbsyOtl*Bpj6dr&+!!IF$)Kfqk z-akfWNH5*PU}!#Ihw;D=m4Kp0OjB~=(o#C-f{hA?fHWO#Q>sFv89po%YMib&+K<4O z?7hN?G4U6umYxn+&vS758nsS88{-@$A`RjIcgBk5DVL9bhDhbg_zs`@>}nFbFDt9o zJ;nsguEfbPur=}V=??0bp8ctV`}xQJLo&vPND1NKgVFONOzLvW)$B&YcIN6RSs~K6 zAdf$Ncj@yCgY35$wQBe|+X>R^M4ai%T~D({~yZ#Vg=+5GFlJ zgJsWVgf3x@a4fy?-B(C!%jdv!% zx?fCFwi!;e8W8vZukAzM`Sxb<2FM2btd03&$OuIuUboE1jWZAWgNSn@AyU*GuGvGE}K3JZQZYH9IxbS@y7 z&#teWj@qcB5u1>J&4z|6DwN{$V}3HNIt;%2y8e>ec{MU_sD+GX&>D(*Ie>{yhx7#+ zFEGA6yuIf7D%N?KB>4#<5EWN)sWN4FUuLTW#Jss-Hv4kESzNkvWk4|;ai#|v8y|mp zl6t!Ad^voz^z+;-%|+P`lblI0&feak46oRRssz7uX?u0Nv0XUvPPo&X14#PAPFI~e zJLQ34dYB{}{Y})bX`TOu9@d>7sAUe&0t6XxP%hMRLPN;{$*ZA_U#?#N{w*9I9oN%k zl*|=iB%&W1>ivj{@SeVeKWl)FmXMYAl7S9r&IE9+n?!qynAq3R9_10*^S%4+J`WZL zXIF@cUoJ&m$%8ou>t2sB3Y#AJLWrljjp6IyIiM9YtvfFBv^Y2O!eQ{s;|0}GExUZzklS|5_+M?S^JY)9u%3ImaukiX(^ z*VGuV8<-r9em0`aIrcXF`!j;FtIIy`ot3ICSd*ga2Zzc>(lx!-Pt9!W>Z!=aA>QPN zzs>BqJDt8+tz<9ky9J*_6})b|%X`U^_{W*C`9rEDgyrWFs7ie*c3W6kTjt8)z zQb`1fC+b$|D%}e*SodUQ>8Te6qIV6L!`W>2yk7Lr%bW^4^L%3RwRLsA*O6)eN7GkE zMb*W74LOH1^$C8@E63tGBqd)_YNn@GB8 z1k8)qtX1SYUUpE|o`Tsgd=cAxO36U6R$L(y+xxXOO4^RS$$U%Xl9Ybw1PkM&qRupk zZBrAT>KQhC(nzfSa+)8>qJ2=9HoUW0`420)#i^{|mBAIw<=e^iN` zI}B?${AzD!yqR_q-m{oS@o~-LaY;J@k(3-(3jVCH$s+ZEl_ZucLPs+db`SXA`Q7#t zoW!O~il4Nw9R!kRTE2gDop>6Xe2ht0(Nil|7039c37Ner3%EZG*v%7pFQq{D&as+U z*9f!Ce0ksr>=!Y+stoUaJVw;=Sj<7&% zj@2y};so!S8qM5Y4P|fQDk-?%^SMp^cvs}M^_Y2DL}h>Z&$dnPCv;Sk@u_M@u+$Fs zL8+3g6C@;q711MFiWroRf_kJ*p482ha%c=*yl($&+pw1TXXvt$*%XN2{2=kMg-un4EEStpmbv(Jw< zUt%y&B&ayny&>RDodu-;rScSp5`m&`^GC;nSUka6YmpkX@6b6Ql_UH&Vc$d)ex+FX z`>*1Dy=1`(E}&o4TITO)`pI&2IFSA>Cs0l?VC^FB=4rs#4>9DWg34{kJI%qNI^a+} zFyL$>5eXGX2Lb_n$alB-k8`MNFCJ^Ib$P6!IrcXUqjY17wt;=TwJa56f!D!9v0SUl zH>;=5%QtHezv&Z)gtU=B-g(A9oK!2y*H5X0ygvV6!@4WW@P2tlnlj#2Rw=dq*2za+ zSj<~T2nuRD-@g&L(=|2z*SYVZw_L;(KERscTD#01^>{wiy<+Q5LQHJCR(K+a|5UYp zcgykgZ}NQX@m-;<@VNnFstl-LIstwCqDbVON5{hrm0IZF0-uAZ2-eYUWUY|f5m9Ys zes)#tyArgRf1Ab+j5l3($twrvCFD~DEHH#586seh}Gdh|kZ=7T6mTQ&Zg22;VSu zx0uY_My!CS=06hx-LD}!(`^aj{tZ3zE%LMt6Z|L;T}kdCU~{4DT;h@z9I8mO$#MO_ zv;8Y1t18_GDQ)N6MiRu=DnyJfZ8qVPEK`G^rQ$F^V?Tsts>4z^Wis?jsavcP0URw| zDy9*_&G2eQIZ|Kz6H@25NWLF2^9A=B6;-%g68$PjWX?G>V{{K2*{}IgZ8gYjA-YMz z8q*Eh#M z(VtCH)r!F#ti$s)kZbZ(!~LF7>axyJ^T5|Tj-`18P1MDFanT;Eaj)qK{&AVE^gg2x zsH|2*TW53VFX}lSy>})L+eWQfoZi^6+CP+z&-#11BXEl?5H~~Rbx)~G#n?-VMEX!g1lhj67gm-kTXj(P}amOoWOw-a`KSZl%`cDa_9$dLY zQ`7247qmz=jvQ1+yv2tSf^xpG5&*r*3)9{6;vtg@HG@##=LwxF3U!xNvsnFn*5qYy z7Mg+c?X|Ri%Y!3n6#sNuH~#ENnLr(g z{OAjx%b2BZTzJv?^LU_GSC~*_3QR63f<<7;>EDmCj8pYtz5RI<5B+0@j>fkUFP9iq z73{`x>SXX(d_gJwoXawjwZ8}BV%<270?Q{g4)&d6;%oIe5;J}20i2(6ml8I05^LS< zAYAEIRwc7fH|y*0t9~JIHavLx(Z-O_`AwAaWE#17O~;9VX-)x3LD@jpZ#hirMC8L# z2ke%myAuR`#wWkU)hBj5p-GExa%LvG(?1@yIA_}VKw&*tPsc2MZ|C6xT2=b+RS)aE z_AeUxH^#mA!wiN-FN4zV>Zt-j!-yIn|7yp|x;{#kNBDdS%##p1e&f zM;h!}1!+%NcN%vPUGqwu!Fz22o+p;tcugo) z1r)Cd27|nz-OLJfuGixtXA?q`qYdErp}`E^?j6+b%Yn(b!R>}h3o>+7=BRBiJ{`fp zfb&EdO79`THB|{4kSL(lS@&5!)`_bFsqqNbV@)ck6_mO?&?Z;u!egd+L3JfKp?R?NK)<2=j09xdSXQ zfq4zF>`PL4%+RU^ve==cA58z0%R(X`tR%3HBYVbP&ozRl7{dq1H21!NFV-R#cfK^^ za6R~V*!PLua0W;OQ&i!S!Pm^?<7>s*WhyL-b=qL9%2fwz-TSR*#{UQqcy0Id)OU#w z-0TlqJ;5O(DX=0FN)k+8{A^8MzX-9~cL9eAlPQ*RlJ7$XGxQxhF8Ui)YHA&6nfCzb zOgDoOs8~7ex>;k2I_bQaC=HV$l#;xy{dAAoeYP1mETvx^P(zIn8-^MI;vsv6dj1rN zGl$scehbdTH!*dnc|SKe$UrTr+T=MYMElOr=&?UP&kwG@PFCrGhiE`4?4uapqO|sJ zW|Wd{nF|)+HL&}o?kQgc$P-IxHEee~7}@*!LOonr5XIpM4H+%H%kpnO!{GYo;wIu5Uu#9FcW4);{@#sl*3;xAwra&+Gg*)X zxq7ja-@my{cqzU9iikquW)~3@N`M*^92t?zJ&5<6%?qPN8*Rhc2pNN+UXs4?xcU{X zxXBswtgWIFzLXx7fLq<113Nnhg$N)9Zb%izk+1N7xMtPYv^?07gs@BQEFsC@IYTryf`52Fv_nKfN8Glot_xuHt;LKb8&k^sqErIaFmsGz9c*qLm_e=D(qe45Da8wZsE?NXZ2`n$kNC4Oq<#6#!Pp0 z;eB0W+?P-hC7!BXy0QRT;cIKuP`?G!*QT79qKlXjXh-1a8-&C^dK5o*%NwuHHtFOD zFmDIPplOD>2d~A!1A}_64@w4HgPbn+r#;G`61TI?x;0Q-!r(vhZNmh)!v4V#*HnB9 zHm#|!2tKmdsKPm_lp!PMzQ|Z2XtU`jzj1^}d?8+5k^$AM(uk>3+?LiVKEzy0jf%=j zBcF3D{8g{*Slu)!J=C~pz*VqaL*;cAR?7;-k|u|Y>cP#2;wL92T!;T|=Rk<;)%nFW z5?ez1#6%*Kksff5Ry%+-i?bQObZo@$(v!nrQ!?8&W8J`WJU#{%a?@c>>U)(j+HiPj zbv!~#Z412_oA4COHJ8DqE|i zC&#`5=`1fDA@?0BPuK9@9i%HhHOvt&@r7v{-D&E*lj$yt{dL>Krl5Mp>->j-Q2!#- z9nT4JTxE2{VjdGzclA(P-A>6vdq4bg@7sOLDl)k?g;4g<`)M)1yz>G!d&LRCu3GqA zZZf?0ySXtea4f?xciXtdD-ZR1H#W2vn7_t3*f`Iv0;}c^l+nL-JbARNLRY7MN{uzW zBM#CjL{5>4Zrg3bgYdhytS$$)eEa?*$rx+){H*;5-@XA^-6xR0~ZNBy|8{4`6477CM(YQ0cf>C?)-Ic z>cx*g*x{kvqkaePpR_cSr150r*bE;nA6|LA_#-Vtj2ekBewNil{c`es;gD#MF5+%x zrJqYhv+zEh8UGe-d@`RJ85k=TL399%gu_V4dkdnvrY29p?-!bBEa3Su1MLH;e+9ws z!Z~@mphhkByu0`tp_Eb^@DPE_zw7z#gRs4BEiKJF%k6XEiZwYg#w6uTIHW2hD6u`g z&z*mFiTdd*nSfXxENcl0JV}2p3w&Vgrg|>~2*A1ZCbOuu^q5Urb`}UIKKUsf6I;aG zCEj$NDj0XhM}!w8y5b=0?d>(eY_j+~x{OY@2BK9&o-WDP&cgZPw)tQJ1m3sz+Fe=F z5~(`^vqpU_+_`xmF-;KUU zKd?670pp0AN2+w5`ky8fw*LX0-t(+!{i-JhWyvw6s=e>R!AH>L7hb5wo8d`q5_vD! zx^_LtA#y!HugqeO5+b&wBzW8cV~L}KOREwJ-|rX6S3qjJv<&EGc!==f6sLYSWt6uH zMD7u@ie+$;{2zIVUrjuval{bxEyr(%)*n3kks!Xl9hEHfombZ#dH%bR9#sP%IWQfF zzy>&O4D_5NuwGt;9Zl^y_pup}rBzEK6-0*};JB~&GR zD@QO?e|r>orY-U>G4Q2(j&kct7GsEG+-bt5Bsi81F^ETLAVa*)WpCwZ3=BG1Zk0h4 ze=Elp&ZwJ)J!ECy75D;N8~txq-xGT04LJ9Xd9IwJ2$P9+RF$c7e^@l%1Jm)lfvP`h zKd-I7w6Tra%JBbsBe;5333e0AHEN|ZItwaKiTlM=^1L7ayzhVNYfLBwz(dX}w#_MO z-g&J0!GE@zC?gn=`s_NYQeDImGKrETzMkKruAQ&(#c|!n*+9#5&1)z?_^SB9YDWfy zHuW^VkBbV9op;p9I6u1iV8Oh!E9EQ3O<-RdMRdVv zE)k(kq8%U{!pFxmD+XeZMKut9MVfx76um|QdB7{c9>&%fE>bPVB zXbB$VX<>Q@k|5FH9%6dDH?u>Tp}eBB5wx!gLMl@JJwN;bdGr%@GJweTR74@a%uB~8 z337js)#0v0O)RPzh~R$@5CzdmB}n3<_T;AU5?EQm*+NJW>5wf*5w1@RWw+@^|IjOI zX=}|~inlIHiK2aPR9 zwb(2~@N%HnS4wKyo`V6`tiMc~+Yhyi(+q&f741_5DkwJ$`SZu%acjh6ox9D8iVDb|_snTs2TBL~DFpsVJMF@*7$c#?;M9(_|Kg z>7^I_ORWu9y~wT_1GnnUAHkcV%qDyNRR3-}4;)oi9yaOOB=vJ0X!-I{G8Zzb>86cO zPV9PHRcp$Z$mR6cH=dO0JNO=KP9g;<8077F=TQl}D5?IHw17(VvMDV~C6?k7g;Bzb zi-w{a*y#G7d`S-9qK>h$LVRy1Wb>Y*l+>WJVcd+-g?x36>yN!TAIw6($xmzN`&}zJ zdOs9#eA)&PKx~xunJLy#kJ)>l(o?MD)#-mem0h_cQRI5YN!iHAep*pt7 zNY^Mkf4xXWWPSn@3WUF0TlsJUpRP48p^q@c5O?AXz*Uf@zD!=M(YpGTO=0WD@P(G@ ztivvB>7!~MiEfs(jq>c`l1}u0i$qH`0=uF)Eh&_OiqGlxu_8F3+N!{Iy3_j@ALZex z!hOr}x3kFd@wkWY5jOopkWrXA)wuk@)w6V0t%dvVEc{(OP>YO&9MJ$)R7$lz{{%x- z`*~a2tG|6FJk?5QBtEWH+3>=0@gQ}MyqJKqZ??)6T6*)0%}p{^u@RDBFTf^-P*7PJ z(49|@4w7Z^xJz~VhX+!}y0=4j+sJu6;qB~vzCT?gjwe~6<@$w^7q=cwNWGZwH@|XI zTh|)X>X#66R77b##^boPl_o5?>ab;}2|e?i5JLn_ z6i(2HULLkU-tMj4F0~$M?ajF~YoWG? z{+|}WZ#lK9?qKd;u4>D@SO^AaW89lzn9*lbeR3>E@TE8K9@l#PXx<2YC|Z7g zp&}IOvNz)P(FB(!!SMqo>T?Okf8R*|4%u>6!(Z~(6Ad+4CLE(j99-I$G7P*$i)|6} zpX^XXZ6op5VViV_+Fu4Sk)wY6cvxY)zm8X#l|c&BLt>{Ua-e|*7e>>yKJxNLQY*RT z4J5_785k)iA%4E{S@k{X*0#)~M_KH4q~cz`(asOE`DyycIuv^RQ$_;;{Tvvd+#@_C z4Hg=2GRvs)53UNCMj8~?Ucc}8($!qj>;WW~+@zoS%y(?@5y#0BPS<*mE8Uh6ZoqT5 zg%MgL1IXTkA4l>*(t<7JGCUE{D8UO)Aw*bGZ5@5S5me27pFShuYnG%Ej;5HXxoWjXfp-0sRUf!x^bP(6 z6~OrYH}}-9Os$g11xlCMJip?`ok754{|@R?PQ$tNyybMZ-2E`*u0~TEo-(f~S+*~K|OtXZdqk!o5*lOaNV3UA}4PyMTL?5XS)gl~dSo?HYMRiB=vUhsh z&Usti*NpkohFK~0}YfX)b(_9N7Agu z^rY?deeHmbQRVfl-%hDf6WQr6a29X-B&Yk-Ri+H@Nt@(6& zX;qvGBeV&Nn5fqYe_vFeV_o0;{_WZ<56BIK1LkUz?jrp>WMamDu9*I1T2`{0s7)3@ zM)I~^C0~_NSh55SzxqlH_sx{fM1!O)?y5|p8sFp45d*piq(aF-u8`a$1^;t_7)_9C z0UDiB=vkou{aYv=UXCTngrFofJ&GI7KQ{NVuivAXG+qmO9QBA%+%*Sx&Lu!E&OLXq z%Rl3P2TTWeVEo6mt}>)v4EGuqUFES28PRft54N}h5>~{&@xqNr#op0s`8aI}y@m zH|^0MEW1KE!GrF1R!l4^f#)8P9l|p~bvsoxrOQEqY{vuSn^H8F-NJWeEEP-YTCAS37SS~qcsS+uy0+Pb!e^> zici*ICbG22+mlMP_AZq*Mf*|RteiyuP9}$54$NWfWootSI3y>;%}obxEDjOtr+Th` zx&FyWsig<7o9YM>we$AP%eqkGy@=yu6Vd{tao$qz+ zZ0G4lI3UMXZ&`x8&?M(l%GmLHBJ2VObV$EznoIo^`E1pWt*xhA*>!ibeF_6P=<3<3 z_3Vdf*%zo1mV&VrdLI#l2$c9PM@0JpVRrN**!wk#&W}%$8uFI9u+tm-X+1$O&cjnc zR%tIBX2=jfq;xHdEvOHiQ@nBGQXbm=gOhA-Vp~kAk_KQAne+%z9PXkRVan^T#z0s@RI4%wg`2W6FO(Sm8tl zs(hpYTB*_aL2vM357}LKf|#YtYjzHTj!?Vm*WY37o=zO^uw%GFsTFx8OPj}~VlKmm$Q#WKu4em39PZkMN=1h!lRlxUu zk7tB95I{+2=3-CL&yv-aYI!;V;TIofch(ngi5>3se(WA1Ab^AWCvd=jBn=}Ydc-Qt z%2PdGezHl#C3yrylwJp9FYqHnxhAS-2)XYHI+8X46fL>}8y8IcmD z&u*hb@D}p|6I3U#AX+Jm6AT|C#_IWus?oc-5z>8+ziCC^u;#>v9JCoBI3cAv%Yt$3 zt=$9zVnMf(e#cGb38RAas@gju60G=-I0ti;D}P}XCZM7)$vj*iCrd}w-hbgCOP_Q4 zyX&5^_XoGpHS>z$QTl`aetZ{SE^nNt1 z&<{ryeMKugRY-2XbC#u~Mq1IPQ+6hYVY_6gcOt5E0{;2+vhhm=hX{4*M3b+!29_A_ zM!MFFs2X+WUY@T5kH-;TV)B1ZVy<8Rpa61%2zZGXNJ{`_6t;Tv&sP|@ z`y<8c&1w(!r_U7v(kvj^`A^sN@kCOkvP4oeew_=fCA#vG$1pECC2cLO99JM)UvNP8 z$MW%aF1&f7yS&LJU-c#=P=<~F-LAe7rfHijyS~0YK>8bJ;?vb&K-6~xugtPgrLU(m!{FuHR#lr2a zU>nx-b?vPGdA?vC-}QXGd?BQ5MtK5+qYWn(30k(XmX8g>N?-Kih>3|0`H&*KHhW)T z)Gy~;=xX8xgXEYQnuwd#A`~O^5@9rdxO@w6@jt(#{LzHPrG>ueMuJ~d_*^B~)x>}Ld8Lx8j-I+A>GIvd z$}^AB2{CpF+CnsPG-RydD8Eq6H|*jXS+wj^?1IuIQ(<(}84RZCn0r0JboBG2$Y@BQ zuS?+;4_|#GY*xK5_9Bt#r zaeRb`AhZuOv>gdq;IBFp!72D$aDf3F*iW+Yk36`Dv_Q)2cthuK>K}MExqL;f|1pGj zx zHG-Eq8}4gmhkt+TYUUT;!C7a=nlC5SCt)u3!a7GBci)is_gIZj%YNmv-eSwD#%9A* z4ak(f%nE+cOD0iHnV8|^0pU$!^RDPrVH_rFI0?j8&YFvOcgQd?`XZb!Jon)hfDhbX z6A8D!oW*f1;L|mG=&z}MMAa8`Fr|~7E$)alf;!lT2H{TGH*0^KKg>tIuI0k$eF>IH z#N&!`(g@yz^A^#wI5@IBF?{UHS#8 zA_gr7#whyfm1&`=FsZcbT>Do2Jr0A;=hXEQcjTb45-wuUK(utLo;+(54^q4|ph-{W zBgWW5GTR)(B49U!++<}tL_PD#>xI!)X7KXzYR&%6toixU)U{8xKRyzBg)eZY$aLU<*N7yX zh-$jyYW3oes6`Z40w9tG0rz%c(=S@BAKQ=PIFEA+yBMlBQg$8WX|sP$fMhuU1afrl zpS?~^Z>jdEr?mIqgUMI+#y{w#KdgBSD`+!qa-AP+`Rn^phcQJ7nOZrm#ZT1# z`yGMc7a&nDj1VMXsEF-?5EVjnAK^=xj(&_5j~LX-flgTf0ue-DW$Cc*Ux^ree#~_T?=I)~=UD}gd$-HP7AoOHcIka=uTCuLyyvrjGDa05&T(?Wg*I?>sy>YcUe_MiCqCq$iDj7O~Ktl$f$oXXHPnL=50bF+AmsSF6Z(u`6L>Syz(6Dm9a!DfG8bCM22#rj>#r<9+Mp%s`K1`1w zxI32R`4XW*EGPNLlZ$}@>)5DJt16;UC+8gBnGYN%4WyD_+AQfB8nQ=e5lSKE|JLa~ zdql4gBS3lhfLgbB`c3yNfjtg|>V7VM_R%tSPw4Ti5AX^DP;i{S)c3Fa#my~jRaecU z4gFhukxiV1QMrvth5}J-#C8zpbi)K4Ml9yEA|UW&&J3DDV&wHJ!-V#+Q=qHfWbwJ@V&ZnG=1XDHXhd`H?&y|Va6ie^36V)(+HDYl)P6$XN7j=k&C3? z^`bR`DDtS#WGXF)Ug~H;r=z}}i}W(r)tPWdmssjNF2Cb`X9`qi`X_t|-5*0nTC!iD z2ks>lx6L&APpc}mv_g%CES7|XBUWbFCQD$ikdL@q6-#bSw~oI4HNJDn$(2RvFMjxO zI~lgRe69d+l|agM$Bv4kNlo0#Ozo9b&yrLv7V4^-(1BE|W61+@p`4HrJ~*O*cpe6# ziZrFDpAWxi6s3m=-k3(-zvAzLM@UdKr^oIzxIX4)Dzt+R*4YGJE${H?Nn zjew0%eq$Mgf2ZvIhdtbC%|LTQT#7oVA^|cqp))ilNhm{x9=qAl0DB+4)a5A@g84g3 zNC+E;ogk4adJ05xHd64hill;8Hkc0p``nTeDiRWb$%jEB-><>T@C9ix0_F7*NOK+UPjEJXk= zVhF0`8^*t#6*IZA4+tiydt~uPc8T9~x_jokgzNEaz4lwy@?Bx)|fG?b;!J z@s%Wgs%nf%!E`p${ZnB|p~C5;u+a_sc?S;P`a96*4v9M!5t8Z!DI0oKaIN_r^?U$N z@Pl;LbV4{iR?ci~s|yCbxk~ys?{n4rUPlOBOk@Nhro-S;3&lXk$+wkhdHd^!RR_i> zT1j&(rV@c;tu!m=q++QK&A+;dC#xN0l`%n(v4SQK7|oBcu7~}*vLr19h*HsJz4?%q z_WbqnDiTNwt|p7?8aU^ zr3fHN9Zx7J=#{_3V#4`wPvrz_6U zostm54wZz;?|VeiD>2FaW1GP6XCy!U8~aO(@pgN% zNuX*i!nC1n$s1y+{{Gd6TmCca(D^1MkA1>G*7Rj5h^4D=0-gyJpkBW7{ybM+%go2k z5=4}sDFninbs_ASc0!al4^LUz>!z+`Zs=qGX`D!x^c~tPg(#6kYv)4>s2zKo^W@qJ zxuT;<+*4xSKE6`XyM4cn3leOiOonUg*cqHts)UQXC?QME%9lN~*9{MnR=?7rN2vw7 zOkkTb+Oha~-k_3gmD1CUQY!Lm&@ zFb5b0<_I1`e_6p-{Ef9wUnt9(l>dZAWaKta=+QA}H^U_Nf$w0%lE{6!RF~XXRD22P zQ$3-~oXzJk0tF3@X@c)+$Y1%W0e#;*7{raq2hT*Z66fFju8j3IOYN81tHW0ywJjb2 zu3dtKSt_Ho3;e^7h+Io6HPS+|IaLV50xAJZ3HS^B3YdT~C1#v;Bsu6v z?4J5lVd^P5I^EjJO5nJKF9mqW@$vBm$vZoHdwU1_$%zvL6E)-@SXSkGO-*)o_BJ+| zGyU4#*KlXNmfsw>8x3qU3+(S*b<+kjD&H5Wwsm&C2b2m@^~GPEVNeeCtB0qZ5(VFD z%F4{Zy_^rF?@QT6IsBiK9bQ!7c8NPlYUrS9_h!aVNSq3J`twIWs@pf6hQ~BDp*6U> zyVA$XGG;Ag>HuAvC+zEBY58{CCpeSQqy^tgt z#N~>kp@%^;KE@B3qxWlR&fO30KK6>|kvM||J%Ca8@gO=oI~z#rld4+IKA@p(l(HN@VvFc1sHo?y{G#I6fSnaN<`MXfOfBH*PgWC9|)zYzYo+WUGq!YO))3>gY-c{jPt9WS>iA4S`m~IKy-la4gb)#{iWJw_8tg zSuow2UTJ&gHi9mzS^jQREgk%S*`9U!#C&JZ;DBo%* z%k;|el-FCSAL)V&3E)g>&ehGdv^+3fc#tmhZ$y$eqdK}nFg^4Jty*4{NeDIyC*LSS zN`E8+O_S0-#~l;$SB|JF>@Q0Ds^Sdr>U#M^WH#pC0-QlO)gsu84D|gyr2YDDC_S(? z82T5K;_jyBavwQ7ZiH-a#;hK(gPa7RM#P@7 z;Kl0!1ihcaEyKIUiIlaR*+HlO;wt`lF+_|RNYpF6t|23ZPR~`q$2~4I;1q)9Vznj)TRb?ypXr72kqP?8f5GGf`)W^^cPI^fH?rgwh>=bBywBgBMdJe} zt{v2#rNjszO3_=u%bp8&GsGZHo$vQ)de*s*2Fh>uvQkyZtCa+-`@~S#Mi^fP;H0H8 z4*$$;xL$oTv7)c6wB>mhTQA8+mfFCRD9cZml^6<)ayhObwi?nYgKwI@LGo4AGk0sJ zPiv_=>09(%sm^hc!=$5F3hC)7YQ_En731U3E=)6%XoBnkGKEm?M%RX_WFD&_Mq@r_ zrx$ppw?SH*?nAlfe_Sp%_S1 zXapj$TbA#f`2OgP?oR6=^z*LW8s^_W3J>a)d_e4U+nog*nD@hRNHdtZ9tv0UUJgb+ zl#G#%9+H}xG~&8spn$8`+KLz&$Y`Z_J{L#j&5sMA@K-33Jg^v6HA(Bq93hv#PFZi| zSt0Dyb(SbUuZe1>LfkVlEmhE;gp?=W^YljzN-xgAxQ?1xIXt4Zax-PzHeFT)CaK;* zYl(GLIsW;)s&TZRUHse87ATk8UCBT8pEF|=o+_-{2f{k*2KuGDu3*a^Jt@g0@W8*( zP6Hw@7a}kE-B4*tvhlTK_X-ey)%@LFXqH#6d)6UgZ(feW7* zO31wF-2QP`U6HZjz!iszyzNP?JFB^PCZznbw&m!u&DWLo#x497+~K{%RP zD49;&*|By<@C=n3DTuamdSM59?wx?{irPqXborMZxhzQmR{L2?riL^Uzg(pLo1fdl zpt{b6&X6jI@?`&t^!uK0tPCJRV0N_kDMorbeIk!NiuMyJbBRpR^iD}hGzo{{&(8h{ zXsvNTANd*wEO6tF#%fpP@s4V1%+GMyu7h{Sd}T6D|eVuRT;p^bup#~P!7(UySOp6GNt_)`Md zG^`iT2Ip7Cj+p4%6Ad4axF=C!iuk+L*BR-8)G5-9kVG{#2m90C8BRn$-cA=e6D*Ga z*sbwTKW`hGMbe_?Paa1ZttDpGv8ivq@riX$cAJWASWWR{{iREDz>@LX+!|n0xDfIL zF0lKv2i)oJjN3b#cz8)xorfrnj=vDnM*V#OdLk8m_1815UO1IFnUS)MrRSK`El?JafTUsE#oFyO=w?bO1`$l!GRm_YmyAvme}K1FthE4g;` z68S$Eo5nZBs8Sp-DAJvZ3Nx56z>5Fy^OD64f2GSFM6V1-G4nAhC6>DgrVc&D2oCpPj(fj!|QiQ_GWuuVh1EB_HEC+UuNxJ@K-)xnqLx_!uYgPtw6hcp$0`J=4 z4S=T|ja6GG`TdC6^$rbMuy@zGCr`#)J0q%D4OD6@jD3bRWC#NN#`XU5J(nwaowo$a zOZ4I8$~dgnjW41>(y^omH~A}l};c`8(W4he=${eB^);YAlXmQn6W>0 zKmz34^!FrXOK-VRZ;bPHCAGl3JOX$qJI-|ySw>w9(g14@;W)7%u!?^)r^SlVhFxBj zQNEn;uCwFt6skAD-T;gL%6QrOSaYZd_e>CIH}zn}4Z z>A{_iS&v))IB6*+1oZM)IZL`u02@XOl>tJw3sW=p2tevVo0G0Z3B01p$dreM@M4;G zku45ha9=i_PI|bSSvw!zBaL?C1&)a={BpulUeBV`l0Ir#f;?eUnFSF4{!UizVjyqy zVG)0V3lX0=w35FcnNy^wPEcEhjlbchfT|=Y`Q(d`k2o4ykdbb*DmeY(*upZKg~(uj zA%AckfDsEB`yRj7#jU=CiHcUMGP-lC;PU7D_d$NGLz{Y>KXKp|$I<`Z(IyVgUL|b0Zs5 z6fG9F!u|DHGhsUe+;KmA?eK{b4Xuz`g_>rM?Ts0TmH_jYQ@db%?LAr&L8nrkg3A$D zP`nuA^kd_a3Ez5QG!SfoK7_@@Vk?=iE-BY1Yk`O0t9m32MM~*sH2RcsqrL%2O*_kV zfI#4llc#e{6mJtOD`%pjX{Dn;>Vtf!XYXAT{BS7wKp^YM+&&+*QdN46MARli^2DXL zTY|JkflpWeX;Z)Os8xFHE?TE+Yc;`&roTWS5OaTjZ_CuoM*77LPe(NN!xE%Wmj&2@+e*#RGAWpJtKvJ9QI;at`202)1bFEpPiT$FaZl^2pRRR>TwU_6Azbf*N z5|kpTGnPYt4%7!e_rF#lHCM^~)Cb7hs(LsV*4A{4jXT{c!13FB_s^#<&pbp;agd&; z{HI3T-bW+!NsalowpGU{Z`xM6d&%`Ha{`NtaB`!ktJ=WT40-0OUQEXHbnPv^kFdD# zzS!7Ug>(+O-k<)y*Qe|0^jxy#7@}6Uhp*#ZtxG@N;|OEr*P&p65;^5w-PzKTc;~IV zjIyhIy0g&Cj3&{JtsiA&1yG?_pnEe66ENZm{PA3ImLZga>E)jg%Q4?{bU)x9u`8x| zm+R9Nt9RZ{Y=+RFDzGlHj`7p%t54Y29O#=T77jC>na zbnXT4hR!FCVZeyv;s($c{m#feYYxK#pyytR8&m6Dd747QCPZa250R(?b&#BdGYmhm z%w)||SacbI*A0Zc4^Bzdl*bP?=OhM2zNvPPTU^?3*`RCWslrIgp-K!7d&BE*$hcgz z){5w-MdQgGq8SP@slKJ*M8YdnbKjRcYcC6`;}L|zLvsr>%?AoK`sf?6l&3iJc{3Kh z2uJuMWkoG_rkv;ogR61S@Qr%sK*}G__1iXPUVnha-JZU>i?_G$Mlq?5x1ggSxT3rKyHhwpRTX-If0-xw9C!g#>1lfqjAAz=L=xOihh`Hb zm%THhfWT+hmV z`=jCd%v8KwIw>NS)4=%ecNktq=7CD1IA)Q4CE5W>4c_;Rl#I}_w=1qNez>9~G8cB+ zKM%3Ak0|;xIcT7sX25nyh;R$2U&gH{MV!Vj1vdsztOVxs4x04F!#esf+%fp<|5dJo zB>dl`ZALl$Qi^)}w|t@#$2B*TXgc-q3*U-#&-o7xNrH!g`YIN>XN)gnPCR7#u7|S+ z{tvqVutFc1YYw;5d;^+4aGM^A;3?vIiSNGNY<)Sy#YI8F`BAC(t$DDOcGxPko<`8p!xTH z$G?YwdR3kk%6l*PgmWEzIg9xy0mzF-$n&HGaDwQY<4tktZ?}0`+grv|vPgpUJ)NHj zKxkGNAWgKCMV9y83)BLrjCsCR0h6D8Nxbz*N>~~pHPU|%o%q29b^nF~PSRsB@AsG^ z+gp&sQn#jPtRF1imY2C7AW`W)OkKBxLSwEVi>OxTau+$1y)%N%v2Gt@T zE#C&sL9djnu(1gmE*QrekMq8)NX<3F+f#s)w~mVJT7{?ZGq&f8zzcGjcyJ=JlG03Y z*1mIJp*VzM=v+qRemWnpWKhIPk6Zu1Zz}d49vJ}qXNW<69`oGdX zJN(f4arvb8uf+;~r=SGkJV!E}Th1-jgt>w2t6b%jscfc2v7f`dJlpbfjKw{ zZ!9CUADMfF6l_<6M1%J=7<7k*Fy=;sHynzvjWGN+=#3rcf>(l!t^h32jb6d}=##`v zP36_Ij%KrGYuxa-@4D~g-6x{`AHFwh9i^I8u=_bOdP98`-gsz3nFC6X6;w=C9r_09 z4qe<&Q}{jGtLCff@?pfv7Fr%<@Ye+SGqac;#H3AJvWvF-QtxchQl8Z!EUJX-bGDt* zs8-#>2GZoVLV(<|O3LdUbhUuC<^%MVOG$6>6vK{F0tg+)1wkASO0a3ehrYPCsnWB$ z84+^KB?Qo44b8iy5ACmAqd9!Fc-c!35fDT{8_33&1f2!RloISgPBb`1eB+pfonNY7 zE&M+KjzMw0R9+}oBt5amK*Qmx18?n!qP2)FoKB8+t zE6Bs9n5(HS|5YA|ND2c>kiqEatF%@`&hf&+!s2|x7@KG<_IUsVjHDEJ7aVWE$3TE^ z`e{!BfNy>0+vFnv_#M=n|9U|OywHTm1NAgb3DIed-fta@vFDs~&Ruui+39qc-Q% z^p4;D-QPn*=Ukem64~=9PkG9&UH3WX78W{>AD*h!s?ND?x7%6jSm)9-z3j3#H0pJ& zbx?+w8CtE@LS;Z}<)s$PwWGnhPiM)@a|2|wX>vPXN z=kB}jVTM+#GdcOF7=(%gDFAHSe)7v-{_1kMthEMa1}FXf)Tck8No%Eu6e1L5L`5nz zMZhBDQu*I+`tqN@<6Y9IB+=DsHBHkq&ph+N2Oo6K4GawJ+c%!3hn=%B>;e~FcG(*m zjru^fD(F8E0U#0a$VpmhMM@E=2nWmOKmZJY4pE~UUOhcMwQAK#%q%YIgM)*mQhMIb zojXblH}x*Pp;K!<#I*(m8K~GU-$8kXsyK=Q~K_E ztyCQUC|%jMG2 z($e|opMU3_cP=h2OddTtGc%JWNjJ;p=NBZ{;^>xb&wu{&E0v1YI?FOI(j$KGgVz?p z_popaFhoQSkyJ%}{BPg+lHYqNA{k?tUAbH-mH6zl&$|Eq`;9U4^X)x*_EsttBJzpR zI^9I;SHAL9^?FSbMnyx9c6*-cgDQlF_M8u4^Xl~+0;jNZQ>|G=3KW}Kee&qh6)RUp z)HtAh98nnd78_oL5Uz#@89M;b4vb|&iTVmQM647}Dr`1JN$t_503ulC5j6j52#P5l zYpW+_9X2r6fC3BD1;+YHA%LCEv9TdcYaIPtqk|&%iGcwS!Grj|832IdO2{E0g4D#! z05(8SlJCeFL@capYyAj7e$BTqM@^ZT*|8JA)UY8!2;2%8#rhwDhc+N^2FzfL-(AEr zS1U69PO#1yV}l2VXM4rI$2b774SDOlyS`Vh;@&EQ01g4cS}@jSnH5EwnXO|4v@Y2A zgt&0g);3ISz&$-ckq-+y*UhrYqmv5@3u5xQV#T@_z34@0TGCp{HYa@b(8L4}u1Iwv z+>G+$K2fa6R~G3*Cf`@>u?)w=49;4M-lyU2d+vGCX{RYt*4b{S3)Wg^9qS%MU>Rig zKQE%R_Gt6Vz_|<_GcBs|MIbi%>C4jva+mMNoHrD)QSQ0!U&Az-Ca-Z=W?v8A@N&RRD;{Wt)Lc`GwZGFN7u_Y7#K_CKYq)feCmUr*tTsO7qq14byVz~*b@-ZrI%j%m9Kn7gfTheKOPb& z%YDO!4N9p}sdUy^XO+w4G);*}gty}E6M4Bj>zqTx54`U^xPGe$(%{vHo>kT-VnJNW z1>vhGcwixLQlWOy8vBr60N~-qpjK*XZiX4OPV{PxwS*pTnJkl|0uD`;l%ewGS_#&y z8qjMtdXo{vk@}$Wsjrme=Ix^ynGynyQMHB|O|A9R+_Y0V(MeV+srhntq@5X)WnF6| z6ax~eByru$tAB}tm1|e1lQwwKpZwC8oo^2}heT6o)|)f)Ek8<8BVaGf#<_^J#9@az zfjFHg|1HW1C;b!Uzd_kG_H*=BK>z@wYc|NIMy)>Eo|~MVc0N9uvT@sH#YSTa)}Uki zi~WnQ*?7f5MrPqiX=ICCoXX~pQh5lNb#=%rPMd{ERUXL}j_B$NM9`ICyEH=xfM6CT z5l~e}viYOQz)A}6K{kI>S4Oghqe*oIDId<QhCHI9#!QLh#OdUMk%GMD^2O> zpI`Ny+Q2}iT&h-*fq|r)CZv+IT(y>rg%ENuKi*{Ej>%My8%{t40*ot}Ara9vhHts~ z#*1F~B62QG(`vO^E|;14yq!C1wc72s-_A_)^9w$3fpbc$OMdJ3PCxxAjYhp(E+c>h zrM1=^I&^6F)ZuuJ?dywe3x0X+g?Vw37RjzuO09K*ftJfN7=J@?$5&1UnCJAMY>W@Z**ElHwZbjeH3IOD8pwUVZ(3YN=6 zc;v{DAK&{kT`Eziy(rrWaf)#fBZDJF(g($<}XZ^rD>{_0)%q8{Pbr$y*W7e;~)R1TCFcFwX;m}>O!KE=RN`xKy^Z@Vl*nirBFw$R)51AUVrbs_x<1pw=OQW zL_inW^yHIIed(pItW>Jya!Je<03ge{S|`_B^H$I)VUHvY!BinCx-;!r$qwsk^)LLx zXRrRB?-1*iQmKT9<#PGyPk&mYQNQiB+h=ATUs_sPTv)Jv#*?Q%<2lcI*0To&LjExT ziJ`fB_ahHZJVt3Ls>;F$(I4T2USmKX0aT?{E|Y-?U-FhEiHe)07kefHB57`<6ewoYIscGz`qZqiZ$@eu=@mkiBIh z(LnvnU;4tEue?eK#z%`T7=m&@TG$)Kd$ArJ$?1P*dr5RGJa|r01bKqmV=G@!E?hyY7;KwLoUNAp& z@rQE}*!49-KAQlsArUE+M#j`(z7K#tG6LrL%jLAva_p>gXwi`+&FxVxfLX3&Hi&*;BuNmY1zCRv8~Hpb`E*m{X0vUc^^>;M4Fy(6r$p zF@6sj=f!6|Y(QfZ;~w%x1b~tHV53$qG!w@TjR!UW4B!#f!g?E<7>}_UM%Qc%GKK%U zXJS0QJnm2&Fk%dWT@XURhoU854!0CwQ;bi*istZSh#NqG0ObJ;!AYd{$O>43hA@xq zd1z|-+;7})6Hy+Anwb&H7!EZZsj11M4?X>F;li2(?eK-0{S86QTL9XrSO-F?TYPkQnvoV?ni(A z^k-gh)6L)3N@2)i%FNwNty;bAv9X7NnVnm+W+MRJeDk-YZ%CvRF+-M#GzILOgcSwA z8*loiocvj9h1`tubKqy{p*w%|f(viF>04SW0C1L#&75ZCR6_V0T{PRZ79*p#Np zkAHMqmKkdd0|@hR&Lv5L!X*%~xgtsQ*x0_kdmoF8#W^2m8s}To93rCKMQi=S7ryYq3opF+=9{m-{`#e*C6Udb7tNI7oICsMvy&t#l}gWi<}*(} z{dDgeL==760DPo%3}Kr52q8`!JoxP!zoa&7^C$kjqZDr|j&QU}!NO=nlli`BKp%U- z$HAA8Vp+wGIqZLf^(G-rwPpcW>;aNWDQmT}>4k1)4M2iOWC6)$Z3bAoLJzFpoHPa{ zrkqepv)&MyJQ)1sTsbNT-pi+;s14Q|ggDij5uYeUq)Vx4wbV3alo@L*S*2Ct5@@v) z!KyWNwQ^lLFhIG7UpUw=2o?~K?t*TDh&(&rl0%^UW`Grqp`&xtvVlJ`cK`Oxr@@JG zqCBz73E1>R`EO7#ctU{Jb@R=vF;K5pYr(6XowyholVzF7GHZ=<7TBG#b=&&wXSQ1{ zW7ZNn9Ii001>i)hEX$ayN^R<9M(a(^8t_iFO=}Gy=wwrt8D>b+&Bhp|2m!2P#7&Ob zWNXpq^JQl7re7mFBJ-M%Dh2@7F#{kYtQDF<{fVIqyM@|B7j)d$OR;(3Bq*U6{ z&AI@PXl=||1_0PVDAozc!!_rac>rL8WE1iTC4h75h;)_>$OaWIuGd;4l5?A^^&X)_ zgtV!YmYm~i_2i*uJxP)z(Ml<1%nWNpX9Wbns1(P&d3t8LYqMho4giR9T>vss4b(sM z-m5OU=wh)QE|nxT0T9tS=lsfO8cj{as8l_eTp{nhLL?V=Z6f{2O~5~XQENRIiu^LEy1HLca;eXw8M^^0EaY~vdrBW$IL5(2LrGNMmRjvrB0KiZ% zZarqrcs&b|;H35c`p;j!_>vcUw{3~9n&?u=opa7PTeogKeE9Hv_ukWNHU( zBwDggBcXGQ+DizDNR#JG=I%IuEsPisFA;{ZUHjf zigh3R=tutewXf4!2OR{oPRix-&YkC;efHT8Km5=y?)ur9wd-oN`qr)6(^SCHG`c=H z$Hv$jZn)u|gJWq@qUGs4ua+Y%BdpjbELMWT7fu(v5aN`vv<4QAO7%%_dR|f z2@xs;0`n*X=Vi}fs{u}uptLS{EN*X|B9{ga6G zYK=KZV+&?p5dYg>0*T5y#s32U9(wqp(aob_LnvU0fxIw0mH!m}`E5-oHOys>I_Bu5 zAN`)uh@=U3huiSR-+F836ZAjQ2?+jatt>wRd7)(CP&<-B{=q0KnM9cfUB-1YFGgHJmBDH16Nk;LZO zTEj~n$~uw5tlzM?R31nYpL2F03ZNKL_t&*OJsWgg>vlLj7zS!4Aq;{683lb^NzyB zLK9jG?fNm{O?#V)MUs{=MWyyk?E9lPzxLA~x^BysEkcd)xLx?93#mj)r4j&$E7&EM zTyoAi=Uj8mHJwf;=0D)DS&4;8k|cwJgG#AOFTHeRWQ3U|x{)BTTrg9W#ZruI%FMs_ zl3%Cw+oA{0rq%01%duzwD1y;pV0$JAd$T4TZ!|fM5%{exRM)IEnzhE*q5VXVB$|k{ zPSSe4WHQ@sIZW6wxFw_!HBe8|RLpW?%QmfER|F!DH$fP-*jyw4Y}Oi$T77I{KM`mR z;(3wOGPAVE-6e-9GZS}7t$@ma);dWNk&S~I2w^{m);ye$u;K6vC9^0pA}1P{6|hwVhmMZF z|0C~Vwrmy@IYLJSiVzWuwZ^b>ELJ|^>;(*>)GImRW^|T`FiBLJ5Flh-x7abp_#_gN zoIrFe!K(luSSmsMDu?9q!k{sE9TO$#ajp}|7xo8wBMbe80w<;}FyB3{8i6@AmL?yg9Sr~M%sQnDq zo6{le5f7d8wXa=&@ry3;8Y7}olq3lu*^+BE8(X(-0S3ozX{lq4(OT<73;5!^X@z~= z>;7oby0Y%mUUNjIP(K6=4WKgcq4&N`U{g{OOI8ID1a|H`7kn5jHpT$6(wc}6$vGwj z^Xty@}J5^#Z(5+J|@E3enR?lntFmym)|bnHs;V-jqd7=S@1AO7&WFTUi(c^(x8 zK#=rM>(;H?xN+mzXP<41Wp;~;ONc080i1JLmdSj!T61rF*Hx8rB^O2ueVuI0U>*c7 zVq5@#uyXzTuYJ$e|MTtfm{}{Gq={go)#~Q$JGM(yicY61#}Xi^X;@H8W39dHHLtpB z|DO6lEr7*?9HLA>?2p&8IhwyYrT_7Be|y1mpLg=fr$}U20MtrJ?aX}cx#ym9&N%?k z?RNdJ2UCTB&$@QI^%oy{cd1qf3(8&N=Qy4 z;8|y%?TwKEjWyj)H#0^Bf3Y~}pS$V-I zoN`KB%W_m8K>RbF@yusF^I3>!t(~7==yW>4QbSV1F*CPX?JNH0n^a>kc9Q`{SFg`C zcE{}a(F2cp{v0hLlhOy?_t%1)Mzx;vrZRflSd^qmal`;X%yFy>AX9N@BQ6#LJs1C1 z=sz?2gw!(S0d(@Z9AN>y@v21LN6V^cXjfz^KHYesUB0?lEfkDg4(8F{PTJLS=!>U4td{lGzG9QP4s>WZ@CxZ}cmjZGr^@J&qWklX3GtNQO ze&Ti}oeaPBV%98ERE%N}pfhGd^qCNW{n$tSv8eYWMVr|?+{;I z?inG@pD7ybAm)arfouYeD1`1l|OcoRRRGzaIDth*G&yRgkM04hbKl!s=C_C9=< zy6|G6Ja&BS^+{{i?S1rq5lojBCY91k>1f{?UW z@~uDR2Rl21jV2MPY7GeixQMI)03w}o+8KNP<3^}AkZ9wktx73bH$7f22wE$_;gAp- z&BKQum++SWd1!lPZVnDP$H+iLdk*gLuF!>h>!X%1>JB4I4Im>QkROc<|uCg9ktQ(T@sLDiEqn`p%s@U-`;c zu2```&I#l?_WI^?p+H!PU;4){e*EL_qm^sX&ovL#2BFyLI7UT#;J5)V$NR$ux{T!p zc(J^|hkFfFrEFfaezx73oShPyAwsP+m&$>)fM}Y2*@ic4T(!<~X@un{#kUPTIEgv8 zEp%}6n)S1-xubK_3K5Z%qO_DUH~g4+#acqfd7B9=$Ta}*|8b}GJrCX?@~udAz9sd6 z+T@&sH-!^fp-z1_Z4@i6(Cy0H&!br-}$VT{pjw zEp{9tXhkAaC=%V5wL<5>Sg+}b^F(HyH4+pLIDnQO-XU}>T|q!_j)74m*r4VIb0q|0 z*;;SAB*?E=HA&yeXYK}x!3V*o&|1z6Tj#SyDTRp=yp$LO5>;XZNs9a~hzNj6Cn0%s z&~d_CNJP4*70AUtk-{+#lq*MOCPmkBN&12J{MpuR+qaBvAs?2M0Du&g$|Vrv5ddUN z({#yM>l}A87mT2sb8ouh4L^PC;c`;4)&Uj-Yw#9okv4$&$m~?m;~g`@inZ7N^}F7D z<<-ngBx zS|jSWv(^EB;h#Tq{?nhab?Y_&kT_6?#Ex~E$m1gX5#iEO$5@Nb3d{$H*4oc}_EWd- zd9YF{TNg~!5UulaBm>N~TRkHX@mA}gK6ve4zw516UlV2uiBKt>Bv3Aw0YE7&AX<_n z#$=Mc9RV`TnBmZ&LofN`OD)1uw^J^a*dd~L0sA6ti1h-1kE!MyD|Pd&-+J!zEXUQR9yB7l@rVC=^FMEU@o)dOEDnL`wbp5pkn%7tA|*t|SPs_l z#t>p=t^M3*KmCcXeJRn2buJj4#d-@Oy?1iw9KUnS^~ML^|5tziiOPR;fB`kkEny;4N!5iuNL9_kqX6xZgRJ+{EnI zI%|n;`tf(_jm9fp`TJIKDh0gJ|3MDvW`i;oAa#G{(g()`>?Nj?0tT0(gn8FJ*5cun zJcUx2Ze#%T$5URa=V&yJh!}hugNoSK4g>}SAfL;Lizrxu@Wek|K173hp)_P>Am4~y zZ*LS#Gund`fK`bH8`T~eD9&M(9559d+Z@yMC`CTLUImgE8WOTF)`WsyQ2}p@fNFRl z1O*ynw+l+D)ain?X~frp$FU1|Sz)#V5{W+14aO;u-uJYRb9Jv5HH~8>1O!3Bm-Z4- z2o{Wp5|3EK2Ze}l`P0`gHU^Oyz&XP^HjIjao^wv}G$Ns6CvM;#1}dn7g`P(OaM4_v zhydZqr#$J-dw-#oGR)4}#l=NytaDB*?~)_|4}<23`!G6|GRqbqtR`R$5L8wGfGo>= z&`%-&u+}Of8!Wj1K*D9{5Drgluar`0l2~VD))>6WoOCA|6J=S(?1+@-brOLAG0r~% zSm$IMB+|5XPHXL)^C9rUv`U1WBuSZ_R@zuggjr_9jT*!V9axdF)>>zcG0I0ka?ZMi zg#{U8A70a0w1kLz4~+|Q7Y~ECuGJA0JHP0$+?+;QN!r! z4SkRAJtUZ^teQx;IpK}2*${UnAq(RS^l&pQ5>6n}FtZ2%Xst1!RnFPshMvEq@UTSo zWak*TvoKY#GtH}1N^L#m?A`5Y2kgL29X%A~2k>0NqxXtbCS63N=CBG8V|%N|!J!c| zJH@po0H|`cXxs_`oD%fbSc~M>hRtJ(a2yjvY%~Y0HDHZW3X^32{sZgRuT#n!-52)) z=PWW4;iD5{&s9BmZa6%1`z?+xl$>W>iW9eXf~a*;4Gj5okT7jI~$v7 ztzl~vDaX8b&+b!CJH2mC0kGa^xS1nvpiV>5L>w3XfiwNEHQxt>ue7N zamdErJK_;|i=@+Yy`K>Ms~Xjsw<-m&HnJmj&KhR{;K=OMK&2XA6)CMqa*cu7RBKi) z7H=^5=UfOh%g}1z&xHSY%k^Kl@n2tl(eFP0g69f&DktI)aQ1kD#Q`2==9Mc~j*N_4 zcinYz{qe^iH^vMP4~r;OE|;-je;Q|5qS?lu;zFL7n0Up@FX9!eX~k*=*{Bczs+Fp> zHm+MPE}q^|jh_SW4G3$T2+p4nQ00OxaMbP}IrzYH;o@aT=tMbD zo=D{cYB_`c&N;RxZT#a`zVN!we|^iAt)es{Qj(7*c?d;L!9n{WGG^HW2w{)0bsj>J%yzvh{^x;o$*}4_9MrW;s zQmG`eqkzKBx~PGRENBcZEiS(O-S4>Vp}W1pUy$YM)mlL~<7N8}0IZW+ZvP%!3va&i zYDob>gh`T07+E3;Fe3}*ZcJDD-YcSZ~w}YLWGhec@Guzjdl^c^ry=RNW|6J zm2Z3Fm4Eu@&wJkU0l*r=>?)NidhIv$0_!RQIAht&)kEE&vgIfp*Ow$ zvMb*5wk=z?I_HQCkt(Z_S1^2{b}O^M>^j{}W(>32zkmPBUiV6yBvFmrym}pQ5OA59 zdC$Z+=Q?l*H5x&^B>m!z|5~p%FZrz(w_B|=O$)i0Fc`DtMeLviVCpg0D16KxiYO`< zF)Q##8JrjET&vY0qJe>`7)st!T9zS43q$5U$5xaF zYg==3q*QHSKx-{0Qr0;lLS_UXaZor&KSIGh$SL%yUVa<`Q3#)rL%C?_DG1)|?3_}n zUaNUf36LB)biTS^DI#Bed^#EH9IX_+ELZms5rM1^(8&QlcK%NRbTG!u&(9Olz`%gF zg9CP!i3q}(S)f<}4tueIWr*9~E$CH06UzTWB+a>2%Q=^21~IoLBO*l03~znQ8}Aaw zZA1WG(HLeqz7zdZIFtiP%@`dl5j=R-3m9|Z6951b-gEE0r=EHWhIY##ngr{W?N#eU zd^6*=8gjC6IMiW8)LP4_lJoF{k7pnY(;v=>s{{Z5MBv6F2+kpq2?)NJy{Boal;+@< zEt{ZBlk@qP*cd~E%oKJy7GPddhvmCK_!PFYkP!|6B&MOvK9SbmB2p`jgw|T3E=YP1 zh-uWAEy!^xORNtE#%B4n7BIL+C-!NrNgRF{0AS0yjUM+6WzWHVIV~Y?UcHeE-{sec z6^yRl(7W!jV5XX59>Ihi5MXnU;VJ;&Sb$lJ_d^fYF3@RsaiLYm?y)_!7v2DJ3`wPG z5%_}uqY%-WwHx>Bo|73_w_&t+PpktGx1N0V!>uVFemO14I2R*A%FWH@pb!BPRr=B- zhDw=vaA+9Yb6ju8>3^}t_$owfHV2XXQG`>8Ol)s1bO3`_UTAZz z!3efgPQ1VWu_YWK0kCri4jfpwZf$`B`$yKT-{{Yl5HT&?^|K#6>GY@cjj;b(z}l9U z7Spt}{2_bKt;%b?erNU20!P7_+^c(@=xrJ1sStZW_dfb;4Ahyq zK2UGXx8j^n&dm(_gtu5s6PNR>z%t^>D$Xe*7PwA<#)?%VHU{dz+^E%B^Yik)sn+aJ zy*b^Q9j-SSpcw*lNiz^}sy!ndZK^ff7^t)FLd~1)=iH8C-4UFI<@m;la-uxJ%L&-@ zM0ui@d-wkAxWDzvq~MiY0^p)`jc3#dfNUJ5iOw?9HMWzfBq2mbVj@QbNdk!`=O8nT z?%jP0>bx(F zff@i$&Q2o$BJsM>KY8t?fB&&BZr{G0h=4iEy4Df^Mztv(5lgC~Z`}BePyXBImDbFh z3ZT=gUe~KVqFyd4deH-X<`=F1`CDI`o}PTupI&K<(OMgmb-RctD5~h5{!t<_#vD9& z@WUVZn|sIiXr&pTl$N0P2=|xJCIsw7ZtpY(-+0vwjGPu@7*P2%yBwAyY(u$z|C>$-xmyzWI~yKl=Jd-~8sQM@L7Al$ewn zV~dk$twjV0h_JA*@X60yck^xEHAIL|N=h>1Q7VMu_g)k)_FewRjiC>G^1}xYjKBK# zUjrc2n1vV!GTj^}-CCDrX5YTY-f+$TSPWvQ)_T)P>mV0B0RYA(_7|yO(@E<9au8#3 zZW@UoNxymLZI4~?hBv?I%F!*Ol3(6gZ^g}ykx-)63If*YEHT3u{^4_}qbg9#so11Gk8&Ox`p7wj=Mv`*~$&-|K0|RAo zPyxB9-4s#MaNgpl-x||yw~e<$akYUODFPrl1_|IN00k5_jxL8tto?&pI27Rc-%xwN zaY8H+mt|SI-8RNRmRW0?&89}5GR|3xgyg_VN*2uBmLXRvyy;4gU~>NwE7tD8O2|F* zGn38DwVbsk%d#vR8XP1b!ho6jDv^MKSz)NIzZQSF@GEmjej6}jA4G*YHo+NV=jZ3c z@sDXXn|`7Z*f|2hBQe0{Ib;zsW)$}KV_FgsZwd8~k6T;-%*?JeHz)XYWFtf*Zrw+Z z9{GdIUuDV_fH)Y~tkv0tIJ1R!M&;D^8nG9|=AKU+tzltqZVnhmH*LOi*WKMt7h)P8 z@S$3?)=82|Jn@LaaDXxcQ33#1BaR?!jTHin@gM-e<>)6DnQ@>mR2*aekk&d)QVE|8 zMYsVlNfWI#JGa#7bUGbo=K+R*$0PaykJS~1!GFoMcOW801f`X@)23-E9|ZWwvW$=% z2QLi*$IcpyJ+I_Q*1LA?+OcB?2PQu;`FOCH_J6mm-2}a5@5H|Nc|~KeQEL>=BQQr) z0RUo#sC)?bPV6i2-2Qz|Fw?#o$0o+(-ncAix9qF>%4BP%QLFcz9eE+w^F?gb>Qk*5 zF;J9Leq68)^VJ}ZtXN5pA_D-avE9E=7hc>aLdayTTD@-f{0yRm4|eKCoAigIgL*Z;{`8+INKtcob&T;MPqd^M05{7IysqPYV{D8=p+xrdm>)8L4X(l7!5 z4AlmY1&IHXHF=zJoC)ZMVk6PuGBm-x4Tol}5mnnpt>Hz2<7ggob=jYCZUKFL<6?{Q zd~*yDnH{V*nR&3*=(#&Iv{9>1w`b#-Ycz=Fo(kkS1mLOmT%e--WyAHsm;~?c`+s`I zY3D98kSEHC@`NcTVAB)jiC&63!Ek+0tl{LEDGtuz4xbqzSnqR2X@n1KO*JX7 zWalgZGSav1yzM_Oz4#Ab`iEzo{nQ;hb})AVAb3GCk+(p6=%I(cdgIr>bH}YjN*sd9 z%*KjqRj57hFb>um5cC!zpJUx8p+S%PxJu>y*M0bgZ+!LlU;Rfr&pR(B|A}s5a^&7_ zx4-qxTmJ4#pGG39lme(#2jU20J_)%LRe&8lRx8=ng96V$rAMY7|C6^~{?ZFye8F>{ zzhlP^D0p`U!4<4^yLa!t{`!CY_d9hR;iQ;NsLUf zKx1Qv_EU&}CQk|kF9+^}^#&16&dvY;13&cmf!AL3`qNI@_?p+g{+x5pbpT-5M>H!K z!Z>HYapR4j{Fl#Jc8SuCxmqrR=+a?$5piX6XtFgM7r?&94{ln$E=r4owFV(h&dmt^ z0%_?Bw|w=k`|o?+vo83Z-+hU5wrDC9RR-sH_wL<)`nES^iC*Y*5}hPE=~2-FsY|sI zPt9u=o+Ad8+6SxzWOVhxKl`h{-$Fxot8>s zLJwH9q7o{4?9hG$0QS?*fZNt>@@ayRS2TwnpFS!%VL3@}{>iOLnL3?LtJSX8Y8*mr z7TATT3*gvc@I?>52rWy-g&x?BBZJ_875d~b9>nWr+2Z1&4O$ie%(+&h(I8?0Mlrx- zz)X_UPh!0AF)S_U0@1E8;_}QOu}ov!W%eFwuG{S{E-V;h#QF~r+wE4p-r(Sf4wjh& zLqudximte_17q_Hv=_XD0Fnj^PY-Ij0B#v$TCG;}c2vyMGc$vOgG}r_nSNJw${wI=Up)Sl|dCJ@qPQozx+@C z`2G8Srjrs$4k`vj+`dj=ooJ5aVUL1-4ngq_6Xd-Kn335@<0UjTDMG{0$vTm{rj=xV zzT+)P{R>GcN)lZvm69ZZxP1g~M;D38T0p-+aB#?Qj^k~yo8TQeJ@GmZTw;`zC_)t= zseXK)>6iG4&E zL`oc8v!RHn0>GYw`-+>am;8j!A|T@EYLA&l&aro5Twr(x@MoqGy9mgFyo19<3eOJK z8q=*=A15E6n4LVYA{-t1{V49E)%u>XM*;DY7yVXj^NqLM)LmNo?)Pq{#-OBF!p88} z_!!Dbn3~c-u%s*ZFN9W zN+s+b8-EN5w{F??q$fRT|AGAgaMzu8W+uDsyEoZ^nvaxUt}L?MKe4}DDZk*?e}kD< ztz32Z@x$M^=^Nkq-YsfyrB)gMw4(N6d+FfPnl)>7o_pTur#)%c{kv}Y);BwyrGNkK zP1G2~0GoD9XKdfzlh&+#+Ii*W(afzO(H6SWd?aso!1N(^Rl8Y~i6#mt( zU2n3CltQIt!L=9Xd$7phG2JIt3yJVC*NeCG|A5#SsL!kC<6_E-&6=7>(UT{MM z7-10|5Y=wR*EwpPO$_w`N6-AS6X9XB|Zm z$4AgaM6k|5Sepo_6cVXm|J(Dgi2P#jAH@JZ{ElznaJ^X%-r~m@!DUCb2U9Hy-n}Sj zF2O{bjh^eh5M&&sKFPW7{qag)Q#op71vUac+% z0C3%PH~fFrjuYiXIbQkf*FO}sp^^Gvv(|{{OmPDU>{y&ny{arbDl-#8k{}_7a^1~1 zK|q8=v({*g^B^QUL}i^QfR(L0MJno6lM))~uUu7ZeKp;F{5OO!5SY^Uokd-dgO zR-d$L5Tf!FK_;BvH=UOMc_U&%5Bc(6ci^+=U)^@PSW!?&Fz( z#U)Eb1Gfdq?wS@gi57UbP&gmNIA#WIuSUXW9yg@C6l!bqEZSN zZ7{0MvMk2^HZ$g@KfQh8=#ks*{h1uTio&T#0f1x9jL8uV$n_Tt={Ti^eTNPN zaG^Lt1&tH9WXv;uW?9hQA*5yzF=KzS;_55Le);)D}$C{Bx7$7P_+ex1Pk(;LZFm2K zl@{bngwiZC&a1(`{-OF{5V&z}p*@j#|#Tr*7DK&Y8dRtIzp0 z=UlhjJ#ysm{SQ3w!0rckPe{ilZ5L+7F!Scs8+rt5#IcF-I0rHq8-vMbam44^Ey;D@ zAjG%LvXeJ&KlhBM)@${roN*>1b~;N(j~w~o?LWHh=Re|7*##)mSnHTKuU_9HcZMd6 z9U3npn#{0i^}6Cr&$e4rb2FaQ{7rx++p%%$uRQsz(a|j%Hg2-kW?42ldGv=r`SFkL z{wcGc>Q0s!YZ9I0C{3TuLe%6L0L11jKo$Ao^CS-;`8gSL+WIZOcER&EkB(L<)%kXt znQ#8)O+Wd??Y2~s)!oUmKybKujgOxtb2r=C? zJAHWah_S|5r+`XJP%4!aQCccNu@_KO>9DYpigpco&p97n&h0!y`vO;CYiwqWAURg{NbMA@w+m`t*mC0aP$A^i`Kj%Jc3g;xn`N2Qo@E&TBuP>#l@hJfG>sZV zA)^V!CdBzIOf(d9s(dBqEpi-TcxjR`rrYfbW@?S$5LZ_zfBb{RG(^7sZ~;OMg(2ug zZI>DUB4*71&be;4V{L$~nJEPJEtN`GD8BAO1B$cXL-R$QH8PYi7sWkDe(!X;93bp3 zfBDPfA=B5(gl1c)n}!$b8Z62-vBv4|09(XuJfO3!u^`8o#z zSX@|GTI#&u+0T2%)1JQT{#}3nzdnfovxlat)rumeh)5}|b($unQYj57lG&rg2!(Kv zCNtfvD+s2X1^B80+E}#1%Eu?P(|3eOX-TrECE#(IBuS!`){1)9G}U zmX@+^CZS8M4MD$cUZd1>%p&%atCUZ_m}s4(X{l7IRLbRYiAV`OiPgU`S+|?X3$rYf z6nR2c64;a(thGx^OV?a;&Gzlv-};x=95{NYT&FD72nGBe!!o??I7^Yf3-9F?K-^z67% zt&I#0<))^E29F)u@A*<_)No_4S*y$E+4|M>xFs=>9NBH!hRJy z;L+WUxeo`79oiqvBy$GA48x6PW1ub@nD)F!zYYQ8??B(E3CP1Q@C}5wTvdFpX=o$jtwrT<`nozjj^3cISn* zUbX{{UB30i=s^XZu*{Yus|m!js(9T$65^QqHQ;aM1#K_DJAoJ1ry@{px+BwF|qJ%X^1VjbF zbQD0+(=#DBagVTQm%uSuCyr}?2u6`2WJc?Kjq#c*-!(F_QV|}VoWAjvo9};cSJ!kA z`Sepx|IHV?aA;`w!3XykmoPiy;3=n`asGMFonM6M>FE!D^usgrGnxPZ&wldRFZ_** zhlhvPZ#bpB0L7Kt77@|fBoiwQ5E~a@B;$Y?9V37oAtHbRpArWpgA|7V zN{HwfnZ@P;nL+CmeCRa9kbSpDoyFw@wW0)o00mFMSdrMAPR-5a5|FAqdicPV@3^|x zln7c2Z7!7+p;FK*a$vxb@-`f&IWdch?*xKRMk9zDDPpZ3J$&GiZ;nU19zr6es^zj$ zs!tOH0Q3eQg@fb1W9|aPBZGj2L)I9SRXS^w`tChH{mw6L7Xw5FCZbBYtSBbK?Gbk{ zoJ;rq(mMtDpoiM?(UOOW2w7Dgo)~|4V*Eeu{;B=4l~q(Jm6TGdRPKc@n20z8)Qgqp zqFde|E;a)Yibv)EAjx!4BPjjIk%@=D{q=vi<$5ujDyJo-l_CPI|52~9!(Xw>S6INhE_29zvdM7s}-KQgh;T4%pxnK?}orAPoQLDRk~ zP1OMs#v$D{MjSSaDi-+2wlKP8L$IFk^^Q&K7wuP!h{VETY+^r1Y=0TBG<|qt--8Fn zoO8z7RBMUxr?jF%I5$Ovm>?98JS2tY>P*J6A6iY=C4OD>pNr_+hZQhy5fa46D4XP76S>O&^`#-WHPF|%0U zdMpnBL%NTcuVdNLKMVbJ9>4ZuCXNFZBISyAT}_IJ6r?33C@mlWFu=C8n*wVMI(lzK z7|egVbGBF zu-nbL-Hy+E(wn=*#aWk` zEX$0umI17d2HcK|bY4t)R#-2X!_A<`_pseg(=-vASp-m8OE4Vo_ux1)Cd<0ky3qI> zCbrfdIB;Ocjvads?n_Ilr&L4$*c@OT7E@(8S__RCsSVb9?M%lG?f1+R5m+AQ;=$A2 zgX8iuaK48^fx<`EY~Wr4*g^>#q%*%lzQnGC1?WnMnrakWY(ZQw&dT{(HuMWl0NA;k3G2K1%Lp*YYL-(s=qMuRx+!&}$w`L{yv|kMcXAL1nr3wgtp;HmqJ!Zi3W@6!AYoQ&{x8Zto zsx=dQZ6K$}ei#qEY=HS_Eic6#dl8RAL&v+`^CAyc#s&}7o71hi{wG7%j(MMNP4NxO zwz3{0T82uOZEAg>hJcNMIs+rX+_3 zE>2r9e%JEM`~ODi-6o2{aIyR!U5~Hw`UwX?JuCt4S$h6X5Z{ zRZ-aOTiN*wF>f?t?=V1QFUvLNSo*?H7Xtu{9e(5l?sTF&!OICJ{S)O0UG8}B*7&)f z6CWeuc<;gp8ex#U5Wz?O5;Y3}&;e=!KyZaK%^+%V*c0+CL?j-|p(W^#mzmKzvDqZ& z-07#BwPM98MEd#-H{5#1cO|8+H22Q?e)02r?<$w7mJKN_s?qPi;xflDH97Sc*S=km zLL}n=0q?l`7pqrqy5QLtI0v0B5s9la7@Mm!NEAd7h2jG)dXz>d$p6pW%M7=6zp9LWIA=H5zDhU$aMjCtr?NZ;D?h;K$ASvMhS<@Fn-l_C}MMMoUl zIva(gyw70>k0T-Rl2ooGdcyuAnr(5yAeX*o6;yzN6zXS{hx0WujTArlDw~9wKg**SFwt+VffM*s9_8#ivR#) z6Z^&f1wiNyh8u%ZbJK+`$EsP0*_Su8qu4DD2uN-;CD$>8|6gYLh1m)u6A`1RT}D_4 z5s)N#H=-=PAe}QZ1Bd8-(E^C~9^9AXfD8z*dCmGF$>txuiVMwpy;`nJ%}!6Z=Uj+f z+mC@3I}jJga*7QegBAAOaO~S+IrfpBn>jdXFE`oFpDjh`un(>4$wAeR;$cs3J+Kw- zkslo}y28@xYeO&a=Wmer^^QjLm5z-m1`Q|-U1;0?#on8TTb5LHqQ4cf_c{08bIzS| zuDm%^K~Fw>MCF9DqX z^8-~L+(I7~%rr@0{)9b<*Q*_e>o_FOCdOra#xbjNBdl9B%j!b(X}>kP@&MqngtFI!OV<|LXv#GH@iGo3rCuD zRnxRxeEAM&_^LVFR}gA?s<$%e-=9(eV0F+Rv3WX{+G|0WOu}0q{mP z!%c|r1^_%1OViz6g-friXdQeQbeU?Eoh;L8A!mFWTU7(5Qt&jH{?>?B#t2xs|oV z*rI0%Tn3ieuuYtSQ0UnC>6w5~0I=4iV^Ia39i4HKMM%M5xsT(Sry#Den+ps8^Sznw zb< z+lV2{wC}t`8-Optw;JKI6dfBk7`_jjyf46#HHO6$i6G+b4+ z^UHZuhINQT6g_;J#L=xcE@~+P;uPy3lhRg-mkOtwT^f);mZ8vU%0K|A^0M!`=#|&i zpaO^c$8^|YldJs@`lm)ql}gn5yb4}7CJRtqBPCRRCM5LaCqG%VZpFpZd~a51JwH9G zw6ZMzu|Nt67M84n1Cs?yvgDOFK?J20xm%A!iUK}UYoS{`$el?zv)ut5ASk6s5i^&j zEX&fArYMTXKmOaF^c{~EYeB}^$3OntC7UNc;jzYwiCAlleZw2yY?+l}%dE6$(EH;R z5{u*@5VSX0YLzVt5Lqy>9TtUXcN$}Exc<5uZ@m6HZWcfpieN2&$IXu~jj_fu^An%= zShoB(ANr`LJ-3@F6%}ul=&dUXF0EX}{P@dSZ;WN&kvCj-{q@&nT1#!&+bCB`J>l^; zfnbb%;uCKA;9vh82y&1ngJ>)(rHPa{DZ1vW2Y>W)pR(5GIyDasPS@b|4 z0Z3P1RPjv)t~|Klwir*_cinR;u$K&oney<7Wxndr5hEtfJt}|V?CF#i)5BGv_`oPM z!V$LW`#Vr_h!1;roCyiFUszjC=ZGxI@Vkkz&aiLV336A!eE@bQos#L9<^#VGh8CG* zEtQ5`SX&O}*SnLQ3#-fQTS=b!g*i-nyG-il%650VC|$0l2^?UZWQj-z*BFcHZcPc} z*vRh1`5T(!6V7zHiOcvDxRRc69Gpq`pOnib(As*x*Xhdmn=lZd`RSRImum?3D}GF3 zslO1OyUqmHW5UiYt*vw?r$TyTjU-+h^n0D2Bo3Jff%udz2PFMZAR`y|B$M@iRXeq^ z?WQ$v-Vq|d&o$(&x{ZZQ;Q4AtatN2r0&m3dur=4RBBHd6pqt`Zgs{&0t(AyagdNF_ zYpqL)uEr+{+5g3*AP*1FuiS{|&0=6Hyz!avRRrdQYd2^;DFO#s8vo7(hs zwQQ~PryOy^6k?7Q1YcrsL$YC6WLj&bYRPtrVdw#lxm2WP0E3NnPWnrb@KI%k&>Nw4 zffKDc$O2F#_lw14e3Yq}#TjKvmg%>S{)lW^sd~S_SSuP#{sU(3;wI)Plo~8q02kL* zYP?tImw-9@ZsH_!5yD+HN@H@lwn@a`g?)#lGfPv-M<>spsZgc*0A zJ;fquc>}6f9y}TZY(a>uClc@Y#mxn01Cj0g75fGkR%`x|6X7Qc7Vz*HC(C0xg7syh zd0Zqjhn|W*8e=VahdCkVaXBRm*XX;55BhZ`hDtTO+7~-1h2vML?8e@ubVbNZuZLEo z`btHT9Mhgp`ynKD<0Weg>JFOy(&(3|Y9_wYAgXBZa)3>%{lJMkBWYlWtkD4ZP|H80 za9vq#{^)*D8z2M%Ao|dUKJ>ijJ@4p&1*OO`Yo&Fj1NmCA4l-3B(TWv$QYn&iODl(1 zRw*%bpa`%4Sq@rep*CSa;QcZM1<7rA`nC-;mq_`PW;=o%8KuDp@)Z!LI7RqBc*81zC8yH?AO{? z+BY`Sx->@6nW8LP?Y5}xDIzhOBaJe~+bX!FyO@5MT$q0NcO#Y>8Q@R z@NC6Kl7HspImC04C*t`APMllhBr5{M-n9JePIbldY0BRo_eim;1tB=IPWiW`{%SYu zJE2kpATknh`g;@G)#S3tyTwc{{zP1uXtp!GvcAUQ3yup>I0k0tY%T$k$a8}XV~ubW z1hQiK^@#(8k9wptAWU-U@-c)y~?_6ba!;n=Hvrn|tUk$K$Z>kdrCK1Yb zt~(R%>8G+=$UoGXn&R(cNas_?uyMami8+cjO-wS60En@$pjep}xGmJp4ggqN zA53?;QuJ&>3?W$NwT;2_R2R%PuK%%t=U48*ZrBYE;IIpu?uG|$_|n%toBphw2D*Qn z*afQp3M`bfJ4i$U&fFEtKq?}(?yQ{vO=1NVh7q{HC*AxVcb>TO^IyKzGFlmo#jpO-f0mot%gf7RSYJ#5 zXyur95HUzDPb)Ap3Ja~APeW?~f=YOF%g~D0Lc}oHqrA#3mDVLDLZ+y+(1g;m)~KV? zwPV@KhLIym-+9rhj=$zpNjBZ-0kpQ!&$HaJ^$n-8G;Hg4SbCB}^$@#3m&|t_#5oIS z001BWNkld7 zNOKuU%VSvb$kv+ZeMpVXfXAL~)G8393r<3k&|TQ2amz) ztAbaoPf{nzz0wx9pxIP%O9-0-)}P^peb3`0nBNV^VE@bsv$PfVku z-zXA~CxIHDaP!SKR}L=)u~QDJPQM}lNY!nQ-JSq2De`o}$(3SGW`qBfk{~}OCMSx? ziB7MZw{ieQS*k*-VWCP*jJ(~_N@qG#ij1{cmJuL&Z3L8M$>5?aC#ELCT@R&|)>?U| zRc?1y&e0fY1&}pH7n$6(F{QQEhz~IWTJ2W5-4-+yT;DK-RI+^7Znq3u@82l^r^Ikq z6O>lU>ylfKm;+8dUAkH-&~CSMRP605I7 zWXe*vvf*&Z4(L_;X68fp$}rt^(z&T+g70f1bz4;N(CHpF|9$3lR;Vg|<#;__hi z%7aJJY}PggD}yz6LNba`cyhR(xKIp(jY0EH=R>;n%eyP9x4%cX`Qrx{P=#+#oLx*e zl0e6mDHfs`AnfVQh$iOc*5L^KRIgI;8(;B9MDzpCe@<9)JdDS`_shR=-NPU8)!V=N zyRZ8LX~B0t_DL`P$)6d`zP468>jnRAWxXFu=1Ol-IubR>!AJL|x>Ym0C)~Y>qiO!)oT1jG_@6Il-2TJ4Qm1JKL)~JbMr#n5t@pr>+c;JU!*mO5MfP-6k zoy0T3J_13)Nth?c`ny*u>^$BWI}zZ0#Jj)%Gi()LVmQRmKv;C~qy$b>_Q=5$#ITlm z-`;&hbjKZcFo}Z&0>dz(#sE3@Q^Gu0wtw^C4?X$jClS#LpZ9`L^wRRuzP}OtyhR{)Q6(*s6M};JwCcWiUf5q8WdDC^Bqe0HE~OP#U&T3esg| zk0iH)`~C|*k^zdHn?wyZ*QYz(8aqQoGu@uZDq@sP5gE(Owt@!u#1&SIXkCoQS9J~Q z?Wk2LL@@(oj4?0_0sA6hWRDCInooe;;wNfE~q2fMz*>#SeIKdK?X8Z|jYl*mM!BXOQn8DPJF z)XMb@AYi)NWjLK*d9gBBBe!Y=ye6K~hqjkx2_ms@DoR?TMWi64Z&#JxIZ01-Ub)^4 zo&+s3n>e^O3^e1ebEjpboEIG6$}5hJFy}Ijonclh=QN6CRdg4W6%nH~(GGoE&7^_f z7h!1>JEKPYt}!G?VlW>-N?jImtrgvrag>(p@u{?3MN%C&B&cElH{nk-I2c#u^ti!S z27bY4kidODs6ePvW~~wNcdSgyaJjwL|6C5lt z3eTp^u4BPz6Md;k0||iKvNy(B%kCn%r%x5UV#%sNL=;U+hn*|18Irkk4jgt(=lzhv z^$hZFla*64gk^SyEWj4l0+!rFI(2&SA=g};=}ardu5(0H+M3ejt(H?=(~7NeV{I*n zn4q*K1<`6HHz}1IhFD@&1VzNoUZ91WjEYp2WdUU^OG|{JEHkYI)&z5D42VRNkjWVV z5NX&oOo6mgnI_ScEX5%uGqV`;5nhGavf*>*&J&Snk1FqC3vxKE0A{T<2oHVeH~rP$ z{GAo&S4#TL?hJ6M(zUo{pRufOt-d%6xM?K>|9s=Xl0N$rpR3XT?HMO2h6k z>~sWx`WpkcCA;C^s}3Fs%$S6`&z=rt5>a%V<+D9;HY(@JN_FL-qigE}q8iIhoTQRd z?d8F0(unF3LeY(y^g>{+*4Fz0o&5LT|Los;(`y3iEh!IQaTvfif73UIul!Z_`qELm zlv9Oy<2~iLcZ`I%1Tr5#81JuCWPy=QVR^77_Iw&}SdM>|2W!2Kb3_)fp-MHlB6VDX zHM=C&)(}pfTdWK!9BUdVPaXyv>*6Ynndf`6VvllVeQmnilYViIwnnNG7^K0*`gEt8 z@V(X6B=}=WNZ9mGsrFXb1*_GBC;0A4 zgj3U=8dD<&D4o z>JS_Up@Kk8>;X`149lZt1^6P&ZF@mIr|Mb zNTxMTv4&qHFI>-$#z*Xo>ghA5(ntXMo9lDaGa-Fi#N70Z)_P^ID({pcAbuFO;4D#) zlpx-LYmsA5gsUtGr%C;F7b0kQQ@9C37ZRsRE`!Ytt#z7F71oWV)!3R!JV8N$p-7ST zek~{t)yeaV%+N}Q^dtH6_>yboM-d=pF%=OhoeFMJe%iO0*2x4!N_1X=^W1LIyjUMu7JKgO8ZiI<&>g-~sb=uv{judJkEE#EBLhx}JsrH8Qb4qEI4$(NECWZExd9F93 zNiBPi#tf`&tgmhi01x^)e{(?qjL>#)`O8Vg$Q9wELwdxt@H#;r$EfsdN=`(+QBleS zB7IP*Iw=#1tyQcn>{Wu9a;Td7#mv@NtE{q$*;-?jAtV0s*j);?Al=d-=lWP(5(2GD zn2CsciLWX`IFJ@C7{@pRFBm7B_%(4HqDP2#-q{P@NpTJ-b9M6#aXC^jO@M~NN2X4# z_^=AqUMsYcygJah?!*% zYPDK<{iNeSwyUCShOFvn@xx|_lTVwlnxV{B>Ia=X96>*)cbS4-yl-4@aq?9#gXJ<$JO|i3Y3ka-inVCQLxi9?N|MSUNmWw%7 zE1<69yO<<{0#Q4~s%&N8hvTRwWtm1R+Y(Q37>JbpaSvP@?(gj(zMt&Kd( zV}{nUMsDV;gyfwj)W3WK~%mk9BWn5hi@jc^DlWOU-}V!Bg1 zH&z^AAwF?#QOovCAYiWN?i0*S&x|s9@@-%#gg0AG5Z($`pv29kn}kUdSSclklmHg4 zIIKN`p?vdBoH#K*KTkjy$`5_&??3;gFS@NUS&IQ?rk7CsN?M0W7><^W$$ z5B{~63w-rbwxdE?DR0xj*Z?QbEoz-g_Z%1}Nw2lIEr3iPDMIFvWTq2tR%>@5W^;Qh z*#Fr#J1++1~WnR@|5PF|AAE!zW=J)A+EPH>OARlSIX! zAuFUJSamYTD*4$_E1Eq;RHU{<_9UV-tHk0+C7x|8Td7LXp|+#4>S$J#_)CbxAuAS> z3sEriOP*8ga7L~O{mu2c-VB_j5vzl5+eAR)=JFQRBOdf&|au2-nt@UJ55!lt|7 zfg7mi#xiUZRnfM#0lNeV2tx6`qi3d59LVQOh#ypM7Su6HCtboV`Ye{Oe3+k~Pq(i0 zqacWRK8yhT!>zY``qt0>n@2qIj=SyFpP^`swf|F_}h(v{KJ8oDm{rrZ==$LS}lC7 z7^wOJCcxSHB(K5gNIUjL3aTVrVzAGJ>KCH0NcH51DpX1Qd~epHJb@gOo{S3Lp1Q)# z@MO3`|BALx@MRqGI&p3>0Ju_0)RakuAJ4$W`0A43PfaN4sQ4w1GY!n}vQH(t($spO z>243?XtlaZ`6l})-+N)kdX5wk8%;(cEM!%Z5C8g=A+2$=ycjc(_-LuY*DKala!Eir zzVeDAP9z}qluxoo%3+Cu_zzH|m~6Thk|MH1mTg&`2nNWGEhLLz4|FWKE^^@9y zKbk{I+yTrOtxxT<1pH1`f`AkN$~meByqUZjOrMA@&Fd08#DOTOIU3<4fvZD;UQ(c@ zKnw}47c$~-O+JkKX!HHsSl0;OM9Sf$f8?)FgmWY%hSPwjUGou7-Z*Juit-;Qw9aJpF^bX8f5~pqa)bD!A`e0zK zotvM3_xs=LAbVz!axK^plcs#CT?`8Xi!-P&ma;q;u?TETQ52oI>9XH9Woaq);SJYa zKiiwm@>~GcJkN`wICA938{hmVA~kPZY;erIc;?K`_IA5Hp_Nw3Z39JFIsuyrL`7Nd z+rM{ptB+v`VE(}ViOH!}yS2Twt+l?mv@|g>v9-0Om7+MZG~1i$Z*GLK^;lWMIz7s( z5d1DeMC^OOm_L2Z8}GdH&I=bV{LGL1#0@vx@Y+9q{pY@Xt5SNfIauzmA<~lM#}$Rl zxUtlf71Jf%O``tB`b@VM-updMsJOCg3jcKC-02E3%XDQh-Qy!qo?Aq+1sp%*%?k+s zaPD-h3IWNHAU2Y_{(m*Iy^r;yWC&#e&t;6%HkLnPG1%$EhT{OIe+$s9uA9>wDQ!sGYD*j z@8py3D}pc?&g%nFRb#(J;QO=%(I*xc+EXlm^fcOxTY8RIJ@RBG2~T7kwWwALAs z8d=u3djB-t>8%X<@%uRBk^PAOa=k;6Dd3v@>03YYm~VN)Xtj64Zg?PsUD$Lt{Obtw z(=+MMv37PE7yW8zh7VC&b!w zQ$PCS0ABUSe_iD# zlBP$LYr$W9)oA1$-WnN+x2uD$g16}lyaQ|Hc9l2)a_h=_T4WVG-~bS;oi zIm#p^?UBFx8v~3Dk-MrRh{@j!jAEuI&o9;zA3wN|Xu4EGIu=RU4XebcurQW%YnZ8T z!#JS^0ydI}5X*yAM>QUF^7=Lrzlw8@(($O#Rz*%5%j4eH2^h)3Gv*0?9b3o&L^ytE z!E5e_jL5PiimIZ5vdY2|DZom5>M#@lQ<}XC2iDFni!#flFw=njU9Kgm8bu1tjYfuQ z$Zh?a=|e<$*3X+uVyq!10EPbRbTNnpM@d;c5vyu?3ZoHml994%RrNg-=zA2mW%sAC z6CIN143PC`7L_#!xFl2j!gC2>-Kt78Q-MTB=7(9Z-S8GTjTUPkb4ipeNmlT5$5 z>Z+^KEM>7w>C=Iy!Sy@{2)9$>3=NbMNhm2MjHIMiN@<;0YqKoNvaHo=wc2eEF05Z1 za=E;G0i{6!Sik_l=H_5&X-UvJr4+NcpAs)O5Wnq3S(?&Zyl@^B$P^%Km&4iKwDjc% zKk_$$Yw`9mtN`~`TWgFoJ!|DfF*L>~QqY=!Ux8e>2P z22j{8b~>F-mT5(lY5m2o-lnxaaNvOQdZtc8*o_;Kk@pa4vKOR#A*CwbTCsk+b&>X0T=Y(^tN3|GxbInEADT{Q99OBA;9j@tiN+fBm^M zN4C?iLMP9iaUV*BWsyj%KD$rc$t%x$ORs?!#0dAy;JNOMFtP0Ia?ohH%QM{#@sV*l zcc%7!lzTjVK6>3*8LWBVm6eSz;R;6US6!0F^Z%o=QzIhZ&as3A5;HCy&)|bO=XOBYS@#!Xv&orey?n*;5g?8V_H{T zF&H2@50HFhUib+V^I6~AsJShj?(~-X!ZTxI>k=}>ZrBa~!r@Y|>HRs{-wnIre{s0& zA8!e+Cjoe#3-G*^Jg2KXJ6E1waPn8_5v5$gFW5UqNu@aQ`=t9SRkBF|M-F^Zx{)xL z@wwY>mB+n%_PpkIUUB3u3G%R35V zlnS>Vd~<2tt0M=lICbs}Cwuvcv!{=RtLVNI$*McqvCLvGGU)tLaWR3~o^8bjzbRz*<+I^bZvA z3aKL-6Fh7CwX`-v8)cDZ0p(Ij(h9?grf!=M%{}&Vd-_$Z6)GfF!(_c|Ss{z+qU@4N zvN~=!LPk!Y_Zr#_x)`A*)j8R?&U*9`7;RzNB%;QJfa5=0Tc<|qz6)6aS%3=YT#Mm^E zLRjf*WrW-aqHUVz`NHADr!U@942$7#SQG_XEl>fJFaQG<&~)&?{w!0HD`e}ltjXzg z7e(Q&@M}P>*eXEob`y&2jp5|v+;rl?$P=?*k)|w-G1gkScQ4f(?hJQ!hVG_hSeh9` zP~_}fXIVBe(Qda|#*_fW1C>_l?D=y?4=u|5#3r$8IR;0f;# z1~km;x!%lDf0Yt{>+;|(#(MvC)|xMV@rzP1A}Y&rYisK-|MD+i`japIuYdRo=boOK zMXfj-Wu$y*TDmvu?77UyPe!sy=5*j*=6bU=3cLI4>F}-Of4s^eBpay9?aD((YW$cD zEE53W%7Y8?gPWEpjMMdYMm4PCfkeT)+M>X*#xvV&Q`T6L&y zeEu^p#PiesneOzK)>h;~|C-L^l=se*ur0AA zJlCBGW>N>68$_7tOxK!r;_MpL-0EaH?jU$AT0*48ls3p`#*S4J4R|oHwy{1v)s;HWcBh^D zuUKd9O?8+1t2MF*kTTx=^~9lBEGR7=DU)AmUv2HL?TpER9S8ehAwsg@23_FMtSa${ zuYCT#M?yTOUnGYWe)DzrH>x`*C*owK^guU$ONDnHIocsLW;?vCtR6smEL`cpr0iP! zD12NSEB_S$SXc$c3GL1GrUf2V)uqh(XPY!Sa9}`1(Kvi~*SUYZnV(WGdpGQc2S&KG zw(0#|&UeFZ_+J$^x7ST_tFbdV6%4+l>vB*SK_bBdpsME}{{d5CI0k{w1_ahD`_p^1kS$Kl}1udfEKkJSlqELm%eEb%6}5{f*!HO=AoL-ozpQ*pIwG z?2ti_1#1EP#k=11SD*M>&!1F)hP2_MRk{!HQJ5MN4?)@zsLk`Bu4CP29<8eI>p}Xg zNwY-6qv?T}PA_ry$pBUdYo61J9Hsozot$E8!>NAAq%%2XY#bm7b|mILhR6s8p$Ziy zBD#_QmIrGLK7Mc^wMKOE+?mm{+yp0V2#xWAAgAP-vb@dpPJVK5aFGtt{UDX5cm{yZ zWXJeg8EcI--xxK{b!MhJU6G$d11mrkSUeY}Y5wuFT7HPC_Po`>fxr8Ze!QfavNWBPKB46 zs|&rnFswLPIYxAdcEuDVFuhT1#T{l)=zKtQM9mDW$@7OKYvz zZ3CDsE2S)pzc^6?Z8&Ihl;&wn9Km0>crnW|QcCApt1PpfY&a~+;Sk0^7XZ+1t*orF zv-`@{Fa&eaiUDhFmg$|HVU}r3P9Q5l1g$Ce<~4y$JKS8oaA0mPoHIAD<@2jcN)a=c zWoe2MWr?yx0T}cN=k7f}+}W9EwJedfR%^}7N&qb1=SnGK%vQOxUF<-qT=9ITr?vjt z-Cw`qy6ZOv>w=jIwI90T(8NTW8LR7S=uM(1P?j)&b^m8smgQN1lY{`AMMY+7t=7s~ zd&QxHs@=9qK`GK|vNM(Ed8RX+=?SfrRtNSU0MW(4>YllI>2qtXW$Pgnx6a6qbo(&Y z8f%H@!rF3Ya!LlSGdXpB^`cO4X$)Iin$j2}7&kMwS}kT?TwFYSWT7-hDW2){minvG zw{h0^UaP4QrfS=xmwSZFj5RX7I+IiPt}Y2dU07T0PE7?WJ8|}OvI-IrjvqW?tWDM< zzWeNA;E5SHesIAgEJQ%o!rFA@p(A#@t>?>znZygWwI+16^s`@T9UwZ_otf@*jWuOy zKJ%H++;GDUTI`IPmMfxRS%^PINhd58 zd%Wl9{wn}j=HYPI&RepC3X<+ez;k6D^A*FmVeuapg=lA!m}+4wRl3+;=}vWg9+l9@ zdyHc|!mCr~7HiAsv4aaHm1=xdYr9U$JO{SfS`*@pwGw}^Cc5WB001BWNklZ4T151CT>T_?O9Q30zF?WdDF_qAXXftYlsmRhwrw!d zG@%G_mRObfcEfIXz=hpQ`n%x)8g9Gmmej0iebWpmR2qVS-Eed^HeBLW!`7apu2jj3 zh=nbG;aC6P?nLJqPx-z_-tfrP)z!gZu(rDPuJ^tBVt<*%9DdlomHOz%|Ne@Dho)y{ zthGf^{P|nodUomj#`dQ3X5|a5x4sD}XowFIf09{J#C304i&*lQaZk%z5v+0fwmFG$A6KJbz~F z=!`FGG#nrCeRL_zv^m>x1p?zq=>CSFt0-YC0x}Yg9XMQ577OA>P_Guu^j|PEEG3r+ zEHW(^KGN=4a%jD}Yb}hB2r08mp0U ze_79j>b>+|0ThXl2-pN9o(mWt@sh#J#Lg*=L+TPMgh59>)Rz*Y7m2U{zs|T-301{} z`_jxbnRT{BIqIoI?NLy$mQaKIDr*A?^>_dETW*5Y zAZuAGMWpgpt5k}Jbe83Lro2Z!A`q#;&So-;FgZ0Tt4&#y!{M+P4y{taPeuu>6y&$6rp zlvY`m4^7eSbd^?G>B5@Zzk2(ZZ~sb`IWJhw$ZRC2Ks9b~);0z+onCcyWJI%{asniD zivD`PJJl5mo|>B4+S)S4WLY*G4v!x{{?Si-Ouks^uL$iqqkoOl^cwEwA3PG4Ac?vA>|$sgMz{#Eh?>TTlc==3xUMB!_WjxiCGm8pD~L(j%wDH! z*&1WFH`aG{c0Ti&&oFaQ6!MA)7uQw*eBcuwe(BG=gou9bg+KLsul)nbAX%%)f?xAz zZ_rAW#(ek9-??{o-Wap7x%sot|H)Ur@eijvT_RfQuLSIxhyt2fmgS3I@S=xY`;c3| z^u@@377>7B`>$ZHsr}*?{**E1%-MV1`Tlq3d9Ji`hUS1se>im1 zmvX~HuRC_+C^Nt9eecRLAyrLOA<)LY4I6#KIbK*{MxeJ-=j(U#gATG==;$+eZi>L@W(T1QwJtW8gK8I?hr z@69arS4IEyOJDo!BOdaok*4g1-S96Tc45=q@Bj@Y$M_%s^Szp3Q%NzxCi_prTbKTl zEWrKT^lDjAQXMZIgLcZ{JKq29cmMVKNs%c{Q50oSDDPF!*9wa0?f>6jwA-y#yUonQ zot@#%uq;a=8s%iU-i(x|y-Yk+;^A?T^w71Q6eF*yB{g zqDX!2%eOkqvwl!doj(%<@R9br;;5->YVnuAOsgE__%No?>21X;^;8Ik$Q;b9cw!0bc~m^COH=F_d#^C-^&{a{K8Hej?wbaa zG-!S94l9B4&%j`Ij+DqP(nJI%f3usFT+AeKbzbp+8wSQ>cY=SAqAb(ebv2l|QUh@f z&$T;VlH76*OO0UWFjQwaZ6&${@#D zsPH4S5V2zeQ7R%R^^s3~>_sp5u`3Q8a!Ra3%(m5PS!-dLfYMqJ!Sb22XUn2MmMfyd zYwamTU<}JbuGkBEAPvw;X`SgzE4K%^N;^bWyjChDc#Zd$%|m9k7Pf@NwO2n_uFMx@ zY05Io^E}hc(3(qADy^-t#@Ztbhqcls&q(P!%a=F$tyX(}W>zV+y3zmrH@upe^(VDb zYGQH{jMeqEcDrq@EtMvsvMiYhC_#3m-yaT#o15G1Hn&=NS(+f8Ypns^85RPpE3GxN z%Cye(>c*heYHO{tOs{SXe(Cpql?YmATI=AMi~R5e_Qp+DPcGGY=dH)M0gDT6Sl@pA z6~FTfKlPGNeezRTmQ74dWLb8@BOm#W54_tOXhyW4n=Y=n4`GByTN_fKbP{*`-~yP7 zqIm7^zWURj`qXW=-Nww5sbMk)QqSXS?0*zPUX= z`R||q>OXx$PNbDOQ3WfH9yrX_g88?9<<|&w#~pW+W%)ZV`?WW{=?(vM&nY76RW4U~ zv~d&=1V&>5t=6`Ea^68J??xHOlNAOsI& z&a8L~R5hl0Yy*ilw;L^E?}pv*FCTVc)7|hu41S=M@Cvw}YOYcbpy zFQT7PDqa0W*+GIxOW_J?_`H@?8c%*t5)CVfcLEKFt+mG8<@1UvE-P>DN`cvwrlf+I ztzj?aI!-_N!pp5hQUnkrP4@V5(s1^;gcI~UKTpZ4rjC+ty(o*2RYPwi)`fg2u4rJ) zC*wPI@66n?w_6Ir+U7uMJ>BW1rH4k$PS0qqm;Kc+8B?FB8)dCjo#h_`6(M<_vNsVV z00$+4-+tMzX{}G3IC1po(I0r)_y6Lry-X`D0Kv)gi(2a-zE^V#0#VA4RN%|dmB*?k z{7;@c1F#c%tJpyG)^aSjj%rqAn1tbpIdM{nWL%o#)5)lnu0mHM3WL5U0yzc;P$8T+ zds@p?SOUDfmzEtSn!{)=b4kjf8lj~%Wwl7cHEJAl5!}B@`oiTUlDV%aLoDXO-#h+y z&wBPd-tms2D2Br!fYYZ>&(6+*D+^j}4qi5l3bDR_o3t?qXi|uX5L*yUxw7uX1aXCl z@gcD{^k@Y^m9|)8!LV%ESgSQN8)L08&wkFc-|_Z$Xr-&bZ2*|!>YYpv63i)|sN3#$Yila)^?<$+6QdVmwts2+%Ame)cC z9Kb=>6Cl#gV4P(?hm<0M!*d}GcUT?~IioOIt5%-nc?OW2)L5&Soi3iS)>;bJNc}=A zz_bIM1yNQYt62GNkt)S*7ekqZPz~vM?Lo$nj0o1UG1gzU70>L5DzVJgZ?n;Zw9mvC z>()AlNP3*p?=rHTarG-11tPLGnu{QZS$gw1aU8?emYiQIrIaFqwFZRDIS|sK`z89H zPF*nxauVjzZ3>kP`KCSNni2Jz;sY~7>;A_2x83+?=|!zHm@TnXl-d|VX{Fh+0kT#; zJ2SI0EQUpyWf`-(=&!YwOXUPI#)t|kSV%O}N@*cpA~88iM9yFa^S+sRBATC>1*j~` z3(FT5&!4rKEvyAI95Tz+T3LKeS;~ws#wbNbZmJtolx3dhp?@@~<@MEeyS;aI&NpA6 zX$A1t7f)DgTY1Y`tEiODDLwbHf6tzxG%{m~qVNDOE2WB}EKS+U^QEOUePvKvZMbc4 zx8hQ?6ff>tiaSAyy9al73GPtbt&rkg+@)x7m*Vcu$#>4Z%yi1<-4+6^4%Rt%TjCnw>oWVDEm9=_CQVd1~eel9B zjyl+bmLaM$t=;OWd2D3>GzOBxz#A{Mk;~U!PJ_1GZL#{knYIY^Ni0i=aup4d!dtlf z5~Qj2zi96|e+_s{A0*sM3W;{lNm{3;m)PKu*QP|Zb za9rO9+~0Q`#=m>IxXco%WnNVpKH45BQBhx}A@02&XNW%B zHFxD?G&eW%^6)^byVyO|q;JG>qYnmKWZBY3Q z*rT~S^-mveT7wKin-s~p=+_vmm*T8kjlTRd15+cC&r_p%!=(+_2uyXq&M4ih zwn80px&$mquAHU)B>FRfQMpP88PT*6Sv9qDGI#o31KZ9ps+07xXrCNR_87kH^h;Nh zrf~>3S)ymCjyH|gmHeJ|ZI+feQ^*1sx%tHg9`4q(C9P|#^y)c$ZdxwWT_I}uf|^>9 z_zhP{a%AHd2&JFT+bF1Ke)&Ixz{XWt^Ud>XA-#R;KZ26K4qYb;{+HhkrJyMS0ZG?o zcI4Q9#V@jun(n;$j^NCa&J|N=1Td(Ut)g|CXeqRw&P? zU0H_j`dhW`$r)&!mnhLfuWSj->z^dg(SvYFdaXZ zQV_cxEhCWa=G=jW$uRJpq0SZvDZo$N=4s|%dH)g#k`eS3#{7$d2yE2bW0V~w8XzK` zqes}D)Nn=7Pu4rK?R5j9VBjI@xt6Eym8q5z>M7>zpP(D9lA!TUPx@)N410P2>F+o` z@KR>dSRK4r6bGdz7%Li2bxJS{$T(TgJezSa{p>}BoQWM$+r+Hsy|CWbbW+UK1ar0V+~=xt~DLn z6jP@a=(>{TNB(2%XiTpThzrv#-z>q0;ruOI(8jirq0Mx=koPn!4=s?wbg>zgi0NC+_epLzLNvjgLU@J|FV@o*RoG6lEIB*MzWPG ztu6~RdVZeGs4*DD$bjYzF`IZXPnTHtm?dHEdXJL+_HY}5oX1m5APi%gNN|$sX@i+| zCEU;7K2kFs@7K_KcdReG9UkY5Fo0tELf06-$}!M7cKk$_GFtyII57DRA5BP&wqM23 z9@c`#U~Kur)6GvzqNC#1{1Nor8C6{{dYvuy8ryT^ZOBLeuYBPgCkXh_@0phOUlikt zd7avKkGYhHD_u%}X8utt4Gf=`y($AO|7k|9lYAsoureR0jEX|Q-?3oukLF`g;we@) z{R63vB4c?&$!ZkN=LWPu%gEm8}AbyooWZ#X&aoD6VT=DkodNXWD@rzEzP6C%TP86_c1t`~XH zqlPypf?{_`>etIrI_G{pNQmY#^%NT<u-mQ(ru zBB{*Uguuo*rn7nyI~CN4*d~sja%>Uv9QBPak;=ZXfs|$Q%aM_heGypi@mRm<#yI!& zxJJSEb}fU&tv6*{SM~EmSQbadN6@hnl&Ob#y}Xn!EoT%VR06#!G6qiB=B-y*u+pzFHaZ zAZR07Asg9D-s}NIXEW?AjUS!zNfUu8656H3un^7lQ5VQZ8?_V*kdL$-RzzQ~XVs#P z>R(gUwfeKsppZa>amKNvSX`c_<|bW8ljd?Xs?soxhpeG_hRr{(_MGMwuUvCL9S{Vm zstvwpcYzvc77OViE`qz+_KCC{#dt_XumrYIg^R=@uf&tX*C%!kex!*ujVVC*ag*uJ zUWNn_$8?xl>sa-MYT+WxHCG?N#}xN=@qUZE(4uyq^Vg?^cWXbtKAqC9F0){46QxAbR-Z(3sY! z>6Hs*>egdSzYBH%YilUsPO$!ZnT?tA;Z9-7ONWT~_Z3Pj8G4&frPt;iDyoUY(M^2u zZm1WBF^$X16o;0tGk@I?6!1TAWKp%LclL)C(R;Hz?Sducm%vO`s>5hB<_3krI|gCC zM+!XM55q(6A`t}oLHX(+h~JZk-+8;p#rm1LWkuJOWwmEV|Hjp$uJ|ur;VX90HyT!> zJ=XQRlJ8xIZnjH5ocYK`Q7NN+E9Z0!48k{}g?aygLj0>|5x&_=rc5GE=*@96FL8+3?bPa;*J?_;G zFteUpeLTNAS$>Y@f~Mt( zv_IvYtt~&%xHkl61mli3L@kwwnYj4Q{6wW8IFQ`_X;?~RTE$;x*uND;d(Vp$RC~2X zkQXA#fk+`(0~iX8Oo>+8C-n2a|5F?jk_bJtTYPc+ zWzXa7Q9j_sQuOUIe&6d0;sV&}ry#y7yN$f29#t^1q3-tJKm@;5>TWW@T+6ZzTr!na zE|zM)d}BpnX^LfO9}_kE&+>-3c`cf!ECBia;!wx)>-h?~twIBxjB8?cxeY{9u0m)Q zUhyomc=g?c+DwOPp@a(N9Pb+g_{hMzO0bMF32m20b&hqEn$P1)RAIY^g^L%ZKsan~ zk{Z5Q3pyT5lnm1-mwD@F5B7OR@zN2ifptP`951_JPY5L*>pezOQ`1c6>Y~<=dPFvz zlZ6FHmZ4JHlDJ`F`PA2>Fx7hBRf0gJvI@vJh6jM)MfIkbEz11)Xm7K4Xo!Q%HcueQ zzPg^V%=wzZx_Y%r;d>Y0{Wuoz&z#j1s7fdO^v~moGU+j+ks{*OZ^_GC$+dfwO3~GA}v^&+t3=G0X#x$sZS)Fe#Q6 z7qQ`oh&b8#scee-oXbG(s^{Bl$yD&^Q!ADT2& zYKmbu*XD5XDHP_Ovu|86w}#SQn|LxXc#w zpF3-uJg<{P4tzMR$Cp#hvO^`0)-Ijf?cF=?<}I&ITk{&k-cc#h?qlNu3>kRAv$zbV z-?W=CV4u1QirjijsUc2=lgeRZ-nc!v*LK`}r3m#30>*gCpTJqBK8&;JB+?~ad+J-p zG5joPV`PAllJ9RePS1+2nVgeA$1yv@hsQk6%OR0F_D|>+a|?QYb0Oq6yIFa7CRL40 zm6h+O0WbF%XIub_&eJ>Au}W#7ldXUoLxYj=!5<|hCHI~89lenZC09aSPe+wFH`qc* zJFQ%A7ek@~sm1)M zZ@Y~Wcn71fW3O}T>PX#|UCh_6FYz7GQ(H8Fu{>PHT(T2WWhQVA^KycsL1Xio>*@}^sYejD`FsX-3EGN5nc(4hHqQFmiNi~X;cxsvpj@`T6@-qC z?p(mD`Cts4XF=XHdj{AN1r#m$<*X}m2Tg1Z{+ObHP6cu5#~;LW`Wr}QzKhBVvIyIB zI$WNy2FbunaSx`=e|phQ4S(r^h6;Ygg1-kj!E$?H+w`G zAO4iXG$!PXPw?ySA6WfS$MzEn>W;?TlP;!mrp!3jkUHkEhM5e_Kw!##+nT+iQp3tA z0VknkE0mj!ZA^==?|Zrp-6jPJ<8D1N@Do@|{`O0Z{V?$X$%E#gTjwjbYHHF}PFIPb zL6-W)#`+FUylk{UoDdXP79X184+1`=%^pqB#xO>G_O5pmwt9M;=KTEpD301B)bu5b zBml46M%~sKIUMM_<-piq(QnlCs!Y`eMQ|V!NU9Q);FuU$VBN0AW&!=f?w05v; z_}oinKki8M$NFrHRefN~7v*?qO<_IjsFluk@!#*~@3_6ah4%Vx(^5~tY5q2GSpi~F zAOv4i5z&-MquyBtmhDM2x0Hcp1U=fI-s^?p#3`a=;@4eMthJY@6{6K$W6SCs9T2Qs z{a>qZ0H@7y0iK<9RT>RurOJ%pB+ZNuler_->-6#VZuOz1&8Aq zoYeuZ$It=_)+;wtd`Z5tMEozGRhiOMH#6o)yY@VyBt)2bcXGAJu z%1<9C(dBOr+=eBf;^jP@_$8V_b?!R9Pc=JCO>Qk33$ZI9#`H+S8V_A|(Zg zY#j*xsKRIJyng)~;Q{$y;KWu212W9k=%7xK&OO<83ohky3yNwXH622w-`PRirTF<* zfN8Oy&qEswWl&eM8YMNZWb3S~;A-9?l~m_EHq7QjFV3X0vp+a0SBr1^_S?(b(!Xn% zD@4FWVHgdqxLzRhn`Y$7rtYz_&|Z$vvxLO)TC7xK9QgbRVi+){@6hSGWr8Q!w{@{Q zlAO+JxX#%%1`Jp=sOiK!!jM!{5@)N-`U4N>C8t1(wqSNDK zYEhW{`mz0tAP=_6oE9chkPCzuV55HQFGdzA-tCN^teCm1Bf3|j>>EFrdYw8 zM`DT$M)~QKje^s7{HO6O+@b5WpQtPHB}b`mJt7dWc{KQs@IOu_%fQi^Zt+H^aNB-a z@x80qlCX=NA#hg|_Kb5ppBm*>^z^HPYThbQD4C^@@uv^eI+9kOAA$xLADAe-|P*yrS=hy9j(>vArH%?(`lm?xx-qH{m0}rvCElg{{j7 zNyjW+j;5RO?80J5#{(BRGuC2i)-)X_Cn+H}-ZFrnYiwQ9Dy^Zo37XXC{I zS`i_ZW}YgCfaB1$6Zig*w?4Ebs3}MqcUrTlr_$!Kx;YOB3?7#?w~pQM@}j zkQlX$S7qEp1pePvPoOH3hubpS^bMM@SAdSDWcGr=REOHSRahG8 zOwa_hWMxD@;k$|zlH#A{*9{B8_71?7$yRS^&B4D|ytK5HWde6t0FK>V+p2&;cMRzF zv0qmye<>F9Dp$qhN9RqcU~v$(R$w!SZyM>L#wGieg2EK`n?^8Ah#j+lZ)l~@no!(- zna&;WXk3Z=TShqjul{d zEIapf&HOSmO+jlp888iON-C*V)$|%pMkch)(jj7zg_Tp`rPS%HXJmlR)(Z(*bn6# zsM}nv2MANmy}3rw&h_+@Q{fYL#G$D6^VB-FNy?k6?q(8i@3Az?KwuSW|040dXVH)l za`JrZp-Yb^w}LVHAicx2Mlx7r?0k7OcsSQY1E^)4@t#^H z3IZhRqj-WH4ocaz~#R?#@KPLAV-J62*nk*tm*DrPK<%*r1l3UYf=0 z+x)!ISO_G0Z|{DlKFI2i1j(iJ`yAG|oehLEuCrVbG{vNao{Mh8CI< zht$JLW?IvRQ4y5g8nKN_e~#+B&U@?L5W2@Jyp2T1l!6EBU`ydGme1?nS~PFyH`;u6 zebMh`*6|LMfmWV&a=WDVFzk+)3p@H>oCmUctFbuaPP)x<5zsY=-+DjbqD8K?W!>lVu1M8XwK%D14RH!wii5u3ao>R#Y7x zc3t~>d5JAt=T?eLGVh^J)M%((uA*AxEupMHo{8|j$%55mo~XrvTgU(J4qs=3`(shw z`(J61=g5xOrCWc?_mf;5y+aHniJPCg_5lZ%)f*m1AQ7JFOtlU;0PHU}-mJ!OyzS!+ z&yxZ=a&8?s0P5vJyh!&C>#_yfQJRI?^l%Hvuau`otkoRrR~pd=M27zJfH(4WwZN7Z zU-ID2YBwHmxi&~5(fgo6t$D-uIMQ?oXMo~m+Hw(w9Z;q7QqIPIGQwj9d^xZ0jM|nn z`!MMRMR*g`Evny87}ox%*{OK;-cQMDW(~F=J#Abs&Exj}Tg5%!mgVl%{?K+Hbm1+M zPZ`Ze-XkkXltS1v5YkR1ig4hzZ(actvS7v0wKxY`Mxfaq3rM>DsZQpxJa|n&!BEo1 z?y2sH8we)&KGA+j?Sc3}jh&z=cr2FRXl&6=@192T8`n9rKFmwGX0f|hge5A8OMB03 z0j=x$8cXo?OC`4NrF%Yob>Zy!(y(eAmcu-$!MBS=7p%^``SbPCqqT;tx9ORQ`O*bR zWsh0=r)R}V()4c`otujdVz$vE+Wra!wvyNEhH0XG^1F^ccP%&c>i0@BL(~{q%+aIX zbJVM0L|0FT&Id-DPGi%xu2@;>TKezPo0z`o%x{ zlh-*Yine3hU9i)!m@>(JtWdwc>DZ3NU`SA{3l%=57vUNDwdE*F!oo_J1=;;tvY#hm z4r2h|Jx!9DudmdByO!aL3ky zcRU%8qK#E;)UjhDa`ZH>Fmq`VE@alHP`Eol3%HT?xjXUsCo5FvVF=OjdyIVssK{Lk zZ@3GMHOxPyvI^4E+|n7o4SI6nN?r!Rp~Z_l9dIK>b@0L(m=4EIySVt+2dLhh@-C3v z3g8eGbvHYAnT}tFDK^W)UtFbc04wM2{@!|QIB~_9+R4Z! znoBYCTwl!){(+|Z?lKpwif}G`4Af+kLO%*(PqToT=3QTk;CRz{&$mF$obEfdo^y;&R&63;d|<4eK^`e;EP@@ZT>!hiCs|>W(yQ zT2F|{r^ytnSzha3HArL;S4Ez-{bOYR1vTbGQcjj(hu$O}Ng^Cwg9-oc8`~lY56K+Y z$F?tv*v1lKgMiWQ&nQ6$8T(4jm9h5C$38z(+PrTW1IG3?KTv5>M^Q5Y(OhZZkjrCX z3tfdy?jj_1sVrF|+R=^Mku@S;Yd-cFQ~wSYVq6cc8LqTYis?W*h@4f zxf^m?>{`@3DXhU+6^^O{sCx_@N7?UsgfDI_ZD1hv+P|Q%(Yfy|9|-{QR+B@)nT7R% zcYW$;T(|g&Ep`V{{-YBDdK}O5jpM~xRBlUy4`x9D2rV*(^4;Dfm*x7y0v>|9?C?Dk z;r25*9~bd)XE&h#)Ji$MTdpUU<}iI#d4@ZUU{GPd)DK^6C1(s9H$M6$ zfGU;j>3OyvC;jEiv$umwM?3pUwl)5j-|1NbjUh1g0*_`92Fk>tBb`26O`rHCxmQ5* zivdF!aKn+HFJHWuW}C#3*&Bu1t$AN}O8-k>93rIW>iK>Wit7`E?IAwv$4>vP4}<4K z94rJ@Jxrma`$~B0hHz1{`<?N?Mri zh|&i1CwTPC5VG!1^n{ow06W!I<{=E`r{0Vx8dLr*y>~H9-e|R4JPOummiy(!I=x9m$>F+j+f)IHOl|jy0iyAnIjltwrJlF!*k*>(_pr|1U-ba>6B$8aK!CM$c54GXu;y3 z#+X)6kJc$@U?ES>E~nU77RQ*T`qYdgp2A)fS9h>=Egc8XCsPje(U4i@rFZ4)g_=J`K_#h@rEDiiA%n6MnRM49YWyMPM zeJz~{%bK)Pl1K5~rH^QOxIJ~`W{&=;1S{d8{s?Jyu!w^w_B_Q&Ql|jF80uY3(S`ib zE=A~dtdYdu`DC!-#8Dip3be|nuQP5mJ9|#+cZ@!A)6M*ceNiw({XPG<#aYbBXp$UNg%XH=whJ;vPEW33k@$s0rKz>^OMu?_7Dphff>S?qr z>e8uuaf+NQL?g3w-YWw7S4q&{ME1b><67*beXs0Nvd?2gePAMLaxFgJJB9E0+(fyc zKaST8>>gd$-<9)3UJn+!awVoC&fhlEJ6{O%-g+&UV@t7n*U{Y+!e(ughSGgP4C?1M zEAYU*L5H1$>vCh9qIXAMu=$l|wqTUZ72PM~ln4fncOQBaKMd&TiJTw7{s{NX?Qoq) z#}<*i{~J-C=evK-l%p>aAIG`R&Jf`XuXXN*-Ca#A(lhUq6YKBsi$4VUq!|yJkkt^L znwFNo$*WWNn2RV4=xq}n2$TUF+1?6-tm{?&`FEi`edw{!o&}q@8e~~UYE-l#384BU zfFMNe{tSi2&)Bk5i%7v)O7MZP29`w&IiMz8jAs!*i?SH9w$UC8vT^;!mi{4zNDIaO z7ej3NA9nk94M%?p{RatpE5kOBKE-ia0roOarREIG4()EIAA8`-1||DTQqc@zVdCZr zKj30#8%W<#h)aLaK5k#KEXCyEQ%@1Tc1}0$_}XPHjkTxCd9v+8(|gk(v0bSf+JDRwJT^Lk+i9a~$Z@$o7WEs|=n~<)FAb z_$DRZM)fmtf7S(e;zld8ztM|WYMexkXRD~rwQs;;;f4#~q@iG81gUsRh8drGBXdL_ zcv8A{(<}d-~%gkVJXZN#jTmElveNYx3vcf!3%*2_qt zuM192v(G&}S?CM*bHJE$O|shXjuD2Ex9`F)G@n~Y43 z{{jof_yAFa%^t=L&5=Pk_AlqJ_TX~P1NWyjZ3m%)(IdF@7Z&PXDWRDT{*X~;~ zVMlOa)i(-wfR;`tCHwo~?)A3O6IsjI%f)o48bX(Z2XmzFd3RXx1+6UUr;e95cEZZ^ zdTqQEV2T)1ClA^R?d0*!^+?`4hUJ;=U?>`zr;Y!Gtp7qJa*MS1qWMrybRae$=h~2Z z@xTqnsD1-F$Nnhz)QptF4jp&MX-?fO%{pK}#JQ3r#BKWxqF%YHut)cI3q z>q52wYSgS8QTiTHRFxzF-%U=G%tvw{)uCyr$MS<607Hq|@#XKaK@oIXt<&u2sj)6~ zOX&|`%1V_B18?)$^N`lp+aYcbtB^UBQ*2&*{od9g1t(Rcnn`d1ZLG;mm|51~03(Ub zpZ?S}lK{6=17WB!%OQ=^0?*SFK4a3NPpZ^%NoM{{IiFJLqh=Ing7M}%Ld3WvXg!~{6t+QR zNFzH?6=EMaOuDq>CT*r7$B?Q5o}#d??O3UPFS~zTU3okG-g$+OD0bd<{LAOOgExwr zn!~h+Q&^N&w|cISk7v198!;|EK@K)3g<6U6Rw}wI2GkH#w*A8m3CKvXGl3O{*K5To z+9fRqgH8IaXj+EUF2;guH9r9{h7CYjvR$i@NP;;86tAB%k&ay_@v!%g7aE%Ym86*b z;)VkdPoD=In07s8buokv*H!62{Go=n-^ah&MP9=uDYq5s8>i3n&1E*U$n-U|_r7b~lw}oT3@{Bc|4b_M#6t6x&mP1ZB2#X_p6Y zAtek3daab9=u*I^_)z_{-ymjIy0DvbA7SD^;aV@r+An3pV5M}1retpS4=&<7B2~Rv z@_4ii&KoJJqB~deCVF3-2_(ntu7bLyIi--fU>)=OL_~rhr;) zP(v03`ih*KP*4DZuenJ-*UTdD!?1Bw8ve4Io#Yd?Z(Vr_z#gU!CtT`T(Nn@{eIDUc_X__V>lHVcZaf{gGgg>F-n~UZ0mMSi7F) z7kZ!sFl%uGbSZo(p#duRnc2`3rC8}rL%lt$-vw47<6+NXshqmhQL(%5q8Gk1gsPC2 z#cpAlx{x1o0V=lBar<~N=KfhnO-yt0Yx2JB@M{QI2AK*@5BpF*<0WS9P{f(u(N{OH z+ac~a@Yzrhe4fF{i@pvZW#wBzs)2P13dlSmr=o&*@IoKNE|82G_RjPAKkoGA_&R$D z(35)>!Z#5Wrd-|5gE7>~4gXexjTQbbp`W;-jwX`uRhEZErnq~b)MooZExQm7H2iLF zk7UUfi;n5j`^;M@kEy(d+_5|XLz(QhSA(raog!0*E$)ZJfTi_`N^WhEEg}Tp^!kR{b3X#~by_{QH*qG1 z(iE3-9vapvfZiz}!p|a0c$8MhlabNQ6yRsZW+gUw-tL9i9h=S3PnQwwAdGgYM zzLTJL5YNpq7Sc<{{8Ct|JSA-)B1}FEhi>n>-*fV(j2!EQW4FOnqUtR(VNO&WI;Rwd z5_NxgS^(IV0f#IeS-fGnJ`woH{)^0(aSVB{c83mJt|QBsDp#bM9;~k~4wraYtw2g; zcM6o-W(&a)8&9C+=-!kbZ0g%*Ye7K{KRJbkTi?}WKmasTd_UDJnl9(m)Tj(%hws*m z0Fu_TMWly|%eMX#&X5BjP{NqyM^OTL;7lfsDFIXfr>S%Fwyax=c7qDEL~}635@9AtWFmqK+++VW8#nOaRKs9pipO zrP^IyewwC{Mrdm}he=a~XI=$s|E9P?Ncl#;khS<>lyuE8RUs6c{a4dNGqyNGhI#NX z)K4haNWy@CoN6=Ih*+l}C=ex^L{vy0)uL4k+XednUI1w+y+dSZiPVzS9H}^%FK*-d zt$o(@L%Eg6@{=TdozK z_LXJmPMKHtNVD8CqgGNGuI$-`=UHS;e_F6-8%cNC<0B6KbB;24n1CbSvTH!?{hq- zF}fbNu&!{1{^jYmY7xYI*7ULJaWs4H*+da@dQ2r(#B22P-@=E70<>X^g~1}~f?shs zDa~q_n(*cMFpY9NQT)oT!%DVYOXJM?C8Y6RQP+&Qz?ey_D*UCbCbALkk+bue;`M1~misIk<0*9Y>0wxE?!$cF*5TN06WBVHD8z0nh+(p{gjz)M zF`y5P*1+fRr=uPLNAP9_11j8m%2YQ;VWaS*O4`n^wb@?iI|TgT=ge^M(F9PY zLp8}{6kUiZU_WF?p>uAx2aM zxhyDS(RzyjSR}n!iA~e}i=b8-5ZI7I#(tSbhz+wkmDAz+3mmyjC$V?5w`|*?QN1|T z=05+j1uhg^{N2`C+Gw#zTcHVkgsuBoXBMWw1H=Ql^0|=%@w&yxhMsWcVzSQ>lAI_Ufp~#NAdpJsoaK@2 z$>-ueJDf18}r+;{ISUa@CC-R>$Htc1Lf-O(^X}7(xs?EqXvBsJEk3<^VN=ix^k%_@ja<4lo z>j>R&I|+4`hb86{JuSw)?YUdOT%S|6;48aF%mApnYr4)Nu}nk2g>yDsq;7X6RG|st zM6e7~*z7_%rAzbk1fsWwO*))u;a;m))=rNvdM>5f4wQw!tLZZGcZ#HD&+!y+N>r6S zrEGBueg>Nc5O}3YOI@T`$_Z-0qx=zwOz>r>TVNKbLWM2H$Y9L{lyl-@EHT3y5dUdW z&(6C-9zT>RYzXh+u%!CnDU>E|1z9RX`mQ*y9TfE98}v-!bs=bYz09R3U4#QrXH4Z% zJa0emJojDmfZ4SP7UGD?ipZg3c}S1S2ushsU($cE_`qq7b=ZTJ?6J=4M^#dWT+;${ zj}gJ=d=)H}y+59BwwP*{jILa+pKMjSQs9_1LihUkMgfDr90LHzkF67a+FA5`>83(V z>_I>)@ufn9I~cc3tXZ>aB!!%HQx{C+|Gg~4Bowfq0pS21l;`byKm987v@sIFIT1p| zvBTdzfl?xmP>;kx&^PQfPCwzjp;y~_40yAH*!Qal1Ftd2f7$3r`lyM32S19J>#moX zGEtA}lqCE<^J%MRSJ(G~B(2&OJ@-ldZVea<5|*=Nsp{Ff`^y4thfv$BG7sngl+bl* zkl`&1;?ReqZ|L7^i(>S{_h+B$eQMjBrj|bwWw?#oA4Z9QPAtO-?0ain7ZdwRdHzp_ zWjL3oqPJb2Qb(UfUi?ec>(}X58;(6rYtk=A#92BUs~E2S+yIHhE)9$*n_93Onf+D>3g^fZmjo#nxsK@Qi+mK0vMEF0V<+yALZmoxuo8yI=W;x?Let^SO5 z!O8{54SZ-B(Hh5kbf#CuyN|%84wWW;Hk$4ZKm-gLaP3|sK1$O0=WR6HFX)LNO!%Qj zIR>=$3EYiWUi@+NG-1!<7rv4)6`{QIL-T&{MZ#^BC^*3e-|TLEpug~<+LWQP{4k@_ zNqU|j{ta&&KkVB_ad|zTIL3cA&OVYrtq=<8K<`G280};Ghct-u)QoqZWX&yr0Y

5|8D#+f+9ejfi_ggee)tie*Xpimpf0D z?s`5m^2{d>^1Xy}afXff!4&0Hnrvpq6E~`jdkVmWo?hXmYhzx^`QzUC=(5J~)1PBx zJpn(b#F$rI8R(JL&}+pm?{TuLI@Th{n2RJXn3@E3B;lU*bYiq9i z^xb_5unfDAQ=l$)nPbB9_=#F#YB|%M5r4pmZ0ORH3l;zlRX<9mcqqpcXD~+xm;fuV z6UB^UrgiSUg)1SowH}L+KU;ktuLS+iPQ{=X4w(oW4M0W47@bNdz*h%^2Mfj?*c>%= za?aKlgVhq8-FVK4m#;`k>i-2QDPK6MDx20JEvjdx`6d+Ts{n$q8g{-!pFjSy(Sx~o zTTo#*EE4r8Nm}VkTV%JfL(=UT^1t6vEq?h9F4U<&W++Y(TI-umK#!fpTa`329skGl zef>JC%N~4pwltO&V#|q2Ur0|~S<@il%O|%ZGr^-GH=t61EiPM8?0e7JnVhIrqTZ+p zf#Q}Nx9m1_yd3aY_a0iv6Z*HmM!xHt4yZ~d4(bhO`v9AhQHYN`&7bx~>vGX}Bt9#! z;p(w^?QVLo2I6YLm2~+8a7~=m`D0OJpoY_TRbIS1%l3!EU#%iW&#IJ-p+rgy-b^d` z8$95<;IYE9R#!{FyMM`pfDo~1N|MBs4fpY_H<@I(46-pgN)VZj^f9wMcjiF|$ktJQN0phd!v9FF3n0bq6 z%9YazN4!?UV}o%az-7;boxV{%_jonxJ!ueU3~knt3^T<9=t(wj&_I>8a2Qak0-!!t za~4smnW>Kx&b(3QTm?3tT$F^@zTaZf^E?AGIWv`{@|uf=ri)Lf5an# z2>oJi{}(`nV#MC(P0bhx&h&S?=F~}#O@m=+uS=v=o*PlriFv)b&9I6{W%QZ|cjkY% zUV`x^BG{P>k9v4$(ngQ8ry0zXcfM!!d5W_s72`$mBHjm$EeB84j& zBb)3&#-jwVU^x6eg5DZb(gBV2_QN#_GGDjzZskr#pMF}31a&W9-dPl!rr>~PONN0_uQVE8kSf*C{DV*lp8F=+}vKU zhd?Z2*#oaa9|Q2rb_^z*Ol%{2`J!l6uJM^Va0dx9qMR7O{Kb&I$`}?9P2PqD10Z01 ztn{V*G077ZtcR+Cf;zXYqGCcwdVH}EDIFBm!KPHRW`JCC3G8Ipd#2iT**ysA(>dlE z^WO`2$}{waX0DZTyl?G1-gdkEk2%ZoI-WiJ<&m9yx4`%>VDulc_7Q*f?L^BO&Dte2 z73hb>dWsHbYCe9;OhQpX56hxRcQ={P`yZOVDy+>eN;g4+Yk}hK?(Q0jQ;KVGhvHr= zKyjzI7AwVzyE_zjcXvPe=geH@>Pzw@Ywz`{Aby4YaHw~qjW&((p#GY6TTN4cklcft z*+ElO)aD$2ZJleXpU87%PK1f03X-AvLZZ?V=@t} zV-bRj9ls#^L5*nJC{BIxIEN!JVM6%&gvszSl6mClwpr#iBn~WceZr-Cj5DBu8m#J8yq~Qmi6%_0s)ppR?kh4(ec* zEIscr+xFANnF-#XN_PZKGfI4wm6bW(Pe|X~qpY0CimmQOcWWasLE`+4jDS4xA-Rzq zJZ37Kw~e{}G=M0180HVP@qM_)@C5^F+&%?tnaFD4mg#P^D1w2HEzZW&oRO5J)Hx_{ z=!Gsu74CCDllqpt`nUG?z2)_X^;c@sBy(MmCZlApdy7HUeACx?<*%?u%sA>;Ei;>h zI%*Ul2lvg%h0qv|R*t|sr$2~9gq-PfO9%XG#7fw@UXw@=A7p%a8f)aM7>V|8<2 zhU_1eo9r=W0R9q+duuO})Uuh3P%__n{hyZZ}S^E-6+_@b^8 z8x+{Ru(o)Jz#Ue{0m&UAm|l61t#mebC4r<}_%QBF*bI5^o{9f$JX?K>vwFl_UVA12x!O%VSIco~953}pC|DmI+ z+kAYxexLq+H6RJms;r(`?p;~wajgx_6LsJC^X0?Eduj?>NHW!O{?ePy=j-%c*Eh(| z(#B{C{L&GyEL^80NtIVj*&thm8!ypp^N03G<`f}+6tk^0=h~EW>1VlLDT7grhKd;B zx`{~TpvN3v;NMNzFPaK(-%DLS3b6R){pv>AC{$)QgmIoJt8YKu`*QKDYuoF=_I4V{HL4Jk|%%yOKO=ZHy>Ydy|uV*%vJ+6t6Imqr& zKOyCxqC;;-4G_nAwmqM2w%E~4a}jTD+u!KQtv;t3p6;pFaOmxsv924F%M~FS9xPIe zNsX_VE|OO(Bsc&TSoCTT*lvZ>o-?t26mCgw9EG*jUhJG(*5|kQr)7_+hFNg>+rie4 zFoYJR*TTsZ@zc-E?hU(CcTY`lVzo2=7uHW_Rkmw?_%z7_yfnG+28!EyfB=iz@ERJHm(+p$S=^{^fLpGUQ-`8Fn;>|HR0 zx0VT)!Rqzatw)xP5%>shMqK46<*6x82orCFbHQRH7)&y`LCnAEi`_#mqep^h2o!9qep z)*X{=nf?2`eSVH1Yi$9T+L}GnVAI456#ua$Pmct*bnq>+d5Ixrn_CGA)FgeqTp-oy z_q>slr(ojZsR+umDS`oDB0&<39#`+R5};s-Bpj|fvb4#MxpZ1eS!1{2Cb;Yj?veh2 zc=-gwj_a0=>!9>S5eUIR1QNOoQ8;x65vwZ1rk*iGTdxfnfCMGf~eW3tct3Cb*(<~OyvJSC^DrC<~^9OOAG6=%bj zO7M}3ZfFzT1(9ufM>ezh9F%9^sFhE>4u-oPOW&=BK5Y!1s^(i6rpx#W6V4w`?=Al0Uwx^NFH0w0#fXcL z38F@U@CWD%U8WA|@PWsxW9}PAmNw1)E?y$moWEYvx9aZ|GFk}rYtnta-5*<};+Z8q zx5O{ApWP8fo{G+zj*wsuUGV6jF`ynKm+P5Ln*NBie+Z1o@i=<7dH;PKck*FgNIkFW zF{{qf@H8HvjfX{3%=u(pV*AtVs)87Eqs;5^aU^@4C5tjtD?|=*T+gHPXE3LV;j1P^ zfWr)v(c|#*c}LE~G`J|g-v6Qt8e5$41wX8tFizR1(f##rI$~f^^401~!869Q#BMut zn5Zjk>ZL~iWRVu8v9V>0gP@8Tw35|Ml=-&%)d2I$ci*(K#O&#QQ07$uhn< zK_bJ(KBmnsjo8++m~-;{O(y~wtY`ZGC{7b_g68;kgD(oZ;Q@y!S+b52ngtL*`!f~z z1&M|C#oY{fuR`>C^l%8Jv(NCuTSGY^FwZ=TSJg|rcqBVJcD>=qjviBbEDMU?cQW+5N=lnA{2Rz0;((=lsr$)- z$8)_jRV@!B|GD1Wa1x)qGi>lpss`u2#ca?0WQ*r(qIqgZyy zo`Lggf83-o001>ODr_*A@w=i(KRA}GIAyOzXv~;n;gs<|+36i!hO?_iz{kAihDZ$? zhqtkA2gw=ohyLDwE=Y<6^5OjcwIa+#U_@86W6rFLF7*DbT|i?iZvro0EZL%W)*PjC z8^_ajvV`GL+)J+~0C>f*)V{}17J7Q+tji_LMM%ml%`L>`bGFhnWtBvYWW*urcJ$A~ z|Jjzz`veP+X4~*3t7_Jl?HNsDDI8LyrRH2b{Ja?95n7T*EzGi6XSm#tiM6F8OH5qe zS_1vpvqJ>vZ7_gaFd8P&?2>D0iYmFaWM}qNG9*ibez^zpK$%YVUWhpy6iG;0Yfl9D#La~A3U6w_SL_ip;CcmM5B+1@t+9e9W zlth+}NNB9aon{ZSLcM%-{)Z6C0S5zwu*@ry#xN#FCSYU1<&u$7nsTFTFM^=sQMM;9 z4s$@Qz>uUwmI%}Atc>sZqP$;WY=u!=5)&$Z`T(uB0e=0D<_e9XI2&iw3z?&hOo~&V zPQm1&@0}eUGHlhp&cta#Bs?b42>_b#%xO)}no zX|>)M_=Wxy2;I6gmc>V+zJ;0TaaU{^MoH-cw-j?Ph$Xp;zatznE(oSm=We+t4ysHk zyhZBd=l?mxE_7v$0mp>IgZ4azjV-8W1;Bur`nLt-Q8rfftat>f`qXH z1@RQ>>YS3p1(ARrih)W~xoQ}I-l;nrdySHZlZO9S3Q8o3Xk~auGKyq%!4d7sgVVC< zPl+6W-1_l4Ep?aU&%G9NkrP1aueo@{1%UA>%i1{zrDpuoXpTQ==r3xd#=X&^B4T(s zK%iEeny77K`aDdkny_zh$9G$)qVL7n7y#)^bZ<$Ac75->ne!T0XVH6R8Fcg)VlMcR zkli$E1tv`6T=?Own3Ea<_@TjtGPX>FA9XInv*_Dmj&XlJX zLsE%)C{ckFs9FGE=Tw52zVv=5UaL%*TfOvKkIT0z=rjy{J-YFEjAV<2@mx0P9;u}np-vT}NO?uJAfsTF-srZIyz3>CxrIr@K|` zW|IQ8s)7+=$!=gpMvqB%KE-bjG9w?Z-NQ>T78TWg&|k{hR`;iD+i|@SsLae{_pUEp*}StZ*qrM1KO(SPgnMc6`no7zApXShXe(ue(A1aPw7gz*~w`UZ_{d@jvnb(-^)R9miq2(!8lQAVl3`y*RNp)&NcFVX*d}H95%@CeXXFKS z2BF~c5evINz2wJrH+SsJ%yvhKOAp|qCsM%f-KDNSEzSEr=lEZ$7`{K9{|{yY77!D{ zmzg@+6O;=li;*puviil-l~&cyWwmt&*_!!z_WAH7iGuKoW=lTF6TX?APTY#+AX^md*}Sy?o0^lB7N*$mfqauGdWn z6t@NyY0iQ_LzqV@;%yF>jsK=&e}qetjEhUx1bh@!NhK|!*;kJaOiE~_PgX~^!=(N} z9+_xE17$WDtWk0J%TsE{Nmw+IK?$jx16J5@`Yo!%oe+%j&<3GuVF_u9B9bBcggIry z!KNlJadGsovEM;;b8+j$J7=)#ZH>ox@sMnhfRsFKXvE&}rPWQ)y8rsxE26b$( zNi#c0%Wj>66s!KkE55MH2?;|41&A=w0P1)UyiKLtc6A%XY%Udy9msUmo6mUlC8#k{^csr!9Jb!o2ryS3hYG_RocMQZgF~p8JfoG09~y zb~&d_sBXqyC@qL`z1VQt-w%yjx4@K6KE#Ee-}xM`Dj{@G8}Y#ix&Lf)Xqk%Cym{cu zv703nd)sRiz8a*`Ns&>z!4bQ}5qoQBWGn@kxK5gt#Xsj<-BLO&OpE(PMKL*K8e|*g zXAkJ@x!O**e>1$d}CiAvZxFNo#TP(Y3_!GVAbj@J2mo04xi%k$I>SiG3hMkE6E4I}`HUwCLIOzU zrlLbW1=voyoUy%Rn0p}uQhp1!L`k0(<7+N0E`9TWUbF%xZw9%HLdDbA5`Os#q)hfu z`l#lP0j0=tv3KMZ-Wa_eMiVt*pr?>+7Mjc20KuwLZ+wN7MBw1t2HXXYWXSB8TQR-u z>X^vsqI&_n5Q+h4<3$f|;m))KSp@BD(>QOv&$)ABeX`LEC?IwI#-7>)?)#vp2Vsrf zxDBJA8pmFqz6!;7y|KlTDTEWK5hN@DZ_q1I(cE{uD9t|NLush0ii!&6j?+rVKwVhN zJ(+T}yKGvn#bvh-L1x7`JY{ZmoBqsJwu3KMM2?tOed@U!N!GC9{emAUVQfylhSt8c zZcZ0Pjij=&u&}&6wfN70fY0b7cRw(#NG1^r#-fpEGL@_FmC@Em-;cwUU17sknWF9k z$ko9h?y%_}uj)vZ(dsq25q#T1j*kuV%Pozpzqo%IRo9~lY_FU@{9f*I4%*z*H@p_k z>zkF_FwiviLT$T241@?+p7eG=y*#0dbO6-+u4cNZ)N%Z8|C)s5c+peGCa7M*5TI^% zNvCGNb;CKEq=R_Niju$w0X?O@@uihG1~w5`GTyR3D3kE8OaYLTS-afFA}*u*jn8d>BD}B8l8Sn?m1koYegOVxvPpm)f;XiOFtl_EkmkJJh{b(HSTm z0u{YrG{?3>;{Ze-Hq2s08XVW#&tJ3WI}XFwE*t|c_d{^6E7R+LyOs8Tc+Pg&!GZA} z=y){3I9Y!0gvA&g8#QWnx)ghRe!nQ4Sh+q(j2&xOQinkO^wMgfomL{KghQQFWGj*& z`yW3w#e>w56VMdYa}REx;mF|_OB499C9R}3(0Ll2u>fj-?mc@>$0!8$A(szD!R$`p(ZwLe&$ekoky4;4m2j>dmSI7WL!?WrM zC2SaC1h8EZ5ktDgV!!y(H5i0Rz8VS~JZ3zLZ@q`6B2?K`e4iP+1m$8HJwU(3$ewR$8BSa4J~C`@s6N-Jrk}aR60G^ zI#v(w-4Zje)KC%Bi{ytwVDbkBM5qWdOd1_q|Oz!+)6-IP`M3f|Br#~ zZznKWO&lKKc-BIj4%SOjcEKwMbKsyAaO*&%9$^l zIWc|6e*B&;I|a?pFHp}~0}EVjWkW|@R}M344hH@>G@eg!r*k>|No2svqJ3I1iaRg` z`8`iE7C8WGeVmm&U;qz!&@Q7mRf`SZLqk5mp2TF}8gCQ#dYQ)7G6SdOJ9gtghSq*E z3L!$}uN-0?z;llnkqfCM-HMiqD3)E7no@TpDG6+67J){WskX00m@S~J=}_1hCe_u- zH%H%tSC7`M2Kq09_JU`0*zeR4Sm^ydY+^5;%|>HaZ;O52 zcU&=qFCmyPK%rL$Z9D$31`E0>mjHaWEJVQATWzo+sk~JuLDom9K=M#=O_?Dajx!A#3;q`A0|HzUPGHm2qO4Ebe9)Gpc&Any6!os#PMI)_7jI^S znq21EN{jlSPQ5nO=`)(w)f*VF5I?Uuwn;z|03LBB^hmS{>K@_HTf>ZeiPEBUb6$(E zvfib{!A1AZkKW@Cl!R;=g8o=fA zv%B(%O5wynhW6$-%U@jqb2C=V?f(Atp@pe_t{wInAD#5&h|2P}VcXSItnWcofO{3c z6C6kt&b@f%fUo5CteB%%t^z^I^KMRRK-OlS#R84qt79x#ZF9)|HnuZ&(d zRER}=cVdK$+@Rt!3GidK$Lm$jYwE``p2hCkuUTywm7;KMV zNO@d|6q2X>bg|EKyc=P*-Zy743GRKs9i zxM!Fy!#BP_Kv*A<3kvB%y*VJ;g-JCx3Cf~b_w*|k6($g9m$>l3Kbj*71ol>LP~mLDB3=ee>x1hoK^D>W2q4{!2Oy9g<_%8RZvH`)-!~7xO|_xM+%(v^i=RfE>_ch zvCCqdWB{^<^w4%rN+M6BT@_%$I~Ax%>vDlf4gNYE_VHyBl%#WLUBOe@|G-VN?m@`& z?a7Kn%I_t4-YkXPu+Rn7iL!<8_;ZE@8Virh7I5f2xa3*_1bEGiEk@%!rn2YZ0RZO| zYs6DHP;dY~u#*4{kk>*~Sv$g%8s0;J!{d%0Z!Hy~o^i>a43mWs;rqPgEvMJ&KV@%H zj0o@d+dz7UWbah?LsKUP@N13Kut}?+eaGSnk&UnMpT3=kxCpNe~ zrD}>S>fyI6TaP?$`emHVAzj+Tx1!nP<6pJf;XbQNaR>|-wGl;xB)>mP8oRzx=I$*k zp(DIWQ|OaQJWKA;u-P!q%RH9i?U`*xOP*>F!SAQA!N6t8bOt@ttu4a^%2u+5;#PZ} z{8SNrt8|oR9FG0Fv97KNm9R>)qKfQ?tYid~EYLGQ3cIo8-m~KVWpz705hG~uX;{2F zpA6A%Jmoftj|Yi@G-GD)NxHsG8G~p0=+k59TVzc(l1H_WHM^Ks{BkLb{+KRCal$h3JuHW_BVp9A(@Ws_H{r2gN$Vx6ZSTWn8w!&?1TA-4vU@WL914=l~#7R0G z*aHaAHQMv#;TR*^-;%|APdgr*V=$2c&-dr=P4CyKZ@2FcbA0dR^AWHEGMPK`uu}}4 zB#SZwA_SaJN)jqdn9bNG<;MDqT~u$)QwGDK9@()*7!#3es5ow*Yt&K4PyFq8ES*~k zOB@8%$vhM_zU`>AT_Uze-I4Y1V@W10aL0ZN##jcwlleH3eO-2&Mf_cVC%V7l^St)v zd9Lt!`Q#?1&|t2|*${6Q++|FB&7%-Tu&s)kSXK(A;YtQ`vVYcO#EmNr8vPo^AWzfx zi39c%fh5Ehu>Dm&3@*-GT(UcXd8j7tmAcKp>e=ny=hf@{booBp_0I5mV96)P@2u^W zISBYVrB~#?`kYzYald5ydiUhyU964k7%(73%$*wUKKn zB(x?KDnkuEe=MG|`ud5%KvTMN{6PtxPKaM}cT`*2Jt>ej0Y)*cc zR|Fm{)wR3ru~%m3dI%b6-GBEUYO)H*Y)5#ChyZcNmy_MOP@*pzggME^{skSuq|KCK z5d}fjx#ouJ*A#PX zz|u3iD?iM`U0>1MqJ!x6{9(>NL=Btt?o}0J7LCZt0}6gSb$9ON^Y@4Qx0i|c)(0|j zN{ROzuf6p1=LI^^N5=p(z(S3To|+{9@RwhRt;lbN&-WnJ|1edWhz}0F-K!@wGb8Kp zgoGr}*g7(S+NA1SV8-UFj$lDON53w)q*O|JRLwsyT9|o?1w%2Fi1ZG#;Apg5U_sI=Pd2YiThyeIfWazC@8+RbMm*bF#Hz&kp} z$%wg;(x=^&^1W^Ylcm}sg*j5%NRpfekgtJJmy)cW56q#>fXK(ICly&U0t+*3;m?88 zTGczqV^PZCx&@{zIa$BOO@DzTn2eo&bOy%JB2i@T1Zod@1JE|lrVoh`#m7+c^XWlb zq;fSRrX%Xil#Bo*jA)2X@y}>nKpm|uGi8Hi1~W%#VCk3q0g_~NZF_Q5AR5s+g_~v} zcy^42QyUYpqMy*k!{U>4y;L%j8}ky2GVF3>OOt#k^CSg z>R-%g0LX}A5WiH=?*)lid{!Wr=iv0c8UmR@sYNXfVV1+-6rt^KK6`&0C3hM<8t@A4 z&xxH?CKcof+)#}B6sRmR8U!U8DJW}M6k+3q3=oXnS#5DDE6kCUX^RO2B`(Fwle`bI z9KCMqD?KM+YRE=bHujj;EVoPaP|G;D0Peb{!Dm>~&dsZ;kIE0z+cTVkMszAE@?O<< z053mh9(J_^4?iC+az1=P*J?d?gtdh=WH&CS$$_uuhSp}5Gxh&G-pdY<=4^wWWX|C> z2rvedUXGo8=`0|=S8%A~Kl3yZ!u2|I{?xnR*lNeuCF*5_~G3D9}>c&nzz1 z{otTY;Av*5Q9QjabSde>`-TkkE}Nffa?!Db#Pj+8C%|Lh{c>r#Ya4u z*qalPRO)6JtW^O)_I?P{?=pL=YeA)n?t1KMcR8C%*ubs(RXTv7dh|8Lp1N&WBFC{l zTiMg<06v--@0>peHDAB3ZI$CXW{%b9OU!MQPKNPK#KCtkznzRcL~faFrTK08MdVmU zjlL*By2RS$a;q=k)i(AGpX?pab>hP(F1Du6RQQ!7)`8V?XC!1hGE)u>!_&~NGpd07 z=kS6FBYmrg@T|$OnmTEI!Vhh4^0v1x+t9GC##g1O??W}XKvw;~4z6JB0jgkNTr+$Tm;^o{U=NO-kyQFsW+Zu%76FJqybkX`%k1n*C{hwqaZ~hTCDT z9RKAOv7~*k?0rB*(w3}Lw->6X(lN!Qg!X$-~m7CH?yKwD=$O$#Q{#hTG+pU53FPi zUwQ{20k~pWoaD3GvoaYGK;W;VD-xs-$+vdbZ>kyUA!}mZXNQxA++))A7xj94D+e5u zSAC1{W=i(ke)Sp#-jP@3L?sN`E-zk<>nutijc4+igPNGpxJs-UyC!Kic(7iltKK5# zGSX2r`jtPJ3s#^E^=?&1Ey5%7K^sF6SPij85*kjl5Mb+cmq;}1I%7-*Z#^Mn`CNT2AE5yQ9JVu+YRv|B-YBh(UeM)OT8hbUD?ZQ0ZEM5 zlzsxU4_NH5`C7vRKV1K4W$*^Z;c^6<&_%EVw4+3SWd+Ak5z_y*wEHHKHuUlsokWWs z{((o?mH=>-uNsMGA(=#BXGJ`8-^mPK?B|^Qr%V9oTGd)&f9RZxcd)HEta~YzlE!R} zNz1}Q@*#4CYv(SBpjSv>9!a9q5$hLX#y^>fN9iE!+U5h^WPbYa`bRlus;`g|y8*7c zJNP)m;J^Yms}41rR0~&%QXgvwVf1=s{(!g^bLwi^)W?F>^MzbmK-K(x*w7eos+@?d zZpXV!HYRQ$qU$IE=%=5B7*Jf5`ixRh+6GH&Zv z$(~8=jL^wnD;NL-TpmrAar9?RkFF-ZNt}cFF&C<-W|qM|j0_uTU&fxDJ)NZq>7 zRd)>4(T1`o>J5_WoQl1@r_3Jmrk+vr3HR`8^gvq5<s4lRaUGrsBK;7?E-3>hkdUbtLI56sKq4)JeJ zauNpkZq=_k88nA|?uxY}XJ<|sC$I*8J%RB_`OD!xK620a7WjKhBxHG5ww6}At;<-5 z7Q7BJKuXg4=B)GvCn4yZmv}Ur(|!1DC5(lo8$EYg;P==f_Uq(ve8%euMdg2nIu1>Y z|J>?d@jjN>wMun<>lYqZ-`WqP0-9mv_no?H3r!#4UZ;~*w|}>}mdnHe?^U9frHla$ z#+BPyyHX8MRRB0{|F;s{Bun1B*jG`z`itp4`1%&VrR*_5l1d!PrE^k}yBM}n)=>`& zrZ=GtLYUaO?|A(-;%fU7oED`R8ZT-a$!~=}Xr6;IwW`EM`P>}{Z55$)biI0247XF$ z+91Q}j|30Dd??#kz_j_yirGFl`yIQ_{@tibI(T+C#y_c_rbSZypYIJ{4#G(rM(zdD zn_JtiOIg!11#E{)a3TKsk|`t|YWDQJVclsL_MNeOT1oa6Gf>vXT8w z>IRGdt#{e-^A;tbg2j76%aGW`2IUe4VzWUX;AdUm9&!BVq|W_IXmzK)@=9vf7L8`B z?RtS?m`(GR`{ztDE1gWz*fhUm?na^e@BL4Dh1L>kZ2MX_&wc1C#=@BQmY`| zT>2{uem^8`7f$a^$$5yU59|p?i=+$zfd$r!x10chGUnNsGKZgoyNv~v}@#X|_#cS)<8qeU!29!6%4m6ZLLIl@KplKD0x*9@Z>w3h3`_he#XQl6hI0(4Jp zZ!0S&Z(VsSp9`(?lUbuBLbw&$qSV*VxLcl^I#a5dqn*u&-U)JijLzrhmwz*DZNii{_r}-RKv<(c*5f07Nb_x@I z@-%-rb1dEl1%} zXQVnsf(^s2e=>UR5nKH6DJ=2dSk^?Da_f-B_R;haK{Z+$15Cw$O1cRp!Ryns4O(X3 zu!-_7#WGMXg(TWes9-p5S@x$(@)(|A;90qFTysX>DY>`Ob~P+nzskC9ncX$jXx$vN z0(qZ7jxFZ25F(Y9VWeorQjsJ|LM9Rle&&55RW2pLvT5}`{f9;~Z=NW)KR(-Ht5`0H z_=7|2!s9KQW3MFO&d92AxiWqHQ;Wy6*a3-|IVd{sV1dkP0XK0ij&k(htGClT)sol^+FG*p1O@@cVtth2|`K z#J1Wbi5%W;jBD8ps~M7_dT9dmHmfDpFeoiE!(`mZ2>@RQINeqL`na&q1Qz)ye#Y|= z$7eOrtPr0vIfsBOEiI`08V>JwM7i2?qBwwQ#stN#{T@R(zmA#q=6l!v-azk`DT{;} zctwj%5p&ujl@`OObGq0!ekNoMO>1zPhUiD z7uFwo8<&09ToDrYB_jJ5surlit*cnjeH!Y^%Q>L-(%jhK0VAX8Ss4Y57 za1)N%PP`2uid`5KY?eZ7qzjXqUCeJ2bKXwweP5POip-0O<*nKh+k?eJeggOC!-{b~ zX@)g%WymX+G+5XW}1`tk~Rq-%-*kW}O8@bt2i|2P^5FPuw!+$}{Vz0*^AB$~#ob0xR7~0QC<~J!M zdgI^(TS7YDKAFCJP8zC9sKCoC3te#$+qOgR^gB(q5qg>5w0p+Asbv(ZfvmqjwIAV3 zp56;qel&hNoe+J>!|=U;Mxonr6ZWS$zVti3T;@ELn2Nn+%)}8^`E~ekLl?wO%l3paugy^WG#!Tex|$a@|FeKEx)k}`R2y=UNmkD7mS~vO^!5q-#}9!@z_xZ?-~l#bNX$tWjZ* zU%9QCn7J(AG^MxDwCGAvCJI4Qgk~&y#`_Yu?DW`89Y-hyHthT^F% zQ~aVr6Cb>e7Arrd;Cue8MTNJ~axQ%&gLc=ixA-2TWLkC878VcMXl4e*#A01*cmbQr zXb#uwYnP7-tXirpp-7ORDfz!>0w%Lzu+o{#{NghMYA_dPQU(#IqLWZ`q5LhnQRBXl z4n0eEDkAD+f7=$~zTKS!Kd>pys&JTz058H*&p`d8u{`1dSP zLDnKL>Q#ex93N!EFwXVbu>)wli?K{lRs#$^4el^&lH3V#pR&z|2! z`27wt3*NN9(CpeSahqi6tkwTOkKcg}{+B#V{x_}uCHVbz-AG5*|919ERQ`jJ|4EMU zTQAv5%la!z<*i+dtLOA>IDW5BEEa4~>d9@F*2fXZo=C+@_YHDW?YCTp&ghwmq_u`A^ zz6BOIm^>W%P6Nm@Gt;^@tPo@I@N^YXuKU*3OcKV&CKxIM|M}lz7C8OgBqVw%CG7Xi z6QNu4q>}ysGBlKn%u_98{x_4;{&yyW1*$bbWhkzw099ZrfM^5zMsJ&oDQK?!NYP>#`$!f=gQq^;F5Oa~>bU{Jk!0GeNxS zYJK+LE)Z~@AZ^Zn|yv#sd%aEWH9_(Ltw|UBN!NM4jc<<<3C<+ zasdwyMsI_1*Kh6#zfKMC$MhM#?oIug1jAJ3Qceph%+z(fUu~`_7w~*Z5x}yN0c#{2 z6cy1hhfN1yjSMYDQo>SEP;db9Xv9M<(<~slxTk9Z%5{XhMRS_CTQE~LGA%v^4WHx{ zxV-l6MPD24-*%@a=|dIraVoJZ7ly+2jNZ%NQ3!nX9mN!qd-t}@G>@mGsJBrHp1?0r zeLjbKXLyu(tws?X1;9H|p5eWcoopEV!@Mwv7IR zJfA9zV0hausgOVp%#R+dk^>1U1PYMEh1}rCchzCk*rPFb->P%t&kx*FWBX)xu~=$O zhVPJ9n|KKid&4vXD?YtBPHd6a?phVmXE~$i$(DKi`L~?+dyQC;SI-3Mn%}M8O~g~Q zC7JXM+Of5Es@}r`Y{A#n=P$Nn?#EvOuKDWbtTQM#Z%=bHZX!%K$P z*)&x9YyLhP?@dD}_;+abg=dJ;5+w{XIF-05ymG^HVYK}^S_jk>5ZkD*+4B5}({+$k z_hHmayBACh3C*xNb$`+>X={b(GFARty9{Ke;=wcyaKr%os5UpzZu$759EFR7TCrKZIYuRfea8cRipilVOI&zYcP$%2xE3b4-jrV>4^TM?`PWGc%1%>b`5 zx!FEZCDkY{&mhzLBg76fNGE<8Tn!(d%_KO6qDfT*{V7AztlWlWvQrDXtz;1Cpjv;f zdmjVoPt<}bQJA~)1(u+Nss4vvI6%do$qzWsFbb=0VXkzHv#)A6$F}C0e4y(z1km+J|rKJF#B<97XJ zwBtn#>vDPpK2HW*s!7-5%T0?sq z=featE!9MAod6K@Cf-A=ptvG5^;=)BRlmAet@f|_Vk|Cmn=h6H_|26{?#(?_VDGy1 zMIB9O{+lY}{$So6M;GH;TSmKlV8%CYAXNUnA3jRd(ioD8jv_wSP@nR$JP@3HO}b~n z=Cn_57;EoDiyJ8c9odqF#;3pT&b~e#_AAe8|H1pj49Lvle>!~W-&C2o(@RNAX1{o5<_MGHH`-_D1DcPR=r{-}cOao!`;&19HpA zr&(o%!G%A?<$>d!{GLBl_}m**Rk3YVTIk#znQHsS-zEtxV;zBOaU;Jz_58|AV9PE? zDEZ@c{1EhPF}evfYK21vUH)DFES;6^&R<3X*i<3kDy!va9R5)s6D*`2Ovd-i6^UnG zE{MqKBuAq=A1SSV%ir_>KCLOTmO=evu8?>7BBg{{r`1Kl`@?|BnZ7MA_VG$z&BHT} zJ?$pEva&0deDZWOZQDhB@p4&Smm}7hxEU0GWb>~&fH)vwC(RKh_ z1g8H*H03 zkSNk#i28OJg|X|^$WmKs-*M3cIlSb*hnEpH(fet9!e|6q2I@?Z4{OPN_Z^YSPlbt-vg$`v-4PwCQ?y8jsw%gVK`bO4I?3Plv9pFqtup{( zwS?p~9Bkkpb6ZpfL<{RI;p?rvd9kZGPPS-{ITtlTKAl2>jZ6Gz1wq>%O-`GpRJOmU zp+bG8Q{%6a08P#R2RlK;zC|(e`zoH2pa&jhh9eF_%n`S3$+?`vDuLwJK$b~L!d`__ zRvn@v5;}E;_CzS*;{bqJm8Ath#3YbdW&uBCs0fJ^pg@Y^s}vEfHDTjOJ8Hcm0OkVR z(qixToQMFjvM5SpB?uWQt+f`kNEA{fOD-hB?ncBSAGvD=tX&VF!{k9RmjHvoKwwjJ zVXt(vIRnf{8W2daDGdWzYna8dMxr0j3`zAeVgLXgC1E#?BUbf^&8J4iYwYwv?h! z%hlz&J|=@}ZPdNBrOQ)J^gmtN*x4jf@d6%;t}ZMe5k+HL!6XysdF^;%t}al~tG?gd zy`|#2C4}|G%i+ifg%JdXhXHDQ@0p!(tVE4Vto;H3(ykskOZPY*A<&H&*Bh@QZiqn6 z0rifbc&8-+#dFLvzD?FA6bG{PG>e#hspFI|o{ z;@F5F$5VvWV`dH^pB!NX1O{XjZ|QC%tLepfY%y9S)#U>M`I~-#TEMO`LCN1H3X!B* zz9*`YCzyH&UhmQS#?Gc9ieY`{%0Mh!EHm)U+qbGiikShfEUr{IGP-sGb1=C8LBJ6i zzyRc0$HOFRb=rV% z)bA2eyEOyRVh(+0;JJ3^_@pQK?lO;oQM~TB`}GP^W-*PCF|BU?2*bSs+&SENgS|OV z=jqQX-3>OK#&e&i^YmwzrZ2b}6gciJt-6-1bGrU0b8?Y0q7L?AqGt+hjWNf!cJ*Md z*Bkavz_MHR7S6D4I6F(f~8O^6IViwZ(Gp4&A#bc8!O9BI17Jb~P=K(!yv*GLp1&xy zN6a1^x@e*P8obEap&`~7b8@tkrHUmAir8H;Cy7cl34OIJAdC1h#O*IV@t=Cff8{^> z&e9ld6@d8l17^mw5%u>Ci%RhaHE{?5*gIgVr%B&f67}^fSB~Qn7fi$%IIDp{FcIEy zp)&^n%>0SJ`msme^R>V6soyAyBFnOsm6iYLM}Pbu{lt%IrN`S-Lr2HTkDo+4r&dDL zgt0HiTk8K0OfyzIdL(Vh&#?xKH+6g<&dRKRg7rRnf%o+!-@9pVuP?4zj_DtF2mMZS zhLC_50FL{;{o_N9S{ArxhM;GP08B_lSqz4!vooEbkJ=mb4|~Vt;e zDk4JCdg{3^e*1?XR)}aoDJ3rxkxr7|fBH4Yi!%Vg{QTU};3!SBXrmR(L>RCYP0BJS zNg~9blxS`dGiy|ZS7jw121@$W?VoP$9^JQkskEim8W;o}Y&065dvPmGlA(7t^2IMa zquNcaG$|!9qlEN{S7N0U0GGxPl8mZWx+n{wIYeBPOrXdZM6xVB1xRMTc=W#8X`2tN&qE7D$5cHB(^z% z=$oPqT6jb+UfW$t3cloI4jM- zBuNpGv$QM?028Ss(MghOt-Oy#IV!8Llk5+&tkFF6;9yNsi0*}yQY$N$!6QWAuP&4# zX^ixj5R0<3) zdgi0`x&cS`q<7fw`nU1wq(!q_-uq9cIJB8KH{IDfev$x8=)OU8T#T#5)$=!|Sjw-) zpY%@p(YL@;MtjOx5{9X6bb>gIhg@G=jhj^~C)V1m>9j^K)feG(mKdk}7XCVWS>YMq zO}rm1Ax}ei;_aATI8Oescie8x0K=DGeMMU=isJP2RIV$MB)N9&+DAV0;b&ib-c=Fb z!Ah&eAqC2zTkFS-3A1|Psp3wIz2gAByB0FZ;N7ghgOxE;Es||*(9X;UjH?$KgW#|> zjtOe8n1HzBeox6a@uT`16A8!WFlrINRKIUwO8$k(%rR9&)4aB`g2NOy!LEN76UmRPm?0f*s~}n z===DHGA@Ge#?GcV(#}V@-FhW4<@W8NnB?0(vqf(K&_W6D+Q#nsl}n=oO_%OLBxV+# zNjM>Y?>XL=s)G~P88J+B=Fxcue=;WTe8q2Wj72}MDrT?A!kp&-u(Y(y%-w6hKGSLy zr9rTkEdoezJbA&dKmC4_qa}B+*K10KgJeSxolL9nfBM zb9UUr!FPYpCxp?^3WuXyX{88R!c2SCl9>;?1LZ~TJTFXHp6-0^GoNWZ_~2WWq9oO! zi->6lhr?SV>~T6~Iss||R5v8T z4U3u5Bky|ew|?ZKzwiscaC&;0=Xp^S*REZgot-tMQAC|qTW%e~Eq&NQb)lsuuEY&F z>(P{a9=~0fYP}WuQp12>&-9Ihv#&dU?9o~I6;%upm%Pqim6iio1AZp1Z*MZ&{o})+ zTjy>syt+uhOab7&YOQkU;v6@Yc9FKzA|-@mprKi>k&0HCzeiT=IMy_O_tQRJt? z)4a$Mtsi>Vd!N7cYLaMcte|MgM;=;lwumhgp=HRj zr(6;Hlet1QiskeSP} z%=4lYh##FpfPm`aSv5Kw2TM}@ey`J+4gLf~Lsrh*0L6-P4VLIz0MbG7-T;^xtVP6k zJoN7CfArY^7{Me=INlbV<|3v#i6;GQ9{5Yp*OltX3r@q54#j^o00z*7`^7? zIZ@pNxnA;731gkxX_&YlsVO1_)+R9?jEJa@A@I@bH*QZ1*WyaVG$I1u*u7OpiNlb3 zwOJ24dZ(ZWDAH-AlTqHdwz+*+8nAX;&vY!kAJDc{)#Tf^=@Af1chH>FF>1 zzKu|ZN?kM(btF|@X&5S%D1;D90Gzik$BhIn3AS9C^7{5oiCHunj?AqMw8hSyQCWg{ zP;D`U-J05(y%v$@M zf9ugt{ts!I03drN(E%<9T4|*c#>uft#y{B##m#m zF(u@Ko$a0Z*|z|uQob?f>%aN0J#p=eXdMK=K1#3gI;2i5rx-$nJq<_piKky{wVFz6 zMKm1cY%L&^WeE&O*l08nNhyUyY^^b6(cgXQsi!{t%^!-E4j&yK34e%aBS0&d$T@B! z*quV1DG8sfFRco99yV7jGIiGHfV|0rt4pi#P885Byfls~ zhJw7!rA(y<@W5DOE#JR>^%sBf7xO%q#Z#7Lk|fVQ`)p}SWfcV!FFaWy>C7R4YEvsaifvvT#ZQn4)A|YGDk!@P_34nO@MaKJ9);JoR*LH3?=Tsbkj%pwn z0oa1`F^X(}fia*efc^ND}Q}EhQ)qApmIw%vmF2W&$dh5g|zvD4gQn%IcbEjGh)6 zdHC!Xw>mRT*|th4Qlzyi%A()vcX^eqW#G?0^(d{bBVi+J$W53U;oX&_4uQR%s@&?7TqZZrI08Q zXfjD$^e1bXs5GT<7aYvY?(hp$3y5h=!OX^*(2y8cWalKubi2nFE?!iMh{VR9(lpUJ zVYbHDJkJHPv}_fqXa@a_Zg3FH{GRuH={%>mIFfEPt!Oz9zJYb_9=fCrWtoXN-p2@4H%Gsst$uUH3>GjJ8IT|T*Q z`AY4as)f0qwD_rf9>h3%#0%lo<<$_#OVai2n*q65U%EW@bYr^;SAxCU@D0K)oWwa%rkjSxiCSGcxxS+=U= zj$cJb7+H8!UE)siNRDlCUj)uWIQ(Du=Ra$V+1%V*TwMI=pZ;H8-r7i#BpAQPi)!ph zl41}5kSFt{DTF~`v?hnvii+gWn{_;t8P*n8+;AaDQ5!p(b!HN;E{9={s)$%W>Gk!U zo6ZQJH0AErorA8iU=7&2EXc1$63a_#U~}`Z)9I~VUcPhd*4)yP*80xTzOi<$-65z9 z1;C#;)>=SuO5hB0n7Q3-`7IDnhbX*Q0B}J%0RUibrsJce{uy`jJe{Z4oz7v?^Yo@j zk@FV!rTgfPU%PcfFw@i1Q{28@DNW@?`_DA7M^3GU zTRV5|xj4+{=M!Kg+V38AS~CD(*@jp-<6v|j^VNeAmiV~yG0{!4%914U67WAZ$I@O|I;)Nj7j zY+{-u;Rv_RJeC+h!uv`=qfuTIMZW#q?c3jSWpyQMHUKjKW3BnsMVi7g1H9Q)={{vrFmZfw*Yjr?$&C=8|XK5nbWTLgTtQ56c%_K>**2dV=;ixFf z!T#q)qYvhJ?!E7q!{O+UU-}|9TO$9-cJTeK7)n3A;kTOP#{gHCR^vV97<&IkK-9!m zD16yH=^gfb{P!9s4la^(v{=@cSI4&G^_|U{Kr*?&qRQECY9~W6mqvUnl)`#88ja)Q zV-dn1e)!=(cNradI-!&l@YF(<`R+E@G|o$%=d~0_74zP>ygr0LfQ0AhNq{4Zt`|*89@QCRsiDX zkq`ubu{97q3|#=%05xDMzL65(PC@`l4iOn^h)^kd>qGC*S_6P#)u%N**wQ2*9k6%T z+CMoRz{NakAQT8t7C9oMX#xO9sI?NcQ!h6H0FOJMBm%F*=P*+j>I#~TREDYG`-g*9 z*%&q@dDx4Y3u7T!=+Qj@d7^cirY)^WlTu1)EhTe2%K*UK8}w!D4|+#{z|Ls^E2RWJ z(1}X4N)ipgTB$y+(O{EEvq=$A>jVKxV~pk8Hv7r_lov{=L?-}NDH`*!$&~;OfxK6X zU%)6IIfO$1_v$(_+Asd73OFfwn@J3QUZzo;u&0~=DSQYsAu#al!adF@whu8cH+krh zxv&o{Gg<}$Urtz8)hf%Hoq@O!0E#b$0vBYN2_Q`~tO3kyjFGVuG*gPTmI2%e?m(7M zDF6@wz|(*DJ8)@f3J)eVBQP=&0fQ_a@?qJC6e0zuHO|^Y9Cjmuk8tG+MhFpIysoRo z(7gzta>t9yxVlt3fpK0JW!*HX<_`_H0j>{kV6P9eI@RFjIFQjNN|kpy#B0 z1av4;G)ULCH!-@~ySlVG=7%_3XND8|>e3al*Qr1q-@6(NH8~ekl56{hvmmLC!K;gx zV}AR(57_OPt%&IfMODC3{RslBFGgT7y8>gZ1Q;d(<+IZC)3{b)JSrg@YgvQ46t_Z<>{gsh6|~Bz8gcI&tv)H!cG`6W6b~YQ$O=_KlgKpC_y9N z^{)2-@E>n(h!uiEkhwYvv0jv)u(M{C?NnLmjG}JrZbdf;0039+T?wCv1Si84Up!Q} zYUrSJ9Gu#zj7zuQvtG}%7@qd__fLm%#Z?6^o=lf6boLHrX*SmtV&2#fKw4Iv&jK-KKjM6(ACJPx^E14%Aumsp%{&|25#ib?i8pk@DnZ5VH#O%(rnxfkx zDzPAFg)urwQ{ljsCL|o|1%|-@XAVLm6R3FwCQk8$9GaFaI481{xx1OEG3XK2u zQwn_TwO2m$fp2*7$tUwX&$3K(%}!1San!i+76E{tpiaG0W2rajcUo=vDLLJfJ^+NF zkVoB<{@CEepG?i{)jyf~{@ogO(hn`iv!^|NG}*{86`ilx{*X4dZw85-12!~Xm|Gq9 zudE@2u(5zd*L_gt3NXb=%tN0eC_@#m0)f(WW#w|Ck(sg_+V<^JeK4TpcnDyK+_oCp z8A$GJWMa)th?t~GD~&FW3=v5zy;_T%*{_f)j~rQRN$Jvuwwpu98fmkUS++{4{d{%z zu#&Lp5&fg3mkPOe77ZXmjJG z^dsL6z}DE~5$+YMGu>l$=$mMa(g0Ult(LZ@LQap44zwbrQ0v54MjsTYHbda?S^)G_ zIuy_%DM}$FfF#k)M#ETRjUAZw?fflx(ob+C;x02FVv#P*&&?%zgaApRvMkXGmDUp8 z9lbuX3_V2qH+9a3_`dczbNc<4K9lC zFnI{@)KgEr|NZaxKp@_?eC7K;_PzVJ_u|=SamWi;6h#3A00_J&g*f{ehH$y*!^;!+ z`?QJ>c-9HQnNFkNpiUjtiM8NSIi+_|1SGn3uH0c3gtR>ga zbVpuq%NZ@0oQ25(C%q`VjudL2Xa?iNJGFyzoZG0qJ^kTXpF|JC0S8`R-Bo;Jcgq9K z5)Uf8fLy>mq}H^$oSjl<)SF(9xh$L*-6uNG!1$pRD%y}r5j%GO)ovf90KC%tr$ zHSZrEA>w>z4!rj>0O-uL5BtXs6RnrC(4IT&AIGzf^?9CV#-M}PR$?8|vN zPk-Wc4x65*zxecpFFgZL5z)>}CoryDr@60(orMTF1&`ltY;Rh&f|>Hp&5`B3{n1wi zGtCsR1+9T7I^e-+eaP9+Y^G&t^4t`Kzf!f(J1INI`{lwVBtpQ$-tkZJAA30zjIkq!Xo7AHW)mh)JRdWi*EXTC}XtLmi%h9B;P2r47nB&IIgj zk9_BAPyWkBquH8iDN>~=t#P_SMV>Qov)Nz(V@z2ZBuEo|X>ldh3X}o>Q<|bMPe1t> zcIFVV(>lZJt{+ZmWe|q5*6grsbP%&F6DO)l=`>A~BoS6pD_t7Si##uKwnl4GJo1rg zobmt8&bC)FjeXnuS*QfjSbz-kHQ}XncMCk1A8>-HZs6S1i&WuMeCzlf0!(#;8W_Y# zmZ>i!jyeJ!YTlVTE8= z9U`3X_l_`HFiwo|Vg(|K{BY1aj&&gpdq-gq4|>PU8~`A!=SPEqfX+dl>eM*2)?Sz?@K)rmbe9G^U_Z zDH@LQlVZ+?bYo&tO0}{E5oxVRDYo|dwdb1;JSZ+2t@SFeH4;325f+cOwN@!gw8lpB z%7gE2H5+o_WQ-xgK|W_Zf+a9nvymY%At8V<=K8BI)5^V~J5NYK)pOdVg4f6PkeLNQ zghrN?Wr1K5O=+4KtIDFZ%#B84V7ag@X(lrhQ9DVkF_uVciC~{J8cnOTQra@~1}B|X z8$A>i)*DWt+DOEN$jUhiPzsxkOc7aY^P;ep%d|bnGiH`^S}8S?H6#)-DbfUKk}z1M z+<6f|TL2g1-jgoiKwZ#_tGEwMtwbysIp2v5&0o>E^ffrDa$5Iu};#M?p0oT#Qy*SAS6k0aIm+w zeqUT6B3fo*_8}WxQtpy6rX{s`yyxEKmkxF-&m;ag!fHSm0WV&>g#MxwB3Kp?MWu+X zEs7EVD&<#*dz73O4 zVH#$7b#WEKj3NU}b>ca$OMwyh6168RBF|DxG#r4Zj(XrvaCxuF5$TlmP#><9YM^|D-cqpE z=I%)^^xmHIy8TmQ02r)ww(+e742GaQGVIJ!LN5UToJpkyD5i|->rD(`7|_Djt)0s& zOUHYA>BUQkxZgcOM5l8a2_zx8s8CKa^397jGM;sj?{6VDcE9|mX!vSv;rXKjtz7+((vG#OBYdRkmJ-?>s*idgYD++TZo7ZU>WahvoSk+ zLu3Es&P)+N185Ce!^}*^4DbKoU!R>_c;GD$wcB%J?9{a{ztHdZcDAp7@rBO}N4Y7D zWe_Ej{$MnJ(#Lc`Nl;``A%ZLb+lX0@kN?=UkR0D(timx(NHJR+obQYk6+B&z=Q9Jt z&D*y$)pR`97B7!`Ba-i#nOP~me&f1mQI#GNwbrH!59IsLH=2!^Rto^ivP3{}W@@a_ zT4z}{7>?N5qAUSek#4q{X_^8MfKply28SkVCR&Su_82q7;<=C68ifF2_>YKbnzmXq z&1P!=_uQ+g*=#DMtu={Glv2z*I31K_sgtBAY*7?>o+F^rYUT2kPNxl!0ib0>q9V!U zD`!dx2nb3ktrRmOSYTz9EfJC=5xm%1o2Dru_SNc=y3^@&vaCTwfH2c)WmyIQqfuU# zMQMuBXq1memYER0_8YzMWL&<4kuVkVbMeG+Tu#J6os4L z*;0N^!&HuifB=AvolW;z#2$`ao=fdl7FWDGEn)cZ#_pE(s=+moFp?1J;2{KTtHSRr z6Z2qr+L>u9fEQkV@wqR)@ZN{M=CgnJ1QBVi8;u4c-rT+A+(&x6)X@m6c+jc_{}+cJ z5yar%_8-*;mm|zL7Hg|YCh|E#hNCfzONvp3ieYEhn_9l_21K-_KDyPTvHY?3Imj(h zlLVLGV5aPp!4+AwL6a>sI1JU* z@zFVOip^wLnr@w2w#-^9Wwc&dx{_t7BFc+GJc1QvqbN%j>uhpv?WA@@BvPwuZ`m;? z3KfyI{@O-)fU90FK()p_77EO4n^`*2+Gw3+83PYtB!FLdnmyrrBto^6E_n9sFa?uwEzsH!nnk+Mp#MVz>UKk zffuL!oJ;v2wuY(JJ3Bk@H2}c(udE3e5_@R~?eXfm)dmj2e*oDd+!h63b-@p1Sln3q zHu(Q7Vv%IPAXfQt6#yVD5%1(uvb2PKm`AMdXJ($Ao7cv2qMf>HSY&}4u?)-y2M6MU zz$4Y}@J2s+p6-ODB7=i$SsYu3aVUz?8l#XDDe>%Br|>X0(^=@u``KpjYMWYVY1R7D z>ewgsqYA=mIl}{E9pb9tM2H9*JDXZo9Ww(9BpQ>yjqOdXbQq{u5mmasv9qa3p;yem z(3w*)12%Trk3*VYDc?Wc*xiizx`oc%m_f#koh^Bxc9S>#hOb86Wej61SPsmMolO-w z4ge@utq5aPj2)~|isyr;=3|U_Vf+U8ThcQ|WFo~>^L3^grQsVpTUu$y&sbhtyd0X1 zfb;Fy-k=Wv2i@u-_Wx(^&BH9qsyfl%+WVY)W4JeloFa0H$Rd%Us-T!DP)2Q#eoZ3} z6vcMv587^gAmY%1B5mXJTIIoqtu_{@i0!LZP!t*jN)*H@pyn!Sppq&wBQr81a){~P zh>VDP_FnIgJ)d)KWMolP`MxhUA6aqt+4HdWTED&4+UySBm9;K`x))b>jf^d?cFg$t z(%{&B#|2-yNA|g)s7EWSUB9lT;+M)QEMihZ6#Aw~i;8#{)G$wB(}kVoQFQj+rQJGV zr;7k)W1ey4Raf47&%K+Qo93xQ^Y7uQDJi6Is54xxe#|_V6<>#VE-;RVyM8kv_N3wY z8Z#6Q8@En55LdyNIa?mC!xIMr-xyUPScHitG9$3O+7Tp-{W})sI;+N74$USuHjxW3 zV{~koNM?BhBs?k8N(q^=;p zfaPvyd}J(0XN)}~<4fHY_-7BD^CBUnv`42mP%=VX^l~5xGxd8%cUfPkRJjJ;2|MAj z2s^OpPI&BtJqz7=>L0HF64edkmCME>dbH0SJj9V|o7btap0c!3YI$~MKy98qzpfsx zKT`=bGGrN=&5VIJz4>=W$98)mwhChbxcVv2Hr&%IU-e^J>sxR6r<=Zb{nBzrX|=Yl z#@ALbHf|c+S?i9r+E)6Dv`z^WbB%E=3D${3rV8l+My@%O#0*=%Nc!*TdHcI;R&G@J?lMyIWMsk?$8=~*t#xF$3l zp3&La(D{ZM6hbtb4a3_jrF*>sgrX=mdp)gs8|&+97uT8fRnPnWX0sWw;lgwz225)g zfEBO<14@U(ZMK`!x!F|80UW;@OA4iA5!R7NjRYKy_-Rvh>D^VTm}W=uDR7wzW+rZV zwIhUR54DVEusiSn+Tg&T0gs4iW^UH{%(JCf!V&SnQH^EEt7aJoeY7+~@S8zN7LI66 zyeLh4EYSIJ^YP@Dmy35AZV4kzfb}X@>4gy@!iXk)jb+hNycuLqW8IFniiobO&WEEL zU;-fEhk=-R`oJNx8-+?UJs*tjkSL!O(c^Jb4)}`Y#4DP-54Q6vYi>@G8Gvs zDJCWlWO)YWOlE~DRH2HZ=oQMKT2czL~EQ4U-@I zmJtgkW|l(qn3tC>3=9lttp}ta0&CqXR8gofoOW%Cfo4-P7e&$Qtt-|b2_i}4b^?WU z>=yyU+oGD`H>=RTs>Zs=vOI4vm`Kq2hPm`|Z#h_yTMC)y(qP0wNDbm%PYw(jz{sdj z+QzbVl}Et%$e3Rai=AbEzv0wgNf4<G>EokKJZQMAceD5J70xm zzi*|K=Z<11!Go9437cHN#8q!>Y;1z_^1I%lX~qHhshNj1HlAO5c$u5>sviK~o|4PI zUT?Ed1^W)6MCUL*G8W1Koj7wUP%DRJ*yb9Haz4@POh|S_PD?J6%&%IgF`O5KBa??q zSx(F){!FJQ55))4%361^vlN5g(^kK)KdP8g_V9@+StzVYqedD}+sJS$I-_Z3-k$#U z6ss16%E20bu)Bf{$t^qKXPGEAZ6Qv&krZ2x|Mp=i5OEvj__UGR3745X&T?|@wBJF@ zd}wmNdC12ub%GFLcwo?6{1{(JcGWX8h5c?Y(}WZAd)u?Ya7)!~j8dZlvQJ=eXSKW3 zU5QzGL<=UKeIE`_9Ec_!0IF$xEpbutz_8m~rJ{`aLp*}+$WFV=NtvcBDa0TC&p&?U zOJA|Mx#?Uma2DBO|pp@Z;!?#n87E{ zo_3r-#GQy>001BWNkl0I30L@)7YyRD!AQs{Pjr_anEI52tc!s4EN zd$iz{ZfCR|oSeoTTkI?wf=Qr};ntE__UTy`t?KfW0U*MIC+<5s{p8DR>`vGTk8s$5 zO?Se-tgx#+8jBx@d4k{N}@Z14;nR98#ds z%--~t-x(j@V^FzZj#`JAhITOlVCLsu`;uor=SBD3d;2Fp{(D+$y>XG8g2_^6d8E}g zXE3LnX|;dlj>qw(f%5!n`BPsfs0WpxR2Vc z*5by%=)%m}+C}4&$PAsjYgx<0I1j7?DcxaP5$D-^5*8I6yoTU zpC)7$*}Br7{^=iw`Hkly==Ks5(fY;z{LAk#$&5^xWwI!Wr#$7U$B%t&@WSb}wTp#P zN-3jHZ8e&-?iIa-g{6xZFA~vnUi5!tSthd#$X)jt?eTb8BlVwt145431puy~nI@#N z9}#$F{&c?rT}dHKO&*MYcWV5lhMfJ&EJabuVPmPgveaD}YmKyqhRuWMB&fT#y4YDZ zFk`IkncOdIuMz#jcJol(ABki~Hil}*j;CT(^Q=oWsalH-TuQZ7aa{W8k%-9AgpvPz zVW!0C{f9{q*xqKHn4fjBUnI=g&EWgzB|X@wHYp5(rgZ_R^|fB1kO=@;mgSl~5Gkc# zh7ic}OiIbxWhSq{CqN`XOfZig`L^t8k2>jwgA5ZE3lW)zl3?m;ygf+QZiRo z=g#IN!3?YKNkU2(^-H7cN>*3KT{1*&4WhHmtKN{o%eB@@$-VmyXrtdQ1c61C5%uy+ z!eN47&KnKQETmMu9ub}R#@$1YzfuUwvkV{|cRN}U6p?#-Ap?8WU_dP?WZ~}Kq>#;K zvnYCbmKh|mR}@NH!?1(0fnk4fsFZu7o0$P&s6>j3wTD~XwbkX-731-enT7FI zDW%ax(MsicF0)J(N(l|2!JK8XVRai}P8KaNKEBIn_zIIzGuWz)R@b^ms^M0jzi1Rv zSP)wg1lY*nI?ZcFkL~-)k)n(j=GpxxRL|h4i36Yc{O7)Olcraw z=){X5&O~j~gcEa-5qec!j%xge)|2PD*eYgvBDJiqw1=%xJl7|1uEwJhD)t|yoQHRk znR?9u9p@i!k2r{+dNQHE~cWrBv}V zZ+h#&{RhsSJ2yHyS`@`!ed6PH+uC6ts)9Pe0bq-17(vcgf<3|Dnf?2Rfh`TI%$KyUNn zhHf-6t$2L$PBhIMN#Z2))zPU z;bEqO85#{pnf<~$KV|8NA0}j_;iafuEqu!5<8CfULk^2XZ`Ecl<2X;#01OwBNGt-jt2>~;6 zvmD|{G@76On~%KXm)<3XfRuSAm6A${tFOB9zPr~NXK(NI3WJlH``|(;L8LSTtdt_) z6+ie>1I?zCLTS#RS*uTc;*-TtOUl3^cv-7D$k|})+_|$8lat1n7o2Tuh=T|A-*w@9 zZ}}Ta>0VJtVa!2APnQoUYp^GAO6x@NPH=UKDaFa@hZ zE6vhb%z1@117@Z6YIc&RCk|Q3+)a|3(?os9a&HcDG?rzq9B*=jc&N>;f|L5<%Hw5I zzVWX6knHP#NH|Ksjv%VbFZ-@n%GfQAT6y2g_F(RcE{C8y#8ibF#D9mtyW~C@skfAnb4+XHRYa zh5rqZAZUhjNely+#_F#`PS`esAF#QYEJl4WXqD5zB&&AFfY!PwRIgWP1#=Tq^vs6Q zMWJo83Cs{egG5mjd6of?Qe=_<$^~r{EC@Z#1j+;{=4dwT8l2vL$i8&P#_6S{szQi` zh4Y6FPZdh#B;DJ!nvCisk=9%&Wxy<>vCc%ykkUxJ0VOv>k%$HHLg5fcf~2q%Uu*6a zinY%34A6R0GmP|Oy>1F3wZ1_@ux3HjQ@S;}Ph`@|OT^H28luOqYmPkjz9KZksQqcJ zwPGPqD7C)6&I}?&)}mY&N&}Em_6jA1G#6k1dIaI9lMrOz3Q-x z-g&E(vOU})LCdQvWFNBY zMFI%GpMC6lv&Bx#oigLYT7v|$b<$@WyCe(b%y&936oqI&QpgyRvM19G`Y#Zq{|(j>Pojp_IvU|E;3ouHM@8 zVLezrEgUumhH9Iqt6ltwxl^TMtfttoBHVvn{PaY*+2$73a2@7@nXHs|3Rx1()0lc!ZfX>mT^Hues@;Z!}L?_IkbNKmYkZ z^xD_Szm~V(bEk3m7^}XIt$0#eWIi6zq?N|G_7oE&L`L29wLkugKeGpr z6jDlW15oadJ(uj}kBW7k?kj78EyXIARn-z;upe`i*ieRu6q);*WcT=vCk4TBV+301 zcHmAEEY9b7_Oxex??VrLjfjpOeM+k}de>e5eD&4OyX&rh25|J~Q_Ug6%tJ%%JMa9G zp`1^9+6%6|_PZ&viUV-%^IrO_XTR{~o4@ecPkpGjsWvvS*>9)R1qD!n(`OcrUUA^; zso6b;4-?UaQH_Z+)TDeaG5W6fgzjovocQ*0;8h5EMzdym~WVxIOwOtWwFdf zq?zc|ix`A#xPAL=w>{p;)+kV`HNzl$7>$U*kP3&-49PJoCH%&!5aPnPt)ztv8B7 zz4P7gGMZyb>s=$Gu_Azi5=f1qL zzELPm1mjLoYYfr#9jHPBiYN~w$8lP6DUv%mPBr%DW$p4EVN$R45SEv za^T1Ll|{`w;&jBAd3bP0X|>Q<_VTV~MOh}Z#{B$Qm|GkY{;3=xq`|+4?Bh#$BLmQA zG?=kjXaz;WI$F@$ddG~lgyP@`F955vt64Mi`Ezsg^YdD1nMqX?N~tW9N-1N_XaO%E zb1&C}v3YTEKul_Ip%ptiNYVfL)$W7C0GUCvXU=G)l~PJ8t(26Kgy?Pd;FJNi(j>_E zb(K=g&7DE7r?QNk0=;VkPfs3FO7)83w|@E8vMl@NH@_*R{0~3=h7bJl2XDXU&f$R} zt@UDe*+7Yf(z(n}oic_CY{uUL)0!DDjyo9^Ml^7FQ4|0vr8kR0h=gBAI0WBu#Dpgd z1-rkjLN5ToP&s$Hc*vAV2q-12#eji`!wnX@3kc2p%&VXF+JF1%-Me=)^VjaZ=bvu+ z((U)$WgfCKl^#EH5(Fd5!aCWMaXV{oWFx8un}$fUW>u3Vfm@}_WO74tSs?~*m_ zkUMh0{Nhv0{r+A|xXau(H}whB&xfyGO=GhTDPDHcQbQugPH*ii*L# z5E=+ z-2>M#^XBGeQ50YK%2#f`{r3O#hM#!jPrr$Q;lZJ(L5UFr+}Aiw9IW9pkx$^K%$%D( zrNhJl$0f!cIgwzdmdBh9Tq4N6y~)wez|_P6tu&Gab2&T=w#)W-?7bA+{-t=_EKPO= zdLqLWiW*nJrJ3!w7?=U{ir)F<1v3;L^qZPESaP(Yw2mv;)gCQ59`V&x$fG?0h;U(L z(Zp!xCtvfgfBbphD}-Qvoo98w^E+P#;Jd!-zWdqF ze$g#Af8kS~xK3_xq0rmj$-oXdJu`Q9G@WB~oPqZBCuU>YP8zGRoyNAEG;VC$HX7Sb z8cu9GjcvYj@BP1@=F6;E&zeW)?EO3Y{4$=N+nYPCVS%1vWYpEvBD44@3JU5G0?F0R zibb0U!GQE&K|b8Bjf-^l16wZucbO!&?<4+?@&yGC;9zVENUV+?tVZ^xr3$7zqecgp zwfB82$Sz@Pp1;8P$asi4(?ASIh_Z?NK8;o&jDs`u^|17Gx!PEZ#r&Zjn355aZ#;jZ zp5M4eXw@uB0O9P>)#PHUiep1e-0P0V0%JHx;>aE?adI%EYplS!^b1-y6*sO;j5Aje zeP~QdRvCVc?dAnv;I7JoA7K<0$I@Im9;M04`yOWd`HuK&u+`4i7Cc+)LJ53!OH|Qo zta6*3c?H<03;XLaSKHtB^FlDHi&O~i`&h}Fr3y+h3J%Wm2KCoFVec~&X}olTMNC0| zC`yyV^s{2mMVf%m$6K%GSDIIME{f@bMuxCht zo`A4OF^UNVEd_4CT$cf*c&>E4`_oF+*87slQ`b&t+~?!4IVp{@BKqFp z*X1%aG~UIdBb8aI^nQ;Jo;+pscYR&a+#e4cr%=CPhs`vBp-a{%1X~tBbHd`Jh6Q_X zpKWmK@#Z*5aWyexo%Z}WmxuL!fp!%O_S9x|=c%BigVdne!PuHJbW2Vvti9@ilQNVm z>%%!I4a#L1E>Li#Ez>$+$$+8ApbE49B5jnQ0yFKT4na|lpUdp#lnq=+6ZMV-oogT>?cw>} zD!RezkCF?OvvF?18z#a#L=rmqRMsHqh@&0Dw;iKZOPtOA>tKb~T#1t3z29&oex!s; zh4aG*Jj8wJ(8e$%X)EOx{Or~bkb@<|fC^`a7{0g{QekZb&RC)(%-(J+5^EO zf!>cAgWkhb9fcfTGb~_r>(;A-{$r%hWyd!m8^`B?@kFP)@%)(n0>y2QAmxySDkxM^ z819MR*xv&)@Z?J{B1I;EG`C48Jo#%Ea^?~+8^Xgor_e~Fl2AN1BzT5d#=3kzJ(|YH z$|=Oa!8?4?hz5a~T9|*&^gq@>?ISw$*Ji83_L;$3Q0sl5nHE;ig0n}OBDj%%3MDW?hYrXQ>SVPme%zM?Y&wuj&Ca~)ly{5m@Wbmx{ zRzl{{!x#$x0WgCr!w)R}w8r5K<}|H-o`09t-3rS$9T!OHbb=)E*a0hY>8-CfvX^gQ zICJYfDaquanAi$zU8H|ppg$=}0NVZk{0=3%qo znoW~?LV-|$e(VbW#;}hu&V-2-f`){n$F>mMccYGfiZ-OWDIQp!9e`UloyTYgy_J3PB<41^5RPW&4F<~RtO%YC1_{TY+@@zRPP=H~g*8+b=wv^tFF_Ow4S&m77p+G{R(0LnzG#O!JE{YX2-uj#?43 zN=kXwVW;eu0^K<0c1VS18p1YG>K8XgOm@U25g3^%n2ZgTro z=Bch8BrM`FxTPB|!Nk#6x1eIGjG}}@V-6l|5TJ_&k&!Pi^XWrr!(2tNX_{qvLnv`VJTg($cC|Ctb6D8XnWg{t*ArfTgrHvR|Ot zIeZ+YAk`*UrtQ~8F$-jkcpwtRgk&!U?LL-4M-5`71tcnCWJ1A;sh~&LHT(!~U%!|F z0%6M#*3KR-JI-oaKSo-+o{;@C;CZ(2v>Z!g#hryL8Ph47lF@*yNn(~rNZjH)+Gl^V zE$b4%W)Pvqfmv5QGV^7bIfR5n82QrRK>DkL0~6$o3`O$?UPe+kAp@CHI@8#UuY+C# z_f6MjTnKO4Ls4Y@;%46~&`Q$p@25Y&s;b=HCy_~0vNGI5mSZZ+i+tr%7V>w-WjnL% zFUtdzY;O(YKxNvLG9vWUQ1tz3K z-+R0-5%L_6k@UJeSSA|yrtkQk*oOx1rHS7jGv>quT6k@DHC)n!_8DQe+6itn@@82( zF2}nX*Yu*Z3p0m^Ae#;+_Q+iOfoIdZiYhrP8m8#FWDX`~i@$2(=wo>r1cdN6$ z|7<-e6_W&`W3#y+pp@r480B0a*VW`?k;K+-O|&{>Toe|P!Bqn>=U|*N63)PDXQ?vp z8fe#SOMM>fp!i>R%Yp1_bwv_+4Lld2NnJ6%vrboR<}tC;#br8GL1?`5qy-gOQ*qo3l=k zKnWwVXF>!8U8tY!eGX=Lu7&*3peadb5Gn$*99I0g&+6rLSBC8;n!ocFix{K8^7qVu zLt$rqe9HS8>ixYsQR_Rd5)m#n$`E7;NJc)`_cZbFuv~toflxMI!!u0OvIU8*t_Amk z?}Pt7*J1oL%#TpfjE8q4AnY;GV4-)LAVjs}XGnxa%#~5Bs)Lh7Kq;8F1j#|%_@ir{ zCWeNF+qrtc?Sy~r!u-EOw$5oSRKDHN7lX#;Xju^%ek%!{ykXzG+~&AaTNlLHYTQFz_{GWwgLh zI$?u#C)O?!ix~X-Syh}o`D%^jG*6PwcC2A|xt2s&WDc2H8w&DsB|h@>oy2PuhCBQ7 zE&X69<~$qIMdz;(bSvsWGcxyp8yGhAo-}pnpu@jBqs0f$>hR^kjDM+FUgyj+B!$Ak zxHSiDa63ce^U-02A~HoXAx5gNoP9LPEI={!iVKtSFuDXoE%cU`43|Xwl@Z3O*FHNQB7n{ z{HkUWf0`r-G_rrBF|0Gc3;t8+sLzux(_$H$n2=99-$5swoc~cN%k{c&-fVyq3z0Ha z=B$cB^N{0Wn^s1(nv|C!4URA7`0;nz(fBvvydJp;OB=2oZfhLinQ?!SqFVD?@$+{e zx*u49vkK9LWQ&RQG@3czbsq?#z!cw2m

;{QWC_hO^u}UA;B4u;NvEv2;j^EZuAK zAk#V(%X$G%;}?IRN9Xsk%l7>35=(=GUBHJyTGF7}4_Y>3Qi=@RiGK6$&SN8iJgM&Y zpgTwgrF~!xgG#F78e3no&x(IIv|Hv7U-T?l1;GO{QIjTZkh~3oOQakzJh)Cxkb5U% zgGNq?28l*E`%AwZZ7&5>5!MI4Lp-l<7U2vu#J%pv~C;P^Gj7CB7@lEa}~UA5bM{Br`X()jDK9 zVifEj0%~5?L?gBa=l{6uK6}`Hg4p)h(QI5Lz`sf?nj~l)6_>GxcB=1EWiaUSue$; ztg)`P*HKNk0z2V3J`io;`e5l3a zXj}vdxit#}HrEo-OYT*0jw6o|4Cf|XoY`?r4J_Ik+)L+LkvbK-w?9&19LC%oduDSQ za*PZ7U?;wsxFrDO0Z_TwIVqhMw%X0G!$3n{r{;NXZ*`*&Yrn6%|IAPkg|%`?3m@f! zswLC`u$Dz>=o)`9%lA(CXb}07FvMHe zeU@u`&pw?kihb1Z52Gv#|N`=906ysU*RS8BvD5WoP8c+ldw>c8`uD&g@2fu%L+MXS}Rh zV=k&MLP~gEdUw_Sc-1;Av$=?s6;YyzY`tl%t)WOuIiM-l5^3aTk6$oIah1KH8N`1W zfG8BE@mVwPYF(D-MPWcJ>bktjANVIuQkc=MMa%X>@ zSRMLCj5#iPqDnq=eO7EFwit1JGEM9|aDB z6pB@xF^bp{@LLN=xB$4Xo{mMFYXczB0$GJ+ijI0F7#JMp#~e@H>slp%%B+n>Q<`lM zsL|&3DK~a8V)ob4xUY&geQ7%-9^pHu-_XyEA=y}sHX21_z#MZe1_Gnya*>iSN#Ya; z9vQ1vTnlF{X-c4BU_cT_!L>+u+{dIr7P&+FdVZ>Y{X-Fx79`LQZZoA<6a6dDNbyL$s0?bQq zUChY!2w&oQ8hWRl9#N1dVvrw+wy7q@U^_Wy-%VsWU`@x5jCApykc3->Aqt8A99%dM zj(bmEvp72EAxiZO>0H}WmARY)q&s2FrHP}O;~8~HYn^|ghkNj}N4Yam$@ps%Z|$&7 z$*9;s8k4SZC!7CTZZbndU`ChFAqS~v%$6k(XZ|S-svzi;7;WvY%>77}e@$ zY=YTSF-z_}6lnQCh73G91S*!xY zwR)o`@wh(MzM&vlg+2;w5AJZ0^X#pohfB$THYQAiTRVL1X89xBT01 zKaAG@@0pg)b7we|YGm4`w_E0aASXQ~eJhE#&TynyWNJ+$Jbe2Sb++#ED+b!g5a8gs z{`PpD^ZbZ=lomJz({LOO*QNPNOoEw8vj_c}`{6_XfGj-B?-JdNKbuV2V=B4we8Pre zy)}yDHM!!xo?`&j8=0Fvi`D2d@eF+ku|yO#HU zKaAfI_LiJzkh1ybjv$}s3<-Yet#(+!@9MWmjgN43ZB*f+pOG1unpQ-kk@+yg@W6a< zvAP{vfEvvtNlAv7L?9?2u()X{I!u9}Xw%CEhEIh0B42e~LQI7=Tsl9avC)3Gs!Mw| zwh8fY*9V3{eXnQ9tyi&Sh z8-ug{bjZ~t^U$LN4-dx-f=wLd@0Xkkh**wj=oVmis{5%>Oo?J?)@(yn!@oc_^Y80~ z*xX&(9Cw`Xl7vc?0)KXm%nXoZJ(ccHz=8XG%C_t)%b0_7fH&W(59kEl za|X8T-|2d{wkRDq)DNH#~&H`Z2%;PmVn<4Q{VZ^3H_)jh4;rI8m; z&_b_AyFx`Q^)?27;Gb0a!4v4*`#6{GR6gfjp#BQ55bN{q3B=mGZ`beTj>X?9Va(YU zEq{d8^V0WVebF`z{Y~NNm@sv%)SoH>zgS{UYhJG?e7BlVTkMUVn7q4gP!&-g_V9A) zZ#ULGtpb1y(_4n#yPn!j&+Sd-3L+7lH~*ByYwor*Fs4TFb$Cj?rqrm)tyR?|wRwQq zQJFxG!K-fo%1L?Ri`hxgKXSg8+Vc~%ESydLYqyFk*&-Pms$E&@;$n~ieZrVvQ@`2P zPc7Xm^KLZNJt@oirh`m$-?mEx;(f(-cNt;`PD z;IC^T%8CAz6P)1N<_ac_%4M*i|2Qy|)wP_Ml6!aEqQLib&bUG99{ZhR+1v3t;{!n#E9I4=)mGam2&MGY_9M z?@B9n{AygLSM3Li8!cu<SDq{18V(&6f2bS%>Y*>;(c6a4`+CCPNZg^GfM zQTlId;pP{^Fk^+}!0Sikz#s?7YQOU>5$XN%ttzELrV_Y-rLMN~-8RG3&_JHkQ!fv{ z`ym{!mBbt6Hnr-wExx4XGF!j8k*O#t+GOnLlVoGPxdQgrz@PP*BNJ zrvwkI^Cj_~)-?ywxGBKM&2Cv5e2;ABH} z0Qha1>3gXGA;&2)O3PuJ59b&>$=6+w@oZn!+wlHpSM`!vCh&0fv|XFrag=Fe-?P7@bJZg%gK8?VEI>t& z!427)g!36mW>vr3SN+IqkFWOj_hXJcMPawpp^Lv`MXx~Fn;Xumi=$yhuZEi2Nx08r zB8Rrd$V5rs9YO@M(u-&$%UmjPr*sNPPZZ;12&ZgI7NfW7b$Y2pG5nd zjE0_!MQT^(u!4KgNvPuH^P*G)b}TiYqe+j)RPoJeR#QUz5le7<`fi4S%I=HtLmK%A zVd+72LoYp5KcQ|1@4PjYT&i&*1Fs?C*FPJfiUBl^AO&Eslw>7kn%TN6*8@B~Bkut_ ziRwwyt4Y=Ogq7;kuw&pP;-vF%HT_#M~qb@eRPL=ycrKrhKi+cX9no`A`4niTfiCF>H?gYFwR0^O6$b zAN{tk%DC@}Y1A!R#u>4sE`NdnJvQF;QpulZ%ub0#qelXml5v z7ZNevz4zZbC5AzShrT|s+knFJV=&>03pHPA04RzZ%rJiW1R`I{D_1kV4qG46d>4C|Cz&_Cl%HgD^eNR5f)btY_*#aR zXY{e-_5(y2=)}>uqn`p-V7eH8|K1~!RAe4`{L5xxZg+&{d~5{x9zrcy_!I)2x?0xr zTGHEjf}oh5{dycW2){hVOdrpuVs5e#M)Aw9yki?T8|Sj75UCSDz=s zw^!_|_X=~WGtC*(!~eL}XX$nv-$_y`0qM6<~2>K8Vx4QvY}i!v4Xdnc27|+-mr^2Z87MfJP$^$Ib zhGxy^#g=qVA}x`iL*s2YxvX+~la_DZ`>m~Q{e^XxQ^H`I0+jV?F-5w{X!JF|rJSF2 z9LuY*3&UUIyMdu-kV^#d{}E40(jkni2A4@}e;FLQ(W=ldMtmKSUlG5T>B+@Y!Cav3 z&y$ZlDxo)&Pxo;h1jqo@iPpm zCTTF}FN7CNA*X8@tgF`t?Up-WA7${*y(q(3Y3D-B|89xc2u5#(geYi$E3>pUDZGbz z4}+@cjr)Y&_XC5@IlCZd>EV}s;;w&BV7gD#%bQNqIiTIvzhkVu3R>c9x7WbILd+rL z%~{(D@ALCWk?mb>CfTUSQr~VV&goNo6vE&-&$_r?O9B^P-zWKi8evZ!`Cw*BV}m` zlpi{yan18&DR ze&Hls+YVx-;hv$OB+I4 zJm{gu9D&aP8Qg%(Uu6D`OZn(`Wisq$)_Ww4uI1`@l`DAxUqk(U#Z0mbdvz)_Zr`tP z{q~tDm|=4xI84xL$?~YfkqvKQSTrn{!xbQ>%azDNR_CgEixLW!jNSh-5Enu1Rl4oV z4f!H4Q}gfc7~#6b#B$km>PS-pzCFJ5(+A!S4&dFH8ndFO*fa8045xPc$s3MT@i?*}t_(KdKr)0OEbRKYp zENSCRKZ&^E{e+zdYLI;2cze*@2A2M>K{8y@uLGING1GPFiwHNnQuQ0vismfy>=+3D zc>lIA+{0u(?-IXJ24x5sZ>~#_kRdi30oMsN32g8~-W(rA+93S9&i9eXEgW%28uuSE zg!CO2{_*oUF&}-J2=mfp43A5Py4Qb`j+DXCs^t_Rfz6rqv9RcU%*a6YJ2h#EG6aVs z4Nlf_?t4CF+o&?LzCfQLNIBmVSuEIP8B7&J2dn|{xi&oSEbQMJ=9b)+?p0+n%y4ehL=Ff9z$KuD^Qql3j}#^KT0~8o1WaZRhu;5q(DBO&aySXmZ=ON5NX2^= z0%^J%4h#52%%Hy`vZPm68+TcN9(Lrw>O7aobcl0&VxP*?6j)d(Q0`U>84^}`G4ri}6H3=2n zSyUGrD;)-5>J&EmM}ZAZD<(`#6Vw35;ND%hm#nR8bfEXgwlA>17EvgkA12Rtab8Z6 zh|A}`rSpoJsIO^>sO?`z&qAo>fu1abG8}kx6K%BLMSOm?flYVA8V5=Wb|fI%K%X7b zsCe)N`C7aCY%2jibCsH6fAtF;EIos( zW6KCgV2-CCQHC5VmB3$ckHHUtNvsjNps4uUEkSey)h1AT)FVnB8)vygO7*Bb`3EnS zb>yW+n$E^at?$Eq#8Z{77z6nhK4AZOLs}AgQqU+sPgB3?we*OUALvM17RzcOxM3FfQaBVfGJUwSYn_g%?AVV z(FE@txL_VJO1_M#R>MnIp)DH@$+mLxdM#oxX3&g;jP!#qlV{NhZh>%y=I?rd)4!m9 z5VjX`7fsIrWp|gz<&yz0I{HF zF!Nw8F7DyzjBbaZFbMVdc{y1rpzSYA8TaY8OlzKlR1WEi0Jm71edrl&~SpTh98>*nO zrDH)W);YRWA}Ge15(vzzNnW@Lov**D8G8)n;v?xF8cLLoPu6Plf@+7!pbgETIh|bM zNE3%Id&asOKKdjwge8qA#uuayO;CY$bp^o@Ae@dxU`b0)@0983q|+`mo~%p)>!zR5 zHzCLx4eAk#bl5~$-%e>@eCyyB4v?MZXB+l~Y=LPyvRnF}39i*!6yQN@_F3&JNzjICqhrE3Ha5Q#uEN|LT+ zhkaP>=2l4sSXRzwg@PpZ#x&Lvr5Fs~5uE0sPc-Vf8LhxOyVC%Iu<+7S=ksZ(<%#qI zv@xmf1xvY80fYiXk3QJhQmnVb_GP}i)J}&dMy^eYYa4?HJoKkoMc)OEIHgu!CR`b> zfhQ?g7&E-@g~Kf@G*VO{E=wIzv3$Rq?eA)Dg6mfFR{2@Y8*p7xvKI^R{|e#Cy80C)TVL-nMDg)oJT-1L69!y1VGvByuE!P(q)#sVHY zowi$7yz{#9Q}!WWQ6kizH?QpL3$Db3@n`3Fign*tS22jr)Y8<7&1e3hazK+k`0e8_ zSr=iXXUwLkIoPZlb@zAXB~*z8W@lvVpWChJZvqjj3d<6E=tV9M}F88oOjpQmO;{^l;BUnZu{LS$8cT)kigwQp%r`A^0a z{U*=09yR=KwnC=Vm-!Ge;KiD{y1Fc;^&1g_{|(apWo6zX(mH1tJdMxtV4l&^;aGBD zp}Gt^8CrEXH&g-PJBUBlNRQCZtl^JGzj^_tHgT(3-;K==hUg}IPZCJ3QGKLMt z_grbWZoHMTJL6nd~&)sJ4J$^4)bcTF-jx~&OI2I(1YiN_+Sd$Yi z-Q)#WGCS|MREpdp1Lb?1?sCRFR@*}#jniK6>1b+eq>V;N(#>}n0Vh1Ah}u6T=3$vm zWO4M$^1`2S&lY*KH%l(6bU+(}5?*xT9yv-vM{{sQHtfnDxb`T(x?k8fog8k5Jg7fc4W zR?1RpHz#_suN8V&ViIF6Dpr7?_Gt8BZVvw_{wUebD4M;<)`k#;mo?hauUWK2=uFoP zv*I^V651IRl0b~&)nmAK z^VdeFw3pil%1^+>VQJ@jZP1_DZ+aKIR9}j;8{%#{XGWq|s09+u7e4hWnrc$j-^V`I zD<+NiY?$X?R!`{^|5D%|349;jyoxT4u>}W zq~~2L*xJSR;gA%{6~Kwrn=|svIiP#4rM}Wpq9`g7h#~TLa93USRqXxjvGOHXlhxp- z2CRGx9!&z#h|O3(CZt+x;6N1jUjcY50!96yGfxc&D`(-$16*8tM*J&HvBrO~{A7A< zRV2Y}r>7RKybjL?BegmgUv!ud6ePjSbVUhy_3v0!!JxrVo$h3=vwpCy z6UHm6gZA$iN(eyjH-4_s8-9rbN09HPcj{5cl5YyzGd=ppAVZ`O-$7F`^AX5#L;X)t zmh`=U1Bm}N{4~Vb?xYm@6*d1>`r7;bCIUCPi=*iQ%4%$gO3B)J4`!K?NlkI8qbd-k zJ+bwmIee5YyeBoIeN}F}T=P5T`run)Rl2AguG+t>1ms#AvAR?38;zYh_hDqfqZJix zHDR~I-}{69HsPGbOJfTy_>++l&WOGvCyQZZpY5l-Tz;QrJ@@L`T72XRXV6T7^Id7b z2<%n)Y(MKkR7DTRt|agQwcPU;B&@EQr(A`u;2u%wS~TiH5>qj3_1cllmV!4Kpi^A! z6>Y?eAWS#Oc!={DqgX@~QZ9||$;WN=T~qvQ3)x|>ndDJAavVp3QC474)qx()SaU9T z&MiFa{F8Q?`ZURM)|5R2(cA(-PPqTZg-#IK|aU?r??$O9K(dOPJ+Za@9&?f8ICsJIGhE zN*H;+|7@oQajM+8EjZ>vFAjolM0tm6E+2!>qn1>MA{MkA6teDS;wQFU9K5U>ZurSU z$5n0`OC1@F?ircfI5ulb+14c)gPZ~-swr;f=E7VZR4-R}jx(ul!U1&+y8#RSHfhUS!gc zE-}odd!k1L$j%nDn>u8!K_z^gSW0NQMP=sjWWI#Lp*~WS=31cYzR3J>Dsy6^?$CQ_ zZ_!_5pR-VtvG(js+j_?#WA1SU@}*Bah#ZV{!3KbsL|!6>FpS#2sIJCd=7nomMoOr= zN?5BC*ksXIthJL^E7roE<&_%aL2u$m=hOKi7?*ERi{FVBKQQT$lW}^(du6$+jst^U zF+v2I(-bYa1l`1vFfVES?7IGt)oeBl0ZAeyZG{3W+I?TkyYyi;#?dL;jj@Zp>R6x8)ngdaoM!oMP} z$ado>B~p=5S_b5^z`Y)$FSZ&LrL-W%eBOdUGCX;`xbH#|5$_@Eh)W1r;I)aG>-jcf z`ucvK0E&OardLMLk%jKUSbP2khO}*Itmc5sN^)_6f48pOTC#fbFGVLB`GDz+;bOVO z2~mB{>V2<45-~*Wjl{tu;W_5X%#1wBAB9Vn265Dn5{r#4|2rawi|%<;>yGLuLLm)U;1yy6Y`Dcim*ZAx+M zA+Y7s8j{dx?S4(k3g(|2OSM^A=DX2L;{DY8ozqX2bimgH@V@u2$8o#I2XNW_q_1f8 zbu>s!UicQr?>H!LP^W=MLMx?FS+0wa8d3ZeBqSzJ%L#ACuDIVAE<`4$wX(IOumF_p zLaMH^9@%ou4H(~F5mQD9Aj#(__EH}RmS9S0h!1vqELWeqPr6`)-edZUP(P<5I149y z`9q2$K#08hQL56%*q#%LeD}SR64JPe!+5*JjaG3g4?19Dj;>$dcf_#*4O`tT?|H6l zynmBg7N~4nRF1I$Xi@RnF5D=CC#7qRoKyVliv6RIH__5m&AHWuM4VvB3iWz0j}Ei{ zISHKXXqE%{L^mFI-+p$=7SYXM!$-$BjmIz+0WSp!@J_z!TYFJmTz~Zpf$9Tm+_UkU ze+B&8FCa6x1T_X^=N;>KbEZ)9Ya?--m2t|llj-u;4WK4GHskd^hTyh6f8qxy&@^*WT!~8S?(0$;AJI#zncs-E?!~4?RiKgqOGLUZis%>`HSzL& z&#{&6SA_nQhrgZFxatU#3wUc^x=CnX#CO{-cY5?{e7KQvH(Wt~ z8Z`XAbZWmEpRjy%vswG1^=mCFh;&@buV;~Wl-2(3=X@yc8wrdbRndyR=#M$$G%K}9wi58JmA zjielgY2QCFr0J{;3^wd|*x&Wp9ZdDSE}Fkw*3-IA#j1q*fgm5GYUN5>S!ddK}82c$i0P$O1+kXV3c}6k({3@r}>&G}A>{ zEaf$F*BeUGu>KJ9qK7ft+<-vGD{v=T$(JWA#yBccN}iAFKk3)-4d(j?>TOCZa`UOE z>rD*C*WV02_ohDMbQI5LbxB1*7N;h=yZ;&p4aub~f_O2(0qb-A8Op_3jmI4iMW#5Lrb zbbK)mBoL3SilRaITfN&$LrtsqVMgmL)fhy!B&Wl}T-jrm_rf{HK-(WyDbDK(JiRiS zwiDRz=g-rz8a@q!P@bhKE&3866pA&`LGr=ssnb(qcU<-5nf!Ga6^bMa;3gdU zk8Ooq8IVr0`;+>PrQ{5ZyY`HsjK6G9&Fr8(@#|Ge(*q~zt{So?r~GwA)`t%2R?>VM z(WfWHa#qu3sKT$*1k`JLl7A#lm-U#e{8J&=B(i7hJe_h=RL2Y>4gr<2x8oK~p?8xd z`n@9}O(L~3Rc(K1@y|o!ARyERuO-H0Uv}=&6`%6Doc~J$s{QYZN5AyBcJm_@r&LeB z$k@+_6p}CzT?;=!zM-VWr11A0wGuUSEa&O5sYTgS5)1|GOs%eWGbwqML&a7R_`vd4 zLacJ5_IWqeHzT(Zh})u2|4STm`DMR^Oxg8YWxFUh>5HNsQ^&)+XJKbaT}3BzS-7$g z`@?pl#r&l!{UT|R%zvG6$qP{m@96VD%&OG@PT#_&f8jI(fPEme)y84>j{>YIi63$OqRDfg4$>oC#oF6B>TIny7mK+$? z;CTomZW*Iq4zSn3Oe8Z)i`_1aoM5Co?}i^m(`hMr&4oJDKsUQPnFk;Q)T}F2v6*mq&Z{c-m>o z6g20wU399lwPMu1EZ4FM#xNz4^k1f$T;+BHE<3+^g5Hpl!*?>+%8(TanB5(fVY@G6 zY=Rt(+fI_NwVPTbv5Gm#S5;CVILQKMH)kkkMB|s|DDx8ZOn^V1lBqRBDI#zCunXZ`I74%=}86$X~n#ZkIk*rcq>hbnhdSt&*VK$M5)Qb5v@@Z!70B zYoDZ)xk@7}gg=$m_ds==px^$*tg_Y^6kB5+Yi$}A8y7w@oJw&tu4`yvZW-g9%(}qT z6Rno&tcofm=w1MejjE5;LPx_;8I|eE`{}hu9a#xbnRKl(5j^!A@U<`nC@c4-t_!U1 zm!z1={Ck|UNd4hvgQsQXEoF(Bt#Z4^GGD0i-dCybZ1}4eWzUEB`ARu*w}2GdtE#&A zJOe}AHvtg*$7kvR?E0(zsYW=JO2cS}=VenT&a3xotKu@F=CY#3nv}HfID!aPw#8Im z8Z_m8{VXgnue>IqWk8udu1mP*Yqd%3wMTrZ)>GjhgV;Zg>gl1))ild=WRAPzt}EAD+xk!S%Y8(fG@g?ecapN;X834U9s-_Xn0p>7eK^(#LWGc(ey zNANsIlYlL~pB|j8`23kp7Rf4cVNw z&74?f6IFEp>r8kvyPG}I=TY3J?W2tDG<9KF-fJ?|x8U$LU65)sWx z9JT&)+`Woz_TFD20OSgHZ)vq7K4x=sBZNpr0~8oI(;*>gR`8sI2s>Q-aY@iIZ|eRu zeUE@oC`B5XDZR#k9t8Hx7(z9NA`en)?Qogrhv9_6pFwX#=v@IHEggXjmd9pahx>1Y6_ZXwv?isRtNrAhS1Jm1F_s#NT}1w8))L-g!-c0Ul4dW+RmQz9;YElkn-|Z-U877DiC+p=Dhv zb{d$8A^C^h;+v^au7i<6_TMu6%L4?Ebm0kQikZGc`yj8en!uNVlhF)CKtpC*egk|9 z(bkv2ZVK^Npn5{ggWsRHs5-sxV|^=tr|=I~Kctk22t-JcA=^*pjjN z5cS)K;ptcOxh@OP)n|(NJdTju{w!_fdpxl4F!uB*l*1PC$YBW=wv(5MVZx~|h=-(g z%2Wn#cx!RWqfWVAl-BQ_!E0}xz<3>~mYQ;O5%J%FE+NhGJMg@#+?AgFB2%U z_xHNu?ks6+h~DDw*)^;O=%0m*$G?f{yuG1pbMc-0hTA$}{&e@L_V2p_Fk6A4^|$Oa zEj}1|9u@jFbNkq@@YT#91WhzB97S|3-o6q{HhjYR4)2FZU05+kY2XTEttvVi5iyLN zl=I$V;U!_dA6jI^?&UhCn5=%(wlO$>Ond4Fh=F*xnZ23*T9_7iSWrpYM~`_{{MuC% zxI4%G8iVmGZLTYics<;idx_#JA_%)mr;(isa8+A8{)RCSv3Y(ZcBB!rS;`KsCYc`p?v2^4fd=hP%)v*xALYRi%?dUlb579?p*q9T-GgtfdK#}f(iKx^Bkaas3cQL9>*ezaf9WfSb_Po zx3m3yG(LMZ%qz<6ehg{t_uB0TpYC4n{;YO(_V^_t2?~2`2-W8H3Wai8FSAhM8t@+;RIsZYdM0+pu-i z`eW+WYSjL=g+k1Ae`jg+@aWQa&qWRlILXx@A*z-u*imcvoqrx(#}9GXjvlkRg<0Xc z%VZ!MYoMIjgPrLwB`Uz*tVdi^Mb$L*xC9y8v*?Tcd^VZphvp7<^6`>6B`BPz%m({h zfzRLdHs9i>5VLZs&#rrFU&eJ?8?)EL4~&uhj=rfY)d*pJ0#|8|u01UKkSDna7)vwx z>%Wk;QQB7dC)o)a8@FWOII)tRl`-X?&tud?FXtw}Qt#Uv!OX0zeMvY@(Si@q`75QV zHB0@rU)~0mroI$Nsp~*Og+mr;vIpsoJSHL~m86=~)&VIxkX%v8`B#-a8!4OJrl)(3 zi`Gn?`h<}5jw!jFRrcY>pRfuWO^iziZ(6Z^>4ByE9M?`Ar|;AE%7M^7RsUB1k<^h9 zG1cbSBxvYp)T+f17%lPmSni1(+xWUc7bHj6?eqIo^o<4BZ?t`yd`*1e;O(e!Apro0 z%K-%VBqDdmjkwhNek3w@>PAZnKlQ+GmL#Y{u*jUx23f&5H==sf5v<}qtwU2sZE68K za`ljZG?obwN6a|-9d(yN9A7__^0GWlRh*|Bt743XiPqy0$B}Z95&?wr$(C?R4ypI!-#at&Y>NZJU4H&wG6T+N;`FwT@bv z*BIxR69kkVOICI5n}}I#?K`!;$C%@+?~Vdsq;{!?YQWQrS(A@d+dw)WrXNF;QHE7B zRiKMn+u<|^5%kfp$lK1XkvflJdyutRMOF3d_l4c6^=#>+iHC3xNTL`^^a0MW&GeeaOc|pCX|T0 z2sphB;Po&k+55TP`#DwXI|yv}gKoHDxfhfU(}H<>0K0z^pT=He&64Fe^WJ-pVEFzP zNfe+(^Oz#|ie|Xc1CLV&v}1(aumVN&h93O){l=ni`AIklPylhxwwnpk6 zx?w3~-%0L;yT*KIrs%8KvtC0+KHwQUt?q1T?@Pj z*D7)lv!iSDOS>ngiKIQw(0H04VLyk|P7u_#_NeE_vGDV?TsR$vQGkYs$fK}2OpY(_lE5sJ z0K@X)c{m8TjDc^Qoq|$TrDEZUTOg`G>>$BAo+;#@CVB|=t0F#%{uxM_!w9i7FQgO;$?SX?nc6(!K*rX0u_L6p(8iZ&7ZvysNoPX9BfS@NyFwhCCbw3wiyuC}Rm&xO;@BEE5SW%26>cK(C7}x)%DL zG6&zE54Ct1v47#Q6DWH{l?#6(z~uGhgYsBfQF*VZjLWtXU7}CIJqTf<4~AUQYAt`J{5dMxIWk} zb{-G78H>$1a{OnOQyg%){qc5kl&L@OMi7H6S>i!bb9P$do8|;DiQmzqGoqKfKp7R}*VfBtSRg;17-qm?8Z_o1Bnz&&O1iw+HsLURJ#~;G9&tpxNfv{-}n1WDo^nLIA1|ohSiYjd+WW!9`E~Sl&)>u)MDOiV7&b|1~m0wm`O|SqV zaCrp@m(13pt|mAqqtTE4ZREUuc5{8F{b2dfr;q(ZM*VzLV z*v(hMH8a1&AmC&`nv&FPLoF`lQ`@&G%C6I?YqQ!?B(KVhLjYq>Hv2%-35H4`M}>oF zR2)xyvMr;>M=wc!1XA&el+vp&e~hj8JG`y^zhrw-x`wu#&|sCIS5iTaBkcKvf_4q^ za9Ju%a+}r-b#c-Rbt*`nipzfD+-{jo1JyWY#Wd(FgHC8^Gm%30W>#ZY$Ii9evq7?p zd$uJpK$MxX?o_*DAlpS^gse9da;JB2P;sbB;G(5K<}x)N&#ECbwc$~-Icggj;-8!O z3GqorI&k8Gscr(Q;^Z7~gGCtkvtI(>l!(+ks9O-O@R%?#l3$9XvB&{jNFZWqD?tS= zhRN_)monk+(MS#bMIOX=4EFmpbf<+?`KYz0V${x4;X>FC?xymX_ts>31R^{bkTw0` z+9V~AvAPkV=M}M}+(9Biuo7a~sT{0oQE2jLq~Vz~Y7ue36wED#H8?02-%@lDaXF>9 z9v|(7rf9vRDl1IMM{zV|Hnb24TPi4%<`~@{!Ms$Vxw@Mf&Ymv>KwWR|HXYQ{6$Q$i z@Au?2SFf8#;397dqdw6i;aP4w^O^qw@76tM;7uf8r+fRI0LeYwF%C+mB0{8R$(y&H zv7I?PqdGgwB)`rM$dB=%vITFdB}FAr>ipG}!N7}Ld0t~*j!GfgBHwk zWqxZ_gks`r0IF23H~uWMi+%MMvcr3BDt4~TY_d2e>KaqR1^uIW-iD0v+CW%VS)usp za{=b`)U#E*r03H@IL$01hy>7gw3X1HBfDj6E}YY;xgj&UbI2@44DRRr9OLe4M{Ax} z!7uw%NeZps*fD}_Lg+9rqeLlLOYo_&XU}kq4q7cqfUGPnL9w%HXSWjpi2z=wfW3%dd$zX&e_!n%ReUa z9u4ZdYJ&0D6t3!5eXoWBaQ#_D>vWM0s9t--Dupj72$9tkW&$K}qzsXh{HpZz{S}~( zzWHw}o!hrxX3JMZby57we;qcnrF>OObuKaS<*bBhh9j_}o@l8&)`t6X54o~tcb??t z%-=VDzQQX_K%X9n*Wx1sK;j(ZEpRJwx=5KC|EpjDQDcnyH!}`%$^o7rN^4gVc59~C za5dxIgJlcuL~GmQHkjAGIK}R^=rnjWQ4|Kc4Yk z#NJE;Z)D^D(su(XmWa9ZvH_?2C6CCyOeoNh8e871iQiXF{^tKq6O`e@PXf zh64dCy=Gy-6+5poM*a-}^J<~HZKxqos`UaC+PT5n?NNzEtq6dQ)Am$9d8#h~UJqfkI<#)*dNqi26{ZdP50yFv!nw#++-u^GLrZS? z7kx8sdF8U|JvMC{ZN+MMX$n4y=xvHcj5K&jwi$49oFK|~BNPp5ZqAk@@5tn`YOJ9L zxDt~wmbB^8@y*!3EW<~rVvA#HEZ%OR*Ezkro7-<#J+JbPUSyJ=`MocUxY`lrx#<~E z5uB3o^mtqNQ|!2dp~j--4hQP#kBAae)xoeiGI<3WjH0Du$SmP9INPONH+lWmn3PM+ zjtpA}Y^;rp$Vs4}V&<)Um_{(fljs0?YInXun)l~WaHR+^3?V0&fLZ9OWSLs+{ z)Ko)z=jPZ)bz-Yg$P|A{-<go{f=)ymeLL%Q7k)of}l!Mp*G+bq*7VO@Qf0{Chih)D5 zIvmB?gKw;M@^Er`y0{cIPdCK<;0E@dW=YfjM@hPumhs0zTS}(pD=uoJ74dGkMdb10 zegr$oLk|Q4^r_4pUNKQmW}$)i$S7}Y83~F~ zcH@if7Uwp)#ZEivfhJRS@T~Ye+;027>-L}!65mwxwRTpzNxukTwo`lsWu zh|ESUnZTg_Cs%>IY-9+^?Z$bNuc?Zh#6$jtT}9f_M0|$gx2A>ni${r{!BdTTwY?-E z-37>7MN~NbKNf%`7z+>ttW)A)le_WzDg+G`yyj2(!@+=a)7w`vLU2lnu^6xj0uLhr zFS7w`=oJHs?RtM}u$~wp<~x73TBUF!&-Ol_iMD!p#>vwZ*hbXifdH22X8as4ugJ~& zklAm~5-#R`zsAYNM^*DYdthqlIE9zXS-%@0tHYw7w9CIt{zZ+H6l272pSTemXPV>b zM3Pj^^0wQA7K!>v5^E`ECj@in?Z=oO21;s#2FrHzvy@am2$~Ab#8rxPr}z)gbE#Nr z#l`nmmLasgCkXV{G;lK5QGAtU425@#nAp_cRb^7R^T4C3o(QzuOM356vYm9f-x`OA zoz_jwh~0m3zzf*NdEcLryBkR53&x8*O$cd7cCh;R2xPI;y9wHxTSXlLGS9p)y(iO8 zm#M~kyqophR$GaYa{TX?x$rNVVt*f%ElilV`TiL4=(%HRUCb&){fLCr+5{isCKlhd z`iIN@&>})vmP^u}h0Ht7+!N>b1Fw*TRvmvRlzjs%N#Vjxm@7AAZC?$-d{(18zq1vr zHRbII)FE77RIK7KF;bQo!gg>@wN8l{%aw6qa5tc8nK=Qr4e4lmj35A@6v#HFYcKXO zCK>ps@8~}Y9A97j-|%&ritDed>#x=PZ*Gr`uI5ftx7_r6XLjs}O?d@yv5!GXf#$k8 zCpWVMWBep4-}iwN<3do2>EUKO{q^W%ZbO5i0W>v8sBYeB8SzJUQ75zjThGXiBw?rX zQJs6xhe=~cHm&JSab7AwO9>`s6SjJa+kBcyn?K?Vcd2e}rb9xwB+fJhF{n^4zE2{9 z@zkN0UekI{+0P5POP79`6P3Bs#E7^QM#JyD^z5=3wqxkC`V5G`u1ec z^35*N=jO$(`m*0r28;u)oumjNLKmtU%sIqp6qgh)YqPlh)g?K%*wG#T5pzH&H4Kci z`qm)lJdMiFbiVgs;q}t~P{I;l*nrZ~O&T2g=AhJRHm|}-@=gt$;#Be-Ep-l73d;k` zrpS$X(*$IY<(XQ@4FXDhVYMnwS|eRv;N8=7iJ^SXQBp!--6&9=<4NBd^QR2^Sj07d zlVvKTsV(hzu#hxkYsrZ+rV`7U=Hm5%zRLqu=csa;txW)_EQDO=dkEy80y4M~Y_mr( zjhwt_eOR;OZUm|Zh-$HkGy+SwpZg|1AdSXtGEy@w1\QKbHJ%<`Al-jrhitK~Vc z?g@N5P5gMP#m~d{ydT)$LPoF(LzHMPrQ?;TowLT0cy(o*1_i4T*J6Lx;W!Z6Zmbw0 zO{de-MIFv`8)pe$P@h-7ft5T(@ArojUwfzu#GmX))?)S5HQ`|AD`Z8`Jf*8(ttrN0 z-;J{NQLAkOmmZ{Z|2+X)lq?S?eMplozDFrJ2Ca^|V-deF;{&RT=ZeLqedZc!+C|gN z@6N73V8^}~((R_VMZn%(nZaY9&KnGs0s#Pt(b#I#fB-PzX1tp4PA>KaUZ_%0QEfj= zKhsY$=5qTUNq%1?(xer(+YeIT=(H8M42I!Vp_bfi3%*GTet>;%QVG6}hlfM-T-P=S zoW8jO8W+-_OgZ{pM@XX;Rvn*>szbHRq!186e?O!&pX&d*D*z7(;5Ncax9Tvbh^M7G z!HvEs?duXnp0zF)H;srV-72&W^|8M{7T9_2=||aCSFl3bpXW#NsH=$ z|M%yn)U;CKV|e@JwD<1oyQOFP9rV%z3ghoo|6Edkx{8xW1_H2LKZg#BM2CD3zze}3N)rzntWMu&51{{* zf`|Db;aF9i7+hZ$54;YC7qcD{3h;G#>}Ot6kqzvUbbrZT`}9fZ9`-Zsd(wggjlG~Y z1VF_p#O9FGn^X@>df@*Z>1}T%7$*d3#!u;rMj^rA89$zhEJy$wTH0h)%KJ(JNYBxg zCcoMBA85JWV{7*(d0(Q&FiQ>Kg83TMXi$mAZ_#e~(}gTYDRpQF`q^Sh8cv#rC7OS` z4u^|UPZl)ueWrv0b38ukMayle|)bpWa5*6ypqQi9K3 zooOds5hlPwAE2Qo)7r?Da0Nbzb6qrl_;Y=?oJV1!!FfdE3i00RKjL=&)B0m7$EgG9Yt zTxHyT_|00p(I?FAdQt={ffwKn{-WHp#uCJBRCe(Ly)l&5nzz`id_L@J{P1oN8(eP8}($`CB1Ud`&{;ziy= zH{WviPUC%~4k|6nDkI)8y2*W$=+PBO`5BYgkwF7ErfaS5sp+moe!-it{rZQn%08Un z5Hlrfk>8=^*on8Pyw$;9B)|{iz8>xi>le7Ptb=vgHD_g}{REZhA9Hz{dRrPI7v=Sv z&8n1E0!xvNeiEoI*H0akST#3FJS7G^m3^%RK4r`>kS+O~V(nAvk1Ixi`3cm5B z>x=`lhD&y~e&*BxzhT3ZU!Cs8W0Yr`v4?lIqtIacP&0oaceLTw7n?t*K3&q(u-|!rfG?D1)kMcQUD2^6~TI>v0EYyv658_N2{w=IM@bA~`0n zK!FH#b{?07PpCJ%eDzv=Kz5=KDQ5T!&l2(RI`hI%(xpcYu zXPDL^`5gB5e{ATb{xf1zw_*9Xd2wRNYLkDSpI8%nm;9TcsNnJEy8X|Ozf-{fmWx5w zBUdkU?m_ef?4Z?l89f`rywC<0)f6#0^;c?4Ikj(CGH(&;Gwi_;1c1??n{-<86zFj6 zGWd15e&R|xZ(|)DP3QA_3VN1+=bqB*pX*x|IlH;g+qSAg2al~e@*>V6MQ`LpgOaz+ zL+|WfWkCxv*^55NX}WC@Q$`q3bn{o44I>w21p##A5~F@C{$Pf-II5>8qg0x;*W5oEmvB*tba)mFtZ)!>3pQ=y9nxD9 z5VIsZnNL83Y>j~)$@j6Q09%$7X^lV?OIo1_DGwiat{kO-`B^m!Kao|InKTjog75AH zH+N~j)!RQ>FV;J|93hFnWFWN(c*~%6Jnl5J6L*90^f_{r2_)h};q9-@k<-tUyC(%^ zCD-xBfqwT!hZln_*vI?;|9^X7R57G?@3H%=HIQnqcT!`?CbA{vVqCK7cJD4u=SId3 z!GqqqmSLAj@2@7EI_O%D>^#jCvgl2)__)q{DBA{h@rW`{1>NqS;ZU{Q>Q6Gzq|^{q zboM-*m8qcM@{9J(-Vt;)P4nd_fV*LiDxY8_ZgdosIlW@%^AqS-(1cA}{y@tEW7V-o z;lw%j*R^}3hDp{;kU=?no=_+RP9!Aq!FdC_aV=E2=#?TBr9s1c0k&nkU#IlI1B#-Z z#C3Cc92md7YDUqVvte(f&+*xRF&Ie8!}_)6y+PaVRP3_L6GDSv+FWX+=b*Z_)J)(R zLRFGhVp4&&#f(o7_g)-~(M+z^H49q?n-ES>vqU5i$imGnz`eBQq@`Vo8xKhfEHBo( zTI|<@knat8h)EW_-CkyfQiX8DNtsgNlLj7&nBWJicHR<&p*w_NV=G%Wthqq|>Guk5 z{R>{n2)H4DI+vruavDv?3z$|JbziX=V!SU=lYZf*i3`t1`D37BCP8xOqt~x`Mq$Od z1Y+Vx$Cr;MPTrUGBlKUE1dPP~JO+ils1!3i3};i zCKH-5QqZ|+iKO4&Eo@cqnSaE}Q{MTe#ZAMsbf!#{P|{MsNtPg!>oOB4WzMBb8;}{5 zQcBj&*v|UTR$0TT)}n%jrGm&C}@KG z7oaKU7k{guN3~-m$gpL7fI3)MGuDf_vD`uiS9|Bmxuu&2q*InDixe7-MVQQhiMVEa za~2mmE}&gDjF@6blGl?&g5e(3AonDjbXD_^%SS~OWRV2TMc{2Zu$2J-M%!Cv2l*M( zwd)M)KBl?gE12;Q!yI^1vd7Dk`*Q)@fFRw`$kqg;Dz9!+r+Bs=GJh}+hl_TPU#D96 zl?~0eCKBLuzEl_z8gn$Bc;M~As}d03FN&3W*>))4R{5T-K5!}28@%#&<@Ny_xo20S zpCMSDwQU5j0EU_&yp8F2Tcc?R$H-o423X|*H5av z5gZg^ku3+ddxzrn=D&nLF!U{!UwdynGJ@yY+(c&gKK6dxM-`dT)Z=G_H**0e+?*0- zeh7r(Nd3>ZE!bVXq$=6L2BX}kmJ4x6xgT|Vf@Lmj=hAMv``iV*UhapByMOILZ1i++ zl85XUr`&oueUJU&otF$Vd^-^wfhfZriBE%L*QWJ9aGLX63Z5{twk*wE>Cwhvhl|^z zp&r!z5F!%y34rdGqn35@HS+c?|(!SZo_^3 zRNB4cmQ!CIJ2EjNr8e|7gecNNpVvk!9eWc*RVA}!pD(UtG%8J`^% z>8{xFB4Yn|#6*rV_L5oMX;dpR0ZoC;(57B0<%woS%rGx04yyrK3WHKcPglRT5jBH} zh0PF-Vh|*qk3c34f9<%bOP~=CpQ=Isr<6&<$HTm|0b7^^qpq})qDwVjDzS(FvM4@& zBM~8X^jZ;ZfmAkB$uIvKkl3uTH}|?PUBJyRf2oQ&)}@vc)4&EXV_+VY!pp=iFEp6# zr=wUpcCANY-n}2)Lg&u(b;V;xm(oSOuN zL*oQ2SD#*aZW^RU=jjizGz?=+wK&>t1Bn9wkTGqD9y`xy(u-2OEcW*EXQ~f|OtUnJ zjNbUI05&b#CJJHx-1sHw)I@l=cqL5!ZCXl{;YK$pU2hXAFJE_8CqZt$%HGaRzi2JK zTE1{68ZuqqI{Iw8Kb;&B0T)Mg`^9(scGaUmmbn zlH70L#0B_-JLY$HQ*nZO4*@E4mNsa>&8O-X>oI+9>&A2y z|AtNy?5;i^(vYw!f-K3%V22xmN>TWm7sT$$URJ}LhLbO+8+UXW$k-sy*NaBCePxWLX)72Rqw0BehDMB2(M)-1lYV3u@YDkpku&YG)y;f% z|9qb_(`B)5d!@>0$rMWdf8}`rGDxa&C;QtJzy zicvf4p!zBuOf|U0=JL{3!VnCyJ~}SltqU9K>6ESJ=Be8v2ggzh{L;}@i%2h#CLgIW zEk5-4O)xapjDBXWoRq5L^V^7F+e zT>F0Zal*GJ!*dE-9mX!mmu}i#Y(FrJI-1fMxNPdG#o9~iKZvpcP8Wc4eJbNqh7 z3TlmxmXs1hK8cS5VT?INrDC{g7~s4va0T1U%?_XoF1&hVIgRu?RCx@I!9 z3mJTk+@I5dQ>ID)p`SD*uSNV>I3*y+jdH>MKVXrW2f;l^`m#>l+zZSk#9Z%H(9B?G zw+u9+0I4+FHsRDrs?_+T@UvI%3Pk~+f6r7lUJEGQMkW6;vv{6J3?C>;jAtzWs2wVY~HE1b5UWF$gL zimKEioOML{)KX=^e{%ON8@j%1UtZ^>{YAfp%l$*%d0-MT%XiMn_w^o#c0wn|jb>Xmhps=Yr zQESl(Bj6+iC`s*->enS<9NY;BUvU(fz;(2l(C>kGu!9Lwk-2D$utf6|r~Qr5-=Kvq zx8x+W`Omsq3_>tP*@at(RyYk`OT3KL};zB%z zTs<3hVrC$AoaAN^Sye`iv1|9H+Lg&)?Yf-wfy(SL+@+`@IjE8#Vsh3+@@lVmD+wbk zh)5))iIO5Xk7qTL$288-JdZ0~*$STDl$v5B1$@|Mj(E^QMlY|j$BgMvOF!%^Pv@|{ zoNV9QpSzAbcLYLJGR>Km{}Kx1a4s1x*s;ZRNjC*tfhxYsnbWEUR9f*YXkR5lZlI8j zk%3ew7{HH^vA_pqL`3W>b27rqr1nRv(25R=I6HcM20Xh#vPKzYuQmC+F^A%G`3XH} z4o#!WCdiNy3qp+xriqL<-oV{ytVi@P%{m}ymP13s1ffsX%ZhWVW|^8dz@1m>S6gTr zoNay#sP=w;Ieu3;1s-vu@IS45Kl@$F(oW`LT8Dnt`dW}PP0j8UqZg&6Ck6c-_M5vs z?9y#ocgROV%mU$f{^O${95%ywJpE%`b{o@L*LS_}i)*iQHnv1vmu-^Qi>obsHNY=#70^{%~brEW%Ac9QxtyTWKCndW{thpT>PJ_D$e==jid4#-v zFMa-cy8d?9qN$7KqwaGIq4E6{>`*7)uJJi1O@uAaPuTK&iEWWd_NbS+danU=XSgDPsGSI^yu%xU1Ul5a&z2m7KF&T z^wWn{g-Xh;wM+2@)#ki%*1*^##BV!6oRvi)st5&NCKOQuV(4M^3>@Ef*4&BuVaHE< z7Pa~}5Za2fzsLHmriL0!WZbdp4sxrA0RUOIXXIIXc!2KmhqF$|N+|<1(1!0wE-ts3 z$o^@aEt@q=8S&+nxl#cGsItetBdvBYzUrc;tdRiOAJoqhTV#xMk#*M2{FGfZ@x;f; zq!~tN;Zb#yA}*-uzN9~p<(xM38c#h4)HSlM36#GT;ds?G7O4y!?6n?jdv?pv3n;(r80e{?;|@HWusWu6iv8+w~6e; zR2}h(STtKQp%x?Jy6F%Skwh)?^los#T8D?av;at93YO7gDsfZV4S(P*Z>=71_CY#& zLSkaAUi)1ZF!gzG1}% zD#n)jbEhN)FYsn)bok@(&#Y)BZz`wu?aZQhZVdM#?O}d`)|cJ9 zE`$&?NaAux031I-a(x(!K&nQznXL=`i0PELx z+(V2$_;ZM~gFEpR8Mrcx=#RjJ_yHPJ&?0u1iwsfXBKn+j21~fuswu2Vu>It&1a2?x zo22cJvRSK+JU|x%Jy)Z<;Z^{>nGB%ZhdoDK3Owm)AV`kUdl)F-u{T7R^|Yd9^rX-` zp$eMKC|J{6-$}o|_uT6C-h?yObsXIMw>bp_^sL$i9NjBUPqF7Q9gIXF$ANZ7{78T6 z&}k>@Iu_e=OdC~rDl-5(+k{m&%j_t^_n|o$Mcez?nZpQ&;(0HhASIG+ihB#!!=j1S zsP7R_Q(J8_ucOLMEqK%V6=63YDgO}Brq&UY zxWLWKEZP3@Qp{bGv$XB^dQA>`t+;?5y=-oF4KC0MuHp<|u+Q!pU=CoYZFyQi9x}sx zeok#y$S0P=Ty}zGQXO+zhybIBB&}cKrcUc#Po+FgP{411?BO z$8v=${+cd({8YJgB@nor=fr9GqaRPYG*g3W%MLS!zlWEyhV=c$*n3=a-Q|9szU0G_ zW%eJM(A;esc<9^n{QbGo3mH`6!Hk{gb@h*{9i}784y%+$&>gMov%UnKqid69_`K2K zQN9?aV$LM5>pH63pe3EXgbMcO|6>8l{O@@{uPzL~#vH#lCicRgyUuw_J&zfJq96x_ z$wD7xt5zqn>qRBSf*#?pg5!3kQ<8pTCn`1FeOaA!kn$kPdPgEzhtS9|@nGYP>Ty&q zF!&UM!2Mn4G-x{}$nA$O{XcmLHvj#ujLz621G9AzVi20xL2-!tGcWSQ+Qah~H1x_8 zZ`9amuMAD|ijAG$p~!U!)~~=b_FDg9X|Kf8GNQM~@9w1Im8RNrQeY;>qAUmz;Gew#%zi?A^SD&(!qZXOy%4xL${>3a<)3-Kpa{e^R zf&B-3Z%J^;i?Q1|_TlHwP&rjC_DX!Gkj))c8I?*_Pko^8&w<6N`R4<;jCM!n#+VC^#{nlkrmSDux6X4|Q);3g-35^}!NjmNP8diWvkGpFeF-8z5o1^=@_o++Nj8U8}Kzq}LSF zbNTFaPYLDMSO^TaxxaaQ23+tk1Gg%il8pX_*7tnl3_C6Ysg9PG6-N#hgWk*CA=XM; zktQO_6hD1nP4^l-mJ3wMkAL=a<6=%Sg*d6fQVUDdbyir)31-4+GEwf0sXPWEWtrfB zrCiXcWiqGhqpRMx=0M=o;;#K4jxYD8I@id#b*--@+uZNXj>L7If+#3Sn__?v&|!4g zc#~DqE)feH(-Q<~`5*oI<5|q7@Lys5{}WJQ!O6E0iAftY(WFv5Duu#j(71@$*L-0` z#d4zh%<9p#bIRg`ZS9F%p#a3cyZ$ZdJWmw1*RSWQ9xoAkKcVq<&KT)5s~IOcX1D6KN2U{)F%8SkSJn zPE%%jE*>%R|8i{29L+!;YRTZHDRn%gUIZ;SX4c^KX;;jy6dTnFNXLHymFrUi=CkHR=H(_&bt!0ScJq z_l-VDRi)6#0nad|Se(rg3r>Bo^`@ox>Z|~-Uy7T#L~$OB!wi83vlfL0FkBme z+*q~&_v%}J$Vq9aY$upwL2`x*DLlxhL+wzP`v;BRSvPUJ29QaIMn;#|O((wUL#fjl zP)|`XiTcP1;)#p+n0UXTtXxJ0l7_(N^<$w?dCN`d5A1#3)-Q8jYQ1eg{_fK8J+Hpe zwxyN7(12Hy^{^GXnE^8~CMNV6io3%xYm1B^n3!yOKHicx^cdRJjhc-}QwEf3Nlljf zj6?SM-%6j8hMzZ_z4!c;e6u=@&8NECZ%as@IffSPMnzOdBv3335w>6xg(VGGQV<_-Mc?dj4e*{Ke2jWF>grn)Oe;QY&!)Pgh&4JZE%Viq{k@k8Ktod}`& z97oOdp11XnzPH7BV!*Yb-@o(TM|(q}wovIxC9*Va?z6z#@ELyJ$9ox?OhfXjB2u8y zTSc#KRS3tl`uT^THNU^&Z|+*`KbwXemPvEx6!7_!gE(3*LXYj*gCQ-z?SU11+eOaU-^mmFo|S;#Dg%1X7c%6mN*H z>#N@-?gJ7z-Tn217!}of$DpLhI(j#4ScjO>jx77RnkolMqNuYye8s;JM??J z)=Xx6Y_BcVGe$#qK5VKxuj#;Yn6U7kHN4%j(f%KK1GEQ2;Y@RF>uMnd0@)KGMcQwY zhfz^dt!Z9ou~dphgl&lnSCee&-wr*G6F~CyG9GeL9BZ!vRU-hFI4FQyYufY?8Ed$C zk$8~p`DnLh_!R;`60=6RXzHw^)_@7svM=n_i;vvzQgDFUP+WV%5iY6zATfAY#47H* z%or)_T}JEGsqy2`UA{5_4^*iap8;$0#EVkla7`QWILGyeL_mkJHS#AY0H`?*`8|yn z5c&$I=A_(p#_)1Je4wgTYF)%b>bX0zH4R?6yl>WOX6yJA8#k@$>u8ga)=))Jd#L!b zZ{&0b{mo=-X<8a-Lppt{qf)C8s1TCmOA-$`SVLlJuWzsccU&i~Vr6&lKKmGsa$4<% zoGt&hQ4Ah-k1r>Qrf2pB2gqvi^EbcaT)UaI7BY3tD*qId{mmn)n@auDPii9?d6p>! z@m-i`Umkiu3eS{HRcg@X;4e3UW>)Lk6)}Ewf|eVdv?mx}P#^q~7Py2}Qsdmt+=Xq6 z9s-c(Uef(#pCIK}7p!Ir-Vg!ZVm6AWVuCBC-&I@#Vc+iwg7OJ@+t`DE{w+6;1-tN; zJzG4TAX4D`q)jW}H1tush>;gHx}Z+0f2q_)zo1BI#$_pP37|{$RF?d!Ma6@f9m%V} zUc!oSz{2Sb&EeU~ep=!|<=Bj;z3=&TlVbSX!P$a^xxBO#@X8r*`3wZY*=LAJ=L;}; z9i-5c;3}fwI!~_~^C>q9Df3En;D)u+?-F>&wuzp!I}rfhRo#MT?}cbNSuJK^K|l3< zP{$z7@s6Z2l@P#UZj2uBYDjgVQIeYZDC|6ct-lX65(WBPUTD%+wzv9ka*9X=m&JAf z0kEfE-fu~a4*Y*&7(6Vd{v|07*#_Gj#nkY|Av&Nnyg4*&v?3p0@J1k_b!f;+! z=vReL5ez~9XN~L_{TDV34K3?^2>WQ)bNj4sFDx0OZF<5=rko}T>VQ=J34Uf^jtJ}T-QY>pYGnP5RiKp@2?lg2x{#U%!w zJ^;;CBVb4Z%DiU<62frJZ+8_d-!kjR?$tzGjp{-$ z97tXIPfzuAdpt7k8Y!X!Gu1e^QURy}JUveOjOUiBqN-0Pzb16R_||1I#!quDdS7!* z>?)a@siWK5+kpX4l&PsH{adJn+V$&83!&Ru@#d}XcFm& zaqrUWko-Y~x748Shtz(G^cOl=<+%Ay7=|Cmkf0csXs>MN1qt4*2%E)wtm)@!m1Ej# z`OuY&Y&b{hMz@Nqyva9^Rl@Py_FT6S$f>Y!-bEJDQY)A&BGzjf#e@I`8Y;g@nG^W; zN9g#qfS(%VDiPoYo+CeHltDv{7kB4&cjrikc5pQ~!}WU0DeGVBXB77|Xq`G;#4esC z{jv6(wCr^o__V95aJ^hKl+7jm1G&mEy{*ls;0iTr$>}OJuATZ7)O?Bq9vRvgS$n8* zE)2|viX{b64Y{ep4Isq-EZrte3 zyBXV_qf3U_m-xrY`Go=h!A4y17j6>By!j?u@yuS@MDH?aF}EV_8_h(Bo%Q;T-rSlv z@hwf#NVd?->YN~eT)Yv+-Y=H z;3@{(-a|(z3T#=i;fJqntfyym#(EGw&#|}lG`ri*hOMKP%S@Dkacj1K+NR4XCx56q z1qwR2ObsbKQDh1|w)0#LthPQ)6bfVPO@GCTJ#0Ao3uhF^+Sb~#V`3ib0K>ES@|bt+ zGhcIaHFsGxqb%Va>c}XV1im@H005ZoIBOhU3B`~SRubXf_rtogx4{e0F*=^j;iA0l zx~^F)4#D@O<2i6x6wMRs z2KqM=a-!KW={LDIQy3!$da|w$i)?fA*0}^a6q`{)1NFL9wD*Uhl1YnIv(ljUpG0J7 z9>*++-Xm&#-w!7XxwW1nb&_Oez=syL*U;20A1@WeTp1N|LhIQ)?zf98vJHUBsF_|gmzrVwJ{%c- zJ4OYgu+|#$hYCx8CrF{t^+*nc`vGRzeEcHShXK|*NZUaV>?cq~0)sNZPcdhQqnk84 zbe2q|_v9l~Czjmh_NaSe@8?scjVuM*egn(1d7DM<&~V5uP695;Py|RCmbYcqYJm(U zqB8_Pr67s7sR)~dR8{Mu#wWvnM^_@KWm@{WA!t$-7NryCwjtw493!XpDbxE~Z)U4% zJYKoa5moAyt0f;PtJqyhxGluxj3CqiQXdu(3nB9a2zC@roz?>4&=j%>Eo{))i(oBbL-*C*AuL?mVZztHE~CX6rn6zTGgwcz z>^%bU8B*Z&^!U+dkSPI%zHe=3i;OBpxw_DiN`ecP(C5G09w+6f4Si+RPcpV|*fox+ zow7bp4#KQjCDYNLs9CAcZW58ygG(A84+EUPxH)@ z;pm*qhK|RwYqC)VMg+od{=k{JmwKcQKVb-!0U6<1k=vF|JvaSSG9GHf@ML{AD>Zhh z%zpSQr2o03PB#Z|iPR z)A0`JWxb!}EZe)dA%nK8gv930TT~`y59q79Cxr|`3>Z4{Hr_rcug4(Cj@hTt5MDGu zGHs!Cmpy{0RkI#iTyHlZJQFFEno#Yp99Ywp*kXZUy;C^^1>_YDCRObsGWAkHSWjGL zDi7i$N>F))6k#s>M9BR)l}mH+}F=;hH7|J%hkANDvyKCN(hip1&eJ)dadDT>q+HGcnkX4 zp+X`&wxf)F`pVo`4Gl2%0$xH8q`%h{XXYal~-2MIj?aO}PO=rA>=^yS$*w!bH z271=ND5SLi9{@5z&A!|SSz!X&+TF=8Bj;)pA<&kE4FJItLon0aNTBSXoB*6^b8kDd z^V|*0G$}H)*zw~kEiP-Mq@}#mG0yu^H)lIs4)0k>YGNst_S{-@6(<!(; zEqBw>uqSCeo7&wK1owf6gYl?tbRv{iiIVS%u^uJIcLBigpk!H>00!fct9nq5T&|!@ zph0OGGZ30wFTeu~EB0=@(uM~nd^jF))uvVMQYa7saj}DP821GM0VUdjVEz26o7M4B z5<4`?iICExfkQX}2#4JnDzZ)q9LD&saam()FtZc^1yctAnabSHOeq;vW%=acsH3-; zQkmhs5da!Wxg~RO^Jd;|0|Y&1^mf`giK41Byd0N+C?3oa^B+Y(mFbGtLja?LlE;(Z z!y%7;gm8(mZar_eMY0g8Q@&>G?kixWuW^X|fle7$LmC0lF;@wmG(@%JN(Fyy7;m2= zoadQRhPNjGFP-^eFgKnEGnMhuBMYOOV*n^`j@ez8Bg$N$UE~0N=l}p!wOM4bf^Im--v4*PCfcG{r0wQ~Le2F_=3o# z3m4rqNQk-w0EFiB6>UdcABi*>3WK0f9o)KEv~mDKK!u7$Z@Cd@)XJLRpou^LdZ>Hm z#<0hQ&fJ^M;6cJnZ90Po2bOI$lv--GFiPgWnPg!upAMQ^;C_%o=61~@bs{pcbE|jHU{)=bVBBux zSGc^lBkT(NDdon>s&BH5=E7xt5&I-1JIRjt3@(C9SIDXdy1ZW6jFx75&1yD2nF?5 z+u)|b73a9qu`8Zu6P37sgU0zFZV4I5+2t{KC=bSYtBru5;13`BG$;3t6!v!fX)*$! zd{EfH*6xlQOxX=r3%PEGdTZNt+aGrbzzi}G0IZ*11MmYu;LL9^ITE7S06&#V0l+;| z>q6`w<6{8USJnjAjR3G^jeot|fb}K`U=c$hZGyPHXmzGwNg|_sV`VL9I)O)ZN*E9t zf2#{{ifjmIot2&Ze_<^`7_k+}Ma4tp!(E#q`Ub%$h2%tr2h|7lL(o(ERc)?|2y2h4 z^2NpNx=WyHyQH9&!a%)zT3<^7Z>MRJEFcLr>m)r=QTbv@L^TCC5rin5Z4thLy2Cc6 z##9o%uy+KM2!)`Ja?)ANj`90pduP_HU+V5e*NU3%I-wlIMDtHI+3NH*!4^S4Th2KN zBCx|{-$ZgYk|<7eAYfZ+Y8OCKSK#RcR1bTmm+15t7-nQX$`TN?n~_kp+g+PP6u6KC zO&3_Q`dJYvj><%oAh=*=qg-cVA}7X%01!q8CEpjeiNr*WSQ+q-rBn-ar+0+HH6&5= z9G=Y6FNZ+DT1(kU065cG>Wv05JtpY1i@twWJ6v|6<6Z>|00jMVXbuid`%SFV@^TfU zacK%CB7lB5bj+I=JTumtd*%jJzZ@>-9Rl$BQ`bo}2no6$@j@cUXk7Nnp_}uAaxQfc!j;OfXOzR4OaOg}UM3y)l9MXc!K1;CwiRbLyRSH?R zXsnxp6rvMIlDf+Lj0Qp=A|eMUxH$6l*{Up4h*z%dpok#1JghX}gfx`=0HT;w;T4de zRZ*KfSLE)CW4Pa-*#W?>QyE+7xFJQd-(r~?{MsHEb}JHbH!3OCZ%;1#ZXn*)dM;G{TTv~wpHl?P+DnNYY26k=hG(S+q_%oNu*Hw}STbp&7yPRxiQ zmpvMn{ZY8JNbX3YQ8+V&lq?=di~t}kmWaax10t^%AQ{E`Be~5_z77!j8*LTHh7-UVL&LeB!+-I9vth6 zA}z_odk^-`d%)L3Nd6VUbFEllJSBD+K*8h$#`cjqAGkSP2fu`8!6$;o{|!>aDMup(`quISS? z2_5z&L~2CS*qTC8gD(k;EikE_3=_CjnrO0F3ij$9V=qdSoHZ2uAFI-+(l!z6>O@g5 z0Br8<*wrFvhSBbsgeH&G_<+^6$W0-*!|h2lxqZN|kYHDWQ@GUQ!&Fn$`ngrGW>Wm$ z*50;59)=yUN=b+YA>8$%+T7mBs~a6r_bN`WTn^OAU~6}3PFmU1dB4-wgCLt$aWI@`~`a*A!vT3mUt(Z;3&n`-nQi` zh&){d06^ZP#4gt>2Lx2Ka>rZ+^9Riikm$6jQjC_}krOSejFtQDm&3mClPDE+Dca<7 zqH(`qE9Ylw`lG>8(G|w0B8P9VC`FLa;IzYM3fe6b2pl->-SBF`)o>3>G>b)4pQEykLy!fOvx%yP0kymD>ZwFfN=94PBh{$o}q z0$f~K4fj`nU>$E*b@NuRI$;)+^DOFOvg_#M;t^kRm+`^x02sOk4q83k;YAI_5aUU1 zJ=-nUR4i5j0*S_w_f|uEXo1FKY@TXu>4X`^J2>H+!3G(+iK3ocj zajGDY++%E3CD{cGB1EI4$5p8ZQHX$ocE=4SA6#uyBq^Z(u^Bu71z>chf@enmcgs0> z3~*$AFBKiR^KI?!M2ZuO6>=UAMQ%}OsCRR_llxx_BN2gzsORW)MQ%Xs7E2zLba7>s z#TkdG(YOSlcB@^E$9by_2%~abwA<|CW;iZ^h@G9~tu{}Q(Lq_X+D?UvfJitx7&|O6 zk3KpW7wx<}7;}U37Awj}e6waW_Kw^6kt;5CcrfOU=k1(7MhD}Zo!bhI+_nc?37vL6 z9FN)mTG7r+zA6X+fJM8_HOlkV#MFt$Le3|P*NWW7E5OYJDJ^q#{c^aRcL|6Ep}>xs z-!yCI&K3ydERCl>kW~@~+6QBFjmU#8n4+rG&MHeQ9wUL(2>3b@s^OTDR6~3OIxGLxcLy<+09)y^R z5=I4ysNge97dOC=>A*W_rEQ7f#%kG7bCWzhB{1MfrHqgwS&h2W)Ncv6z+g?A<&v>R z8DiR)MoWn)lm{zgYUf>QiG>@1D1e-4Cm9SQ0NFoC6#>#29ERiONsU}#ZdBs`!1j*u zAFg%;+}zuChf31m(P>gx@+%LSSM@*|Nu>oh!CCA7D zCtN>wfhU^c+Xo0k-k#7>9=<>BtMjVgs3?|VCE~H7X_ZrnfI!2=l?$%53Kicf?UzGK zKTY=|YgV!iz&c$m2)tkv>M_ShcTRVSfTM$8cLt)~Xuz%>Kx};6h|z&}7huK}!5+qw z542;lxpxJER?<@5Vg3OTc?8OXaeu@ookTOd`Qb2YiY*(aDjCPRf<|2OzIv=isFv?;H?6iq@9@i3w7D7OvY%k_+4gIg;w%U#(D?-e{Q1H;x=VL>!LGP7uXq znHI!_aXy4_R``6T!V}g|8nwfB}x&p_PEcEi_PQL zG^JId0hbwclp?1?p7|hGN72r2411jC*{#pL<HpWtsJAHNn3uKq~=u0Pe`ayyRFBcj1d4TX>BIWF}xBsI7~xOT9R+i zkBXw=4Muws&pFWKkUN#P=RiV@blDu6J6QuZR@M-4q9?8>(+dD3+}x9I=}K16q+Fa^ zIGLRA_h?+6OeR&)4&)UJS&O^c=HyB6j83G#iiC7_UucKWuzHB76uT|*zIagV$NYDF zWp$#p-|7LJOnZIMBcSVpeXjAP{tZBQslU&yda1t;0N4BbT&>pn+Wz&RqXGcn#-PW6 z?t`n~#QZEH5nb=^yAt^O`d}ZdJpNLD-*H$R%ZMT}b0%m5!u9?>BJ$rGwl1#w`e0v< zg~WEmM3GfhRrG{Hjo^^)B^LD9wiTIh1OPOSfy{62mIi=JD;EJkPyB*%PoRQpWT>qm zVqdo|hp9)g_y=f>zWx0zC%Zl|3~46t5d+Q3aJlHRjRT{-T07%}lP%|*7!hE(v&89oqX7Xd=be3i>fMej z>)H2*0{~jiyXJ*fgr&UO8}*4`sa@=k23%CX94^ewA>h&E7yy@xE&`akY-u2#L_cGj zZO7dNa)E%boOiil49i8Ahn~f@<)X7c9B`YLiw+}jx#+UPE&jFwo<|4UiEtEh73Bbc zdo*w;b9u>B-Qg={XNqobG_b}dW`(*{YfxmVo$H#cow3cx0mcsntvVyVBMB|k3U79`KE*D)sk};DI z5fRT6OZ%h2nNAl0d*zT(?JVDYr2xy;y;^@1*y+f%us@1k_ok)X^p~_=$~$b)#w}4y zgY2;L|8B!|q;q3sjaSg&;i1+V0a4+6bMC@J=gNaI&s(nSCA&emP0SUdwc%9&a5yfl zyzHj{?`2^L5eW5x;0h*_iP(7Q^6nMUdxIk0guNO9fb3bXY%Lpmx$e8EvvF?i_~c|V znUE$#RGCt!7UvgQ3yY(Jaep*$qaHTf?q7!d2trCsgOu3q*8C89tQ(Gg>Uu>lD%LPP_$ z0fRBg8`5#$LXrB?%BnD?`Qjao_kd`(x_*;!l_dy z{OZ)He8%6ZZ$3d^dx!_PKUQt(v{ewSXR?40Q(LBh0Eao83(gq`Ae2Nxk+z1Y%K%cR zs$=Z9nlky^sFahMQR)G`!(*EWA+^aGBICTUpfQY7Kmag4~N+gweuyK4uk#T5rQzR5t;a(NT2E~G|383R9KBiN;P1&CSkc`G<)1JNw zC{P?Tv1}$0jf1rKaZNg$RaZ+0>1b~ARi!8(z_rJS zmQ_gr*R`u!%s?{vtZp3zsVD>?WaIcqZ6Zx%S~ibZvMS9E7uBt(s+29HKi@Pys@RSI zIF6&j^KHjLF@g3!=iEK7;OS~#emM}pr4080mx0!0{ehys!WMOV70pn5*|n4j01!ca zXAJ+9YdKs9R`yB_M6>h#jx+f1R4#Zt8k1>B2_;0akPspjPmE?Wgn;16p8z3vCYMcU zw?Yv&C`WKl$q1Fh|8t?h)kn~dFqeUws4sYg%cHWDCeH``}~c^-u~LJh$3zLK3rtDkd@ zwka1}4VY4Dn1(?O1)hSMTuCqVRW#Gk4-M-rPGk>Z;X-i69L9uu35Gj8K!|A=hJhV$ z2?4srL!@J?R}0ekn1~ceG|5rYRTYA9hMuiUTl9P=HBHmKVM&9J-J$9hu{Ra}ymj>l z7eaM_bIv$Z&>a8}N({rm8^#pbu{{mRB)*>DjDW|J0MIoMJPPWkKj)lt#vRAONmuq9 zA#MjeCR8&Zsg9W$^e%MCTz(!Nq%i_0?@m$%%_hz{a~K!g8>|2z#4sqO2K9z7ZEZOb zS~^_-l`+j5qH=7(SL8#N0l8qDGv+Ad0$n+37^YzurbYojmaVsrUD9ID{bSdpfpQQe zR0|*kA5M#vH~#o`Oa8qtrl|On|ruvZjS} z)R=Sb*iIpr|LNgBtZ%3v85vo-?(>zaJ~mC0QX^N$X}cO4n@Hdl(MHCY5Z(#|AiDe^ zDvH$yH-iwOqp6iKX4`fln?G>h{XoEYcKrR%J~9l`FleDv9LuX~qkMFeCIF}f2=bVy z5P}dY7a)L30isVF(iJnpBMZ&=#<#z}%Wj=r8}3{DP&}1D@s%s&ao+-!7-FEKGP$Rc z!pH_fDA*{t1nQD$r2dE-P3-FczCPm{-`;)K-MV{v9$4~lBp${7cMtW;;S)mKEfBev zqxhdQ=5#c*A><*1*wEiY2u=YdgnD|=)9*z4&z;rUWLlw1^13Rbt$H;JJ~GxMQocwC zR{;@9Y0pr<2j+mcz=g6$1378}Vi)j6Q}3O?X0z~CF=vcP)0Cq)G7Yn5SW=Hl+dwvg zJ<<>CPgr~=*+kC+Uj;)GCi!ZD=eh~5zH$|F@D(>2klLxy{4wHIqyT4}#R6 zdoGXkxw0sfgx3MaIY*Qh)g11@Xnqh<0CTdT(9jvv8+)~a^lgf`CQjF2ue3tB5WqR( zj8AK6rv@cJxZq=%@zLD4ZifRvZ8DvZ2jg@X6`PSK`7{`kOwhVF@BX4Ftch76s$f%n z6aj}<2#@m0t>s?h!iV!}9=aN!&Jub<`g1gNl{iht;sC%oR~C4{cdm)cgCJT}nS5?U z27m1It-Uti3bncX8_R+PM@{zC=4jK+<-b)tw*#u|iZ3Zj@=ji_-?i0U@qeiqpE)>r zGrChJg5RmewW_LpZnty~_67RY(NvY$P`1)Fq;AEL-w4-B;hYOD7-NO;oB-j}Ht)FY z_MCGduxicfNFqix*hs^cgSW5~?W50RQYMTVoy4jH=Pp*r1@@(o$q6CKb|n>}<7F0$ zL}TfMX&`jzVh65?f|zOZ6s%M2MEb*NW&8d=JsX01aINDwg-i|r!o&d}nTiuKLjVv> z#jyjXX)5#zgl2~7WF-K?dk{p8q{XHz7{*;M9RxVcDU9ceeH6c8E%aL&;Cb{H#Wa)nZnQj!W8<7Fpog@KS*GLDRgivZ1cn5<~n zy{}0P<4}Go=bUqn>?Z(_0)q2mrIZdCHVL6e5>F=)wnGe=Qum&LN&BFc>W*qULHiI5G)tgOBG$pc_Js zU4ivNAP43OrD8f{WNZ#X#3He1Do&{Z0F+Y8GELJ2=_Ps-Ed*nZZQH*-=EO}KH?Ci| z4giil`ln6J&40P`CPMIxr;xazlHM*($C$$ycN{xEo+AKJgFAv+RFt^Eg@~o%rfE@% zDgay+^d-04YeiwEs-Inhz4`;30>@$aXEB=xA;OdqfC>o#KqL{BT!#RIMPm9_;h5 z%PPziCM!rc!8zw6<74qilvkYXXKc6S7GJr4@k3m2N~s77+p&?;6o3_2dwS;L>f$#N zC%+K#UoV~z_Y-v@KLY<9D2gUZ{v#2}xgzB(Y#3omM@yw_xfBUSVyOg1Fc^k0k{MI? zt?S`?llOFDA>|^rAg6$H&be*dHW=UCX8Uh^{TmoXc>m&un8P@6!E~hqKxM?L;wmyh zE)9)1a#Kt>3qtDvV=OkLXaWyVIbV>NCEeD12Z z$R_Mn@RaQPD_Bo%shcX~EWOlc`a4 zMTaJflkvo;jI7t>gLf;G-gC_%-+U|7=JMYwU&iK}qfIxLe^*)Gz51yqho zG6mo&13lrizL(|Ou=1Z8(9hMPA2z`&`u3N8{2_1vK?B4nrAq1e!psOz_a+$v`jG|y zZlP~WP>U{9&r#h5Hs#c9NYzy-^GM%dWQ;k?VGb)7ijh(&Yyb%Hhs&?ucDwD>9`9pI z7XRg{i-CqnC=9i=M8?|4fDdo$PYp1D%&G!f5i+R9aU8~2A(P|dBczhscmE^5@y%~_ zbWFv$5JEir%!+4MJX|5sWPOd9u0`w&V>hO?v{zfkew#fwmHGz^=|X?W9v>}^WuTmK zZ0E}B?xBW3zy)@nUa{=ip3jv;_1n}a(@HywUV>`A}7|-$S zs9_g?^UJQfL*qB-?&`eZstW}nMs1TrLy4NCXn2OqJ$op3_yy=4 zz~Q@C;rIxYvq*o#G!4T5AvotxuXyU&6%X1p7O$(Z(zQ5n1h4CoHSU$fuHi$nh1_WH z>@Dc6t3J3(fHTgx?Ks6uPGrU+l%2fb{Em*P*a`w?=P#P?FuUN0P%>tvYZ1A?u}^Dl z*IOY#ItP0+{MuU`YVI|-3WFLrE`!nDVXHVy3H)loh0~@@b5U6#Iy*a8uln@a6%X=c z0}00vzBDb=?E41jI@6FPt`g zIwJF&^9}3QU3K|+B$5iJ6AlSQlX1(kLZOgpnO^9D8o&IXN9O>Bs<9yF+;$w>wmD%jr;bGMN9g7KFQzHFj zq4=-#~W3Rp74h^5z8UwXI zq?-2kL9GK61*N{em`OsR=lCHEUMicH^H%3q>;?sgO`K88ZyivP^>- z9uZ{y6S&7jYso+VC;%PDahOxg-*tkaKmXe?Trq{$i# zb~H^B0~oa}8@D~;{q5s<;r-`vAmhxj9oumVnOtSlrYI>1#!o)u;;B=oB5!wgZdkqQ zv*%VkRD?(*6?14fQJW5hLN1CY8?H^JW07d3Qu*n;qt~ukTPzkW%K`w)vKks1Qt9*q zPdtJ`!^=ZW(463mG3KyBHXqJx6d>$M_+IwGW7?cplaXLFUTz$p) z1qPvTB3xe|O(jfI?wwFi0!(dg6I?jVIqmq9UwY{!(==_{rj**Y-PF{ydfnQt!Cuoe zdxrYGojlD9D2(SIH*T?_;QZv%FPb`SIw1r%b-Ow@+<5K9WfF_lBpkVkg^HNSut&f| zJ&aWcw$ z0l8{iypZ$C*hpp5pk>GG$SW(0{Q<8uF)Dl$sF z17xD8Ph|k>9dNB&aCeQ$g<_~sxcQcQr%jutP!%ODD5d+)+>M!rV@9|s<&QxgrzzMD@M(p`Xtb2#IbOjhJF=~(QzlTM#HZ92l!obz?- zzPRbS%YhI^%$#Aw(@C_zacXK3DKGP>W{vr1t-jIpqTT`5932~FqXP>TUNZZTIh0b% zvT%+#=Sv=aXrB&whuUGXIR)+FGRl+i$(O?8&A7SazRd#H?f@6iqV|loqH> zrtu;T9S7vv{7>du;#bNzR&?zqujh#-3&72Z=h_=uWcHW3&)WI@fNQDx zQ|-K~s8T{m9fr5du^=F>yUzf?g}~4vLO{t+Akf+0!=<78!&xW6=CZl`o646&n{I|p zH<$lLS+(wi57)n?OoG~EIxR0o038D*e%O>tB|@q(&`DrZuIoH6z*6|Lk*Y6*_6h-i zNTv{Y<`e)=6L?W7c>d1ou=)a31#w#m9KPro>K8%~%}(oRo-&D&7D9L%s@MY|Pop!( z85YQ3jDa*6mFc|j^b<1ztaJ?})HDs#G>Iaz4-)d(dGhR; z0J)3Eg>W3FQmHt$J=`@ADs8;{synyeVF%X>0$_}(X)+8$2r)b~)YsR$aKSO8wmDYc zV42ny?Nb$MgIc-AwL&~4ujyPj+)uh|=qNgj^ITUKFJ?|(bjiW94^aq{YF!?aW0S1Oq)i{7gBkg$pH~3A|xTCT7gBZO3-( zja~gDzwzwzF8kijyVTb=Ak7IO$PBJe#n`|=e}8{JGC;h(!LqC^+NKiCh9f|_2YWSm z$eR(J=xTkr48T~fr&|=WSKs)zt+$?muDAk5l^w|#8yp;X^_7?Z^5@?gbzuv+`IMGGq5(*y6P%&;9Ym#_QX?5LUoOa+EgeM z`bzsWN~z~HYAHB8;iGeae+k%*Q>j#PqnT{~hIxnn=$K=VZ)s`46{jR2wvK&Y`Tl#q zKK@8%C8CW@srs5oIAWTn6m;4ekZ`A}!TU?|qtH(%m&=)vF)`c?0v=uV>eQ)I^+-ll zLnssifcM^g=a;{jYt*)cYibc#*CjIqmY-UII0c|BQV?A3mwB&~Fv7xn7-YF}=M1$%`7{(_5qPj{5EYj67dHc|ws z);Z^;QVBo2_ujk9o_Ku8m@_rjT#FZaO*E6+Ij#|0h=*Ec3>)8TN)v@BHg-p)y&bq%eTBG6?; zF1X`3cBPUX%do-D`M`@`O5d-`^9lb)lKP$*43-+D-=OBi6p|N zr=NK03opDt2yq+-HyDHv2OMy~#aCW}hpasaN%BCpyDMfGS6qF|jBU16!&f;9P)Y#6 z(9qD};J|_fCy|J0q-v;4-a_5DKdJaMr&jKydaJK{pjWt2_8g4>&Uvn<2TIvLU3=Ho zGqwpt^E!^B9O;c4H{N;sEssC>s7NMj8|n?iuuRi5O^o|P*v_`?pB?tYk3aqx^-Cxe z!qqf&`m{$^EVWF_h3$m92JK2EJ37vWxvxVHhh{zQ26g z(nlX(9BF7w)}}+@kZBkockB|eIAd&&?|pxK(|7E{M_b#e3!A&7PREnU zSb}kO^Ob+O<(6AG=aotYYludpd+oi~6*pXs42V+Ny&4pcIBXmiql0Ijcg10cAF1Sz z(6klyc5q{b z=9}emxl*ZwLLn}=X_#~8%{}ju3k}0W`b%_42msD`X`qjmGH0Imr#W*E1BE9_#i7(e ze}Dh0ue@~W?-q%8O}wdr%H#!70x8fGa7L0^uFoDXuF#V~LU6{pQ?YYh>k?t>x?Ao? zD@eB%ls*zd^!4@Ldh-n{mOq}e!m(tWy7_Colgnz+`>tgJ(LLC&F9ao)aAAYvkg>6u z`yF!3vBxzxx8S6xiBQ95jCFN&{^ZDm94-oxc(NuXcW!)M1-2m1+*BDPzB2Jw9)48y ziWWgR!7J4|K@RkwR0$32qoY}^LRDQ@YD9lt2!p|k~)D_IO9&m&UUYho8p?A9@u8v?eO=RNCx*@K;8}`|M z-p_w=LUVHqAtW3QEBRyFw#rug!OHhfIq?XQYG5>!s7VH+Ivta4y`;pacM@JZ0jk8||DvxNdSaY^vO3*9`LoDoOiIk;3zN15Ucv zVb8CZu<5vJ9rYb{zS}*&?^~H%aCIURjc5GaInaY0=7Jx!?=N9<*O#_B*pN@fY?%mErsXq#pYpO*hEUSt_Rs7BU+DHMELMAl_ z0OF=k4XO|Vh^H!~)oVQfn|gWzK(9)G$mFtPa(fM*B3u=Ww3S2b)UL`!3zBi}*mh>q zn3W$rW8p=I96A@h3slmC5bm891LeGN&dcTU3okr>=9#BLDwU{7MZ#gjaKk-x^;s2d zDrrW50B|9g<5Vh@zAw7Mm67u`m7k0@1W52snGT%uLZNWw6_>rX@{Mo& z&(@eNPGx!P8PZQ+`Ah`i;mI;B0ArPkJ-DIAENr~}p2xoWwXY#aN8}+pkm?q#Ml6*| zrK_v!?|;4P)%V|sH8fhLFXYW<%X+4=^3z=x1kd7e#yA&4Yd$fVbLZcdZu!-(sss3{ z>44kjIF4=Gof|g%=!lu2#wm%01`WFPQQeQ*y1tL3gWy6qwo|E;Go#~Vq^DA{pMUKW zWujqfQ8jkGb%goee(SCC7oAv1H#asnS)q_=x*>-hEp37J(JTh(9dVBkf;mpPT*;1( zmHIdQ`P#d7*x|e3aF|kxl*bNW>q3II$dHvv<%5;)pE~~+-`fY{(M*M$_6+rjN%WA% zf)cl6j8$xVaD9(e+;ru2ckj64PUsbzriqri8apzc^4|OUdf#~C_3Ll{%a&i?iW(GC zHy{sIVW!$LmqlUc*nth7t*wFLeT$!MYim<}GLew6-nv{$qOY&-&f9N!;jNed=R4b2 zR>*Cg5ErEOTt{E)5-LKwP+(A!ZQFyNeRR-)ho8CdoNzb{a^FY?Z9&^XagEXLt{)#U zGt|(QXlk}B%dFx+pM|cb&|QTJvvnb}@iiasweQ?T=bRr3g+ifFBoaZ`8Z_h%0I+-5 zfp_11=j0O(H|tugbS*|*PUKzc)35#_k{xh0IfYEl9PPd2%G>vyIZJ_+0mo0V5Xgn_ z%s-w!^URZJZG9r0GA+~94qqDd9q11#3&(bH;~8_L_xaaX#pB)qzzI8`hX35N&zybw zv9=M5w|4*tM0a%fX0j5P6inru?e0oi#tW~1tT(MFkEL8LtJWD~of|eBF=ubHwjtWk z=)!hhz!&k_@}mek9|~6pbH}j*b#B=3lOtzY zjctjBCM#qqR7Eji6?Wi(hKdVa4y@RjwIA<2>#(!VK2Ha%^&KPSw_{<9b#-(T*k3aqx`;1<_Wm#Kqz4dMP-;JWOd#DdEyofQ*d12k^ zQ_r~Q$fJ%{oUg>bQi!?_Y}@uD4?lR#wO88FNU}B^3WZF=R0n2t3aHa35zBRw z56tq>J=pJBjGQ}+4Xyq-0^-?MK90p=rfDjy1pA;wvFZ}%{JnSI`PFgrD$)96Qv;Rh zO45mBG8Si?&pY6dFV=icDJ_@F7;qX-#P{5D&ns@Y8fO6$j4Hk=cW*f0pu^8S|Dx&> zB>EE2g}_^Hy?Mg1hnM0tb&U;b_FdrEVGEA`^@}gQ2oiJ_Ld4_o6HYkcxcMg;hKx1^ zH^j11uE4;C>u-B_J3nBpvz)#hkO3Ay^3Wx}J4@6yCu&lbX;Q-o#C-dKO8_7;w$59O zh**vG^~WmXi=TXLN_)E+zL3Br&bzJ+ZQJha>$~H&o0dMcq-;jwHOY|WZXqi&VG}jU zR4Sg(nwG80j~r3k(UAzSdmnhRrKMF7pUHbr_5EYCKp12F{e3?>dbUjsQd@_Ke@(TC zE^Dtzq`y4R>3^~4o9O@&GX(%Hgk7#Uz3U>8__MEkpkgKz&tu3uj$Q4b2q8ZF@Ppqh zII(1f!|5b-4<6x+C;j_X8lLOL976X{pOnKKY@2T!yz;s`x7%)eLWtuys&(BELK75Q z9~v6ENF&apu@|Zqull8GLNTo2{*F%Jl#M z)Cl;xGC}bNLqkKi-gN!rOCOFjwm{fKL#Q@clS(9XO{=)gx1Wfa0VMk|&PoFVrk#J~ z?X?Q9R;{bG;3o2NYa{)AeRB@n*{EwVlWCC7LS3pRl}M_HX7BgGh)dm7#KrDDN7NKg zV4U=`-YBf)yeZM%c`lV357yR zvj$pM02YRC6pKXwSoy)qB~L%8j*9oFbX|U*IS}hAgnQ)(W2~@Y)lR$Yf6DyRlgXsQ zEI>o4Kwdz6Yg`B$6maR03?aGZsw-Z2^QCZIy$2nt-}$!NC2A{yOToEqJLO6_KR8w#T6f3a zmQJ6(MLZr?vn=mIAzeg+hjDPHUM$)ONZX_}M3o zR0K(o4*+-f%auz1=Up^6bl>7<+S=NX{vbhHEP=7BfUioWg80JS_x<&=&sSrxOV7}N zJK}2aNGPB{8S30|oc>Qg+;8Tbg^SKL3?mkc5keSalfkAc-b(MuNvEF@j)qOkqIg?{ z7tro!LOBN>^5QVZu?y=z+kLM&zgc*;Wm%C(M29B=u&Ht&@nNx8B!rBOk6m*06}SX? zhx?^r9*ny4fwmg>EX%U2a5#)R0Xl5TIj59{!(qKkg98J% z-g4tpFD}QN3I7B%^uea+{;`T(+1S~ig3MbVbgL~B@EL)lO&p-FfX{Vhek~Oh(0#O6~mwvz1 zdm<~rMZgOiR?j)?*t5^QKs8PLF>YAO<+4%%xOrAA7C-o4Whp=Hm!5sgOU@i>ui zZJ@=#=W@C1I8JYG?~e|jY1K7G>Kfz@qHhJt*ncm)4t;f$#*X7u?8?ArA2y~N9)03D zLWokqYNJ#wKqNbnSa!Kwwr#t=zwbwf?@JmwQuVcA)B>&TAi!bH-2G;MzGf|MgQ0cN z)YSCUOaCxTlM;%kG2@&$tkku7kGxMMrV9R8zEKK<0OZCu)xW$m=nPS@OigFz_(=^pHrF>ZWh^~VoA z`b<+(vtbyCL;^8x)gqCB|LUKL2N+|&zxaYDmpvS6=|IyF?=liXZ2Rr4ciVB7S6+Dq z6Ez4S;_>)NzdGrdUmuTEVC16!Q7M&SaKod^-e_rQ!L}w`0-(tyoC}P+``)(GAd-r- zPNCje={RG2#<#v5B4$3HNBkW{>zp}r&idVX2Bq188|}*&%M1>OOCyghf3vM^ioyqV zR@X_Po+E_R+uL*K{@-(A4XM^b;ejdzRxhiJDX>6w)^EK(f4o%DmULEp*N~X9ENKA2?^>qVw>Gk6b-a z&3LMHH#U&5;o+gf=j@lWBJp&>vO=b5st^Z^3H{F^r^GMf|K*}4f(zkPY^Ue*N1k}K zZORm)1(++@s~bW%c94o`czEcJ+irR4A5VyM+EB=;x(7@XTK=6}*#S4JH4l$%TJikb z)vd!sz$LbhEYa87JA2lSPP`%A+N=TyT;NQ$JYn1}?&xCxN2agOD2%?bvJ=@)#f#`` zUs+9VRfOO#dwY8hn7MN~QQO$uY*`jH+_X_%`yOuUv&*{%dxbo2RO;(KYvDz6=N*pQ zLh4;z66$;B*fH$VBM(1#(Z%OLswS37ge=Rlth#iq%#0y#g{p#0(IQo2AyP@Wy9k`y zj{W;Zzg@Cq37UL_kZrcvrn9^2AFnmlxWZH)i$wCMX00;67q95g{(jPjYi9lz-0}QlM z^;`rMBxr`3s?cji`$FHT5AKo5g6dYi(b0kzh=UAgl#mI5u7N%v-axS6c4D#fi`fSs ze%=KatK>L=V~M)CtlR5|*~R1WefK-$k$Y|k)iy|)VX`Fe5VZRS+NQD-uD4dHjI3F8 z!;Sa+V2`~hrRj7UvqS|bk3}87!snGr1?`5m*0$PY`lHW2=^5(F7IHv{0O10ZF2P-j zNZ5!AAsptEOXcxRquF(aM%aULMreuIIal2QJpNRp9jp`Z`nUqzO7%*}a5IFqciDBfL^$^58!uA?G&LC|q)b(qsgQpnU|lMe2G{q(Xx~%M zy_ZNN@gOs$RK)b2mnqrbCz^{S7+3V}a5QXh-E0+c8KV2oAl%FwEh z)28#*hus+6rc*dTiU}WnWy>v(J^qy2uDz546{e*V4dgnI&C4!G3b;(z^LfOeZ?5c8 z5*VtMe4t(RkWxB*i?4ij%WpihC)}Ewg4FaPO@*TB&59A+>>rly?)z;{Qecv)X zkcgOt5W2eX^+5;kFdS*;U3N`H6R*Gi5($O0=Ctt&DUiKMaQomeCp$J?+^}Z(^WG8& zh#;XQH&n5%dgVX)*@-o=_ufz@A!?aGz#ueag#?I? zR(KZ@mBZ==d0d zXrtK-hPvlJ|LkuMEpKROL?K)qUOv9|KNcA554z>D~(i*5TaBmr{W1A zpm(6ZR4#pgm)$mQ+=xFu`skxiSnz9lSGnNojV4hV#p`HzlaTZu&CpxK8%de4m`MLQgcfM0^Cc0GfTc=IX{ zw;k%c>Y7^*JNziBrNz*fykb06vu+zFld1g=IOM+DuN^Ddp-9->Z@`xT1VFJ;qJ)Gj zkFkR&t}f?%TU(Pn4}3M*^U10L$QE+Na!KGVaE#mCYaV|5m6q04{kWAb0o20)StzB+ zWNO#lcHjCt+dlK?15PA@@fxLaIh9E2tt(xK{mSNZ#Y!0j;1Y;t#~xq)ijJ78Eo$(u z2qg-%4kUkZ;)0ER{U5ydmKBfV3z=Ltl}JwL6(aGw@ish+o6ctT-(%K2_dRCHqwZ?> zLV~lP^qST>@@gWHJpRN}|9btUl`=EqaRN}PR464OO(l8LEg}5wfj(u6=6X8MI`hJL zhaI6qwE7tJ)&pdrlz#JD|8wAhhunJI<(!5M%OHeQ>Ew_CAtv5}}bbV`~S4E`%8O)HNydjSxgx}WnJJ+7G?D^^b z4*OyPU`*Wy1puzh3FFX1rZt|=f;)Bs!VRiGIf)f0?kd}rLb-$rvp&_-($E2$%jWX$ zC@WSxGTB!X7~HB{b=7_UfzE6$|Gz8id)BIEf0>yl~I&_wf&@GBV~N)&8?&xsZX zDzrBU@xi8gsHwhF7ZBfGN@?&CU4viQ`&x%db6`(;ftBAD>>TJ({hFq2s|J*cN^$5J z0TMo`bgz48$@3W9Ap97aZlDB=b$n7N6bgj`>ieOgp%YF$HdIsR9u+4NvDFo)^wU7g zjpQ`Ktn@=5CV_IDUSs-W4+pr$1pb)kSK(>_0HR0`}iGhme}V@a%JXQI z%INT>O5geimpqR(ClU!f7fdFCij>u&h=67UetXSTe|qWNR}+m*>YS!W0c~DX!C29O zb=@R8{P1JX8K#*?Bq*iPXjCB=y7QpCIP3tD1%qwzpZo2(ZKSC!T-V?kof@wYKj?|) zZc3%n;QF4uciHviQ%*}H66mf6Liws0PnpX&1@%E7Y_MYHXV=~Q;FKv-uu+V&Q|zZG?_1RJ2bgG5c7`o`>Z$vdKWc^Ca5Kq$ za&JgNMXC#oG23=>Yd?8>`5Ra*LY6wUb%CQ#0e-+!ib#QLum00Z@4gzVZ*N}VFI5~ zgMqlP9lLwYx+b&o$~#}EB*K%iy`qNDP#ZN<<#KtCoxf2@x5m;5%e2(Z&J#9`8;lc!!+;; zF9MzYJ&be4obP{g#;;CZfJ-kDiD1CHj@$*BRt424o?2jx%{zE^lCCw=wV_bRG%ZTW zv=((wohuAG`+Hn8M+nAvrBdG5*}wk}_B>_&>FR11#BG)8QH-aHWi?005{}~x^!Fe4 zv)Rzlk*G~umer81O~w;Ks{5}_-GUIhu6qOC;0FRa2YR^R%wcxd+Ml1eaQ_1iqB1W5 zCgKQ8dO#x(nP(7BKQP7y2M3S&`BACq)6fo?-r6o?GUzR*Zjp*7Yiep+Y$e%2Y-Q#ykm?F4D=s0Z$D^hqlDC^YLc6ZEb4MoUYu0008SR4?5*bPx7PCIrq|C7Tn&JukemTFLxCRH+V^ zSKEhbX)wmV|J^N1;o5j}V@S6}H33)!JPOW*Jvy@A4`!Zq_W63Szb?@zDty5K@5`&Yqj=vXjC-~Ao zT=e)e%j1pp*nzrKjk>Nq&^q8k!0q!=ai8sXIs4q-22f)hd%!AFVX)w5NZg11!S}wN ziPqLPHHJfB)3WN4=~O)Fb7TF6jjvlTF;yQ+*#E6mX;Q(U3a7JtLqPym7wB`N~My*G@F~7_tAvFc~x;`&=-@hDys1CSI`Im!Rv*3*Jifs>f_V2UHZoii9IsoX& zTe0%Mi1d2!dK0u;D5XoDdSl_QPve|p_TJ8c-qGwhsHNpEoH56CHmq8^|DOBKoVA}G zUtCRrYT_%#Q**&N9~&L5jEy>~!@k802w-9xoD0Wx%BAw)ryngk_m2$?jiAM?VEq`y z7GT0^vgi}E`qPifqnkKmf^(l3aXSMTZO8HA3%r79{n~r(U(wv$jH6R2Tm$p2N}xZW zS-_l&o3Fjt$&7NwFn_WE8w|Pt03ZNKL_t*WR-ns&z8P?sU9pQ_e7g9FS5!TY<0xqm zXgWZA;rAQ>;FS4ih_PXZF~(%`$^chzm0S_rP3-Gf#o@*1m$?7aN;=PJgzTKMQ~=}FD>ITAvcrg_n&H-&^P zBsL6RR@}4sqdi01anxqdWKFA)>MWrz7DCA0`|Nw!W!FG1YugTEp35=eCN{pwx!`^4 zPCoUlrsifHotd!p2|wr^XliP{=&Xy2n>M=1E8K}!)2??%DFUb1x$e;?U)8Y~h1w`| zDKKyyPU4#DzV(c4_S|WAdu-Hk7?&KV*iXoQsIg(B?f9Bc7C-r_8a^(809dIHTz}3v zS7#&%A@fiB&4c$W<~EaRkWfl2%j)d!`E=cyH&(tI4u>tvq?7`I4gEdHQFLhNm%lny z??8a^{|ZZB$8NmsA!lS`ZZu=tHs`FPsZHy%01%x6y-FK%?%sqJDUY2p|8%8&m`vxb z=2s0izpQ)V=q&mjmKi9~va`nv~vG5;(fq-(GjcQA|D ze7RgX;J|})fHHs>s=Ye-FMwz9vwjr%;a;3fQLe%?t$JPN|95;~DG~3#yTyw+S_Qs8jvyseLu8_y!ghHVu z%a<;BYUv}(9#c1WA!fvdDD-w;edB%2EiG!JR5h)C(2LH8dLPa{_qV5=c0plylMrGo zm&q3kh;f7?;YXJ*eRTQK4?g_}VQWH2_h6s947=C9@WyH?i%wyk=L0aGHz~3Ml+s1# zTwoN(Mus=)mRNN`26DG+JJ&7eKX0w4jFxCq+F$QS;&(YhZLZKbEy^n=xlJI}TNgR~6z0 zW_zF{DBDfaf5wa%I-HFkwwS&J08u`y78p8FhN4MWI`C5g!utxlHBrhXH?V1v5n;hi z8lr-rCa?nCZe2me^AO83l(==QaE+kl0<>6z-9LI5nR)4(c{43F= ziAJLsW{3$51LnInF5k{GmA6-8jO1clzd#v>sp4@=(?p*cLt{+SyyS{o?D26OxRl*+>7gj;FsD+f#5n{lBPyBoa{+2!%p-{`E=5+$0Ec@Y>FW#)Y^F@?9Hlykjv^ z9&5m8Yg|)AyFIqrSHbRK>nacFO}8($$3|S-*27IDOo`rw#{&-Q{`{`LFEb1SuK-fv z#sTkL@xS^k{7V}o+VYp{Zs(bCb#bfbf=b?TU%?PC<~UtzpLzKcoz?XTRsXCOL_xtK z1R-S4z4uTzBg8ov53 zcj9smhr>$#>#P-+6yYyOZKOkMTicDdKV%8!IL?%Y7WE?jjUhSG5W(#?$L`+n=(1Ok z^4JFqd{(2=gCBJrWXPf&ZEctT@mhO)j596-jONC3g?z4%H(XuqX8!fU+!(X_ z)-QefEz@+<8KV@kWC>!Flqzfyr8Mep6kO40bjpS``HAM8BsjI)&R7xJ?BYTfrGMIoo#Z@)ur*aF)Tf{*6LyNCL6#R7h1xC~%W zLfw4d00dy}>?60|;ky$mT+k$c^+WwRm6SPj&b+vj!zmxjWqObTIH86~4N3{k74mop zNq`WQie69kz;(6{JW&r-&ke(P_=#5&rA*-d%|NM5q;U9L2%gWLe)eUCx|mxNch$pG z{|tN!(lBUioASsLubSh-p!RAe1WdHmWRGmTf60rKQa>^u93%Lp@r1pilunt_zT}CQ zoWWit2K5@pav6yQRii=w3?ZFNn*Xn!OP|6$g@(pJ-};4%&a0MnngE&DZvuZ9CQ@MA z?Y7@%k6Go7V->rCz@^qHZ{TOZC?ps*N!bRtq`HlIp3}+k!jO8+39E_R*4N(`i zecD-<>RLFkCk<8VK%WKuAu7`qPrm_~(foLpIZOzVDNGL5E4nCTSwpu8A*N}*{N}4W z?X;7U^MsK0_V%SKo}^UfL(}GWLOhgA#*UMvfe$?Mtmz4U@7?erpG<9@5?JfqL%rI+ zg!X%)+h*R{{4z*(bJ<+}{l)y}KiOP1m;bXu4FiMq0e}!+7(WN!c?21gFN9y&5b{r7 z0ik6)AwYbG2((uM*{rFywW%N8_uZ7CTVTHZFW=#P!oAN_JNT)0vNoBfgn*k=6et1V z{vc>*;&Z!L4wpvGJ^!M>Mb$*YBA^i}V7Y=c4s6?29gD?cEiEm4Y$L6$^O=}xX2p^Vvo(08TU2|+x2h;9t}Git-rw(W2@T&Yy3%*5K!(P3{KgoY-f zOe?9AwH~-ZUY)BypF8t_wzf8qJG4~Z+l#x+3OPax239242<18x(52s!oTvEeFe9gA) z@9nVTGq1ns#T3QhvQt8YTpW}GL;V_>BV*+RKwx3{xfsKh$LEq0(>PD z3jOh_+s^y_Ih0UJCfIq>3+KY_`Qq-Suc*VMzLnx1AX1xacl%<~wr#6-k&*6wV8t=N z{7Ja6InV)(4}}0KhyVaF+_iAg5;dXtGqoK>q$7YE48}4ff8Ndzik)@t1fln?f+v5>VS__%pob2qjW17Q>_&?d|Q` zeCs=(e7?#ZmzF07J7Z9T00$u$u#jDB@93b~jek_j&7yivWdyEbHm;rDL}3+;Mmgux zr*9D|6{l?Rb@1!K?!i7ZMcm#nvJX}^PyLIJ2R7S(}J zD8xCpZ95bSRVo$55{6-@TUjt4H2!It=Av`X-)*-U&9(Ie2&E)b$Qg!_!b`mc2oRn9 zJqE_q198U3ufOF1%#EY#GDFL8tVEEZ<;>AJR#5{048yqhfhUhTY=0+Gk9Xr_3ptY- z$#??QFy85mVfBKF%Fw`xC!LJ@rn(T&S9)OO2HMqI$L9|(efiM2`~i1aPnix?Xn}9|T}5MX~mdj{WzW`}m8`C2CWK6asSWkSpf#)V6M%;+HDG;(t?w zP=He43lP=Mg-mTp2@!+{&N-0N&bm~=*5FU0N&IS2A3oPIC+N=!A!nX-&T~&cJ~E!O z>S~Ee^2I_jmH+@0`|4+Imx=|2n-dKQRjue6S#L$ni{1fz{?c2Y@4DkRp{^F6yEIqv z1TF=rtB8xa`Db0I1NW*$uH7OK;LiY81P1l+(pToq-V^F-&=|`V^T}934d1oT)w_k< zp>yWxQYBE-L#l1(>y7Gf5kfjTI+iYd_Q;>j!|lTHT!sR{yN|GW{hDIok;HXeC0h&N z00w-zcJ+s=KAGA)<*-BMzWL^xd+f2tXKPolTDR7qh8&6P1MwKlm(h+0DnRk0z9IzW zTmU8q2yl^S^C+hneQHh>R@*bwPYJ;#APC`tt7IU`kM17m!?~_*of0yZ&$`+H=}Z6s z>Ql9w#z*mmcRzV`^Q};u%YV9ThD|q@|9GKvqHcnXFP??yiXKseErnH1`R3oqW9C~y7$LYw+ue{X}zN~62|M7pNRRC4{J?kM(9<$6P? z!Y~YkES0w-glKGPayAXp#%7m(?&t}T0ahw+Q8Al8aQ``&Pg5mh#UL+b$)O8`z+5aA zQ~aPKw#vU%Ce9tV-6Vui2Y-lME6PQ{7@uM$f9isB0YC+LDd%4SC0Mfx1O}O%06|j4 z242Q}A-36O+mL0US47qPD=v_6AA<9IclXJsU7&)bh!(q~Rs<3s(MiRth$AaAn)-tv zcKY7VxBcx_QiWX0#SD~CK{yDJ9~`{@e~G04RuO z-&qH|^!6)eeS@|HJduZI`*DF5I=?vm`a5H>n1ZO(ic_a_u@wc`BWw{EyIKx7L4UgL zp0h7r6l!!&yec{X0O5pF4hUFrp6mMJ`IlGX*p}3|DVmT3y#s&!>thRk zcaHlTpF1g3;2{@+Qrcuy4mos=N~f#K7PX=Sm8-ed9ZTJ-4~0TuQ8rutd8bI`Ng+prcwsh^wyu+rkUZ3^z2WRe%H*1Sn8%`v<@o+;G;}O9Rvfd22S&;7cI{ z;=&-28a<_n&WfD#3(mjnrn_z+wGFru4QfzBZsQ5COj7`G%o4I`V5@I`N2N<9gfPYw zh^fRf4#ekw$ce)gVdmk$*~>~f&gKh(Q>w}ZHnQ~0}B(f>j<_fd=%1d>*hOv|)HP1iU{m^+odF;96R%0UoWb)Z`BBe1HWD9u@w;kW8f;M#A zR!@mw_|KoIjs?siY~3`?sZ*yNxaR?nzxbS4YZ}5J)CbbIEJ&X#|2iNr_1e z!x$JDzV`MT1&FslU1=E9pa#fLBrQlD1sk^FEnxyg09X7@3DG#8QVH7zRy|OUt%+wv zfI!IsfH$D3cZd*Eo7;tK5ubMr_EAFl|HIy!Mq7Fu1%eUz-TUraYgN^&SEbF8C0SC* zQVBz23>Z9?7s<9TJp-JfyU#Q;X0dE+XoDGS!%TZH=NRZ2;J`pb&*?s=PtzQ>X`pG` ztZr{$z{c7oODd`MSM{puy?5_@cl$D8ene(`k@x#o-b|Jg&wJfA1qd zu5GE3td2YiiI@vTgA!LnNg^>=eMX*Napzs-=DM^QOogn*5_wJD`;C{5|G*D^P}g}C zR#bo1I_Ku*I-Snk+?-g`6lP3~M=l=Fd*t0e6m6t-=veL%e~((xI9#FrDlf{x!ql zBS*@O%R~g(0%6bq0HfaE10VhcYp>A?vnCoF%3eB?KK#*tJqZ9vdq({YqYymqKltHa zY}EHK-O`g(=KzhcIp==j!~Y8UeRHEi#*U;Z8ehKri@*9G>oidBd)8CU!09y|n&-Xm z|46VW#0{H@1B7Woo(P8h|LJ?)0mdRDB$UlBods&(p4NA*XR+-l+&pJ&J+}YEs)&JgPEDe7cac!t#8YUTVuUo zSk1UP`)uEpEi0Y0TCG>!bC0OpCst4EVOTQ?VNl$#d+^}FY@jW5X&-fqQSF%8u8x;> zCvA zTz>vn{=>{@AXbfrP&@GzOeR12!JoBgoYso{wEcTQ@Gt+H-`QGSHP^a8Z>v{H(NO^Q z{qCK29lc@`SNTcl$2s?-ANtwu+8UWC&sh=>c(C=FZ~SIULYDTLOKJ^GTX*t)_^}sf#PqXZ>{rpRO3@pRFr@U#qI|Q<{%`cjJ~@ZgFW;qHJb0QiaabtcavT zD=Ukwf>jQ!z-9*M_ItV(PA;6uTG9IDflP3D19+9P*(&M2`;NISiL*?=A*yWPeg+_B zE;rY-Y<>1+eP^?u(TEd>6!^b>;3xgXvt{YY6AS%^OzAioQm}o`I2)z0>@5J0CglVF z%R7ExeDS=5thwCX(7}41YIuw!T=}YePa6ZhC@dxOacRkJjQ}MWk##nIHu|zGl0_@gVC1#xodGL%V2GwRkD1s&Oc-3Mw@6=XQ;}2Pqxk~{+_QUvibzmZJLa5-P}rj zWoqn6CM9KGn2Bg`_QXH`p6^v9+;ky4G^!%mo2{*_>?;7g{N=Z)I>a1}C9?9$RXB2k z`JgK%Gl+s$W-7wy<0i)0qA2F(=JXhy9L%@UNu>)o*WSISj$CUuBw`%*9(v2$qy}}C zgs2)xRbsJK|3^_5)v**2tw)}wg~dhgbz@r)yI)yvCGDPZ_uhM-R8>E2FI5#W-d|=e zQ7J(IutRX*x&!r5uPk;NS9Cn71Fzzdnyb_Y1f$|=^S8{6p8}n8?rZ&MxF?*uP#-)$^+^@HqBG}qdP+Pf+o>taKBo;31wL+C`RRuYj)m8({jFZvp z-|(jT>3Hk*r8cPyLOV_BWV5_{i0#F6RSLNofQbt~dczyulo@Ta3bSX6qO{%wd&c=o zKrl-~AV46Z(Zvg|f8Y(7>E|ld^*WIDjhNOV0Ez}q0bti#zwg-nSJ{w0sNqaNwLY+# z=j&hn>cORpL|)w*t>P)Id+ XAc}W5P*vu6VsFUm`)1Ih3eqx&!7Ll|HJT--cQKY zK7!S>nYq8-h)-L;^jBwrI;U$+wHGKP!e%!wZ=5)LDp*Xa6N^%9!pZB@Wk1RKsK!dm zFy*0bE}qupy&rFlUOau0siFbawJ`Shjc@s`IZ4jnoKld%ui1IEEk#Zp9s;owI;__G-ovcNRfzs-A{Cima}HRIko z1dD-lvF8Z5w6KVgCZQa^<~83`=OkZJ%Ak_kj$D5|Z*@6}KB?(AmIFY8~ha*t@b+36atHiEHUp8Qf*eJZl_@vmX#(P*TG6;aPdqmj7$j7B3!Wn5qQ5R9n^trB`isIzrJ>zOSU~}0qGq|N)Y%WZfcBT8C z$P;aC_TTxQ4{1R?_NdRytnQ5#E!s^zsVzy6FM;Rw?A;qJlhLb@h=@E9F?sTnNjbcD z;rrhG<1(5{OG{d_9D(E*)!y2sRfAP}Afmxw0Lk6ojEJ}1`f>=N2uQo^HlX5b;bm8dHdxWAiOU8CGr#}m-Y5;IROt%h+C zw!>6SK<~ZxlX5a%JCoI!%_$vIMGnQ{8Odcd@XfFNmQX{WLy7=U{O3#W+kQ)<<=Rf! zsEUjX=~Z+`7~G76Kf;h#)|()%#h76z_O#_X%)7bKKrX-8k5z4yRe`U>Zz z{42}S$A4vM@vLQq0y$2Ej0Pa;!xo-89WEa_1k9x`Q}!!hlmdqL-npCrv?`^Idh2!- zAR>w4LXjOw8Vm+!&b(MwO;gGe!_a)o>))!K6%LRQp1fBMApO;)!O~j1ROOrSYY))TwlI&)l=7H2e zkZmnMJd;}yn?Z$=E&#`#k|$3=0Ape>&D3ZY3D#t6 zyH>}5eXn0F^6g7RTv<8H{caS7XtUp~ppywmL`;Z|(kLGkBj9st6SPB6e_#Lf>Th^W zySEwUc8Uut9$z?rR!7a^!Ok^(Plj7sfR%?>8u;x$_#|#^RAZiu7e&R8CD5?N@b)r* zsx542RT8A4(J%elZ*{PgRxWkdZTAC5vW-;wWIU`GxGsV^BLwrNcml|uRM|FKZ_)un zU+EW!8k6*~$_2Ta9KM}6drA>0pRPZ+LfR>2W`611sf;EA_9<$Gi9Q}rM4BW)6{K3& zNjvEpr|n{!@(u~^q^~#$pOt4sbtD4-(8Gy{fQ(G)Y7y6x$m_$xz#+y{Ln~(a0RX1z zQcN;V901kjP+1XkRDtyq13NU4{uzi#ckAp;Q=wn1Ta?jEz!sKjx0YiOVfS|XLKcsH zxA(sHe}to+pr16G#EH}QYy^=^G|}$#`+a#{TU*nvLIt(4urM?8_rLpt>+9?4(kRw9 zaULw<)kEItFf4Qpr>Hi0#XS#-ur?^D~FFrC1Mni2$d4l zQjnmdpavs5h~9g>qhm6e=y;7rql;^6A_pUo(z%9sC!$F?xpZ#r;K60F7+$=1QKAGm z=OkXOphA#fQ6~@1Ijdo6-!X<^5{m#}xC}@kR{+Yg#Etd+`}R*JllArW`T2RN+t0)Vw3Xp+v3$77i$ z6b+`zKqP!*pp3``g_r~d<#6D=7te9+AVvpp=Uk`L5eu4i_0?>dNnNL^41tR`xk=@e zO8P-ri?(kzM?1kz+M1UES1V5QdqtYReBSNaLrfK8u2vpkA_jI21y@A5uZ_Z!u^2^cC5`d%??`S55o5>6$^l+j#UTMN5KOu&E~`WPodz~rqjzfCEy*Xx1Vym2vt zjpgu)LdAgeH1oSBqV@Il=fC)P=eh$V+*ry&1cBLmKU%+}EXbRH8{_d<9Q-AEayA4? z1JZpWlA|Yfb};kG%8DEgB`N@;h{XW1WCIgXxv_ra$PsCxF!vDZ(yS)wOeT?M1zZc_07`heM2KDT<;HR713tTXNOT z+GRo|ir2mVjsN!de`j{rLP5o)&GqU&mJn1lh^^i3rXbu}ue7Rc`LlFxM=eH0az5oL@~xs&a_g=#XHFw>+g0kLQm z2q=a^`MNMSe`#}_nW5d*i#>G-wtZ~GFqmZdrxuY(K~WM$5nx2#&kk7^ZHd`!tJMkE z*ow_bX6MPrxK#ikTLT4n0<#Mhj+No1&Gm)ZdB)TM@G3Q9u034wQ|)KvT!L84E?6#S ziCnvuAz$Yy#ZcEOL1A2cz4!a~@1JiMWAaGoz;T>(TBnY+#;2B6C^hDix&AIgvObdu zx~(jF|NiS*G$bNocFY^Su1-TM-38Nnlwq>&XVPyYTI%yr{$6+80T>M^blhzk0NB{$ zo`3#}vYe^ht7Bp3qa`+&!Vt7|d4A=+_l-AuGkf-LQv_6POvDrb0KF|E)EqQsmiJMn z3+gCF3a`*&?*B*>ZBCr)s)3NJM2!ckR>jPK4v5{BFM|87!w@jygL7 zL8Wms@TKm?!rVLnPy{$AO|kvId*V~~-}Mc!lXlWIOFQ1CJLwvyR1U~Ph{`c|wcAZg zU(&G_d$@}e2yj|OQ_d@7`Ptl_*-#ZWz}pwBu{XC8nBwMdPFQyi!`Uhr|_z#!!-q2fgsO?hZ*rpZvss z3z(_Lx`>7YEC<&eI3U=zVw~8y6a$(v@Km(T>`m36M~M&8(Gi)Bh{l60!IEAlrSwqm zwYIBCodj*a^y`byeLeU-dvEW_$-3#xrS=xQ>Ww*xn%GPs*e{tG5v*rxBPJzf^jx0~Sr-6+<3Zr$tHlUn zQ49FjSZDpK?di0HyZ3MV88HoXnSIQ=GlfM@`-zBd&%r9_m@W>AlNx&JP1sNM`Wp|1 zgPm(;tqjL>It2+8Alz}sopyn+ zkjVVX%(!+6U_39c0NZ+2SI>n(4u_#DJy2V>B88o0x>aCrD$6h+fj%*wfv8vV;_(-J zrGFZI(HLsn6dKl3@b#6*VnCNpB?dc@i=rr8U;zk8@d^lplPB{f8{(pD`AlOHMh#O8 zXO$yStXWU(#A$(uc=_^Wh~k9uK$S7HiD~n_E^nx0;t+Q)%$q@OEZ;D{vf7|F2kU}O z9Uery^RByxMb5eZ>z99HYqO^t+u@XS zt(#9h^^`6IbpY(bRPUqywJ$ju{(kt!ep+^DVt*Cu2>_Wi3$@{{<2n0U|5Wh=;zmIw zhvOeIVF=wA=F#GAxKbaJ($g;_tbekOnU}j8F~BHGz-5%9-%ER;361qurp=V>0ga)b zjbOaq3jhGXM!%~VICV+>@rnh%-w?0?fU$rGJh|CPkQL*PtkEm*a zsNXjNFeOM#EZF#kd1|Sk)73I?RCns-YMsk6)%za)4to!O+qc(+!bW+8O|m~B-so+2 zHHqk%XP#*?+f}_GqVAs(vXxEC@I{NlT-3)TuqK;iPxq`~w zlE6=F=vt8AC2)0Y$dg(wqr?RT@@dhI;!0svDqbdseplq2dU4ex4L1?GTvx5 zf~X)B>D8`^1lYZ=vd@8Kmx%fjs?)D(BX%*EY~5f~?`D?lkh$Ia>?ey2y^kcDP>l(U zQcX?1_KigV05&ff)3J8hS}*ZOKJ;_bI%4}`DV&>FYxYtnDssG2kDYJedF3c=Ovp~{7_ z`{FDYLbFm>)4%3lSy>5SL{j502Q%}1t^h0c?7oG~V>??9^J)jNaFw|h<7+b)p;+40 zxIafk7VV9jh%Vk2r&HJt7DQ%_xz!XAao^G&X-ELb*d0c*ml{v)$l6x2(A#$O5%JK< ziZgSsjwK}kB^U)mnpQ4^SC=v>b#D zmP6zyeC}S_C4LhOfQ$sy`3~n?(9r=LImW;(9ZHF?8rgI4g>$Od1349OWZ95s#^h9# z!zkd&VYFzLbuE=rx!rDm;d7sM2bTp2(z-}EGWya7W>O7MZz#s}3MPmyrAGP;@{=?q z=h}3<5K&H9{?#Y`Xz8}2aR`_J#kqzV5TS6cRTKzl?>W&yQ~=QU-6&N^PsGqRH#gVs z_idO&|3@0KboPE39k?WN>I+W?5R6zonv*GrbyaqkYoV;dcW;9{|22ZO( z=C}%89IdoPY>}fk6B*sGy>7 z4gtlpJENl6*;z3bWUVUz(w0U%6tru6-e~SA3g=p`6&Rq|CNy|i_i5$a}c z%@7z3+|GF5a(d^rzTwSFt^EgEu0ZGP4wF1NcF0fyYN%G12JKbavwzt*m}~2{h^2?t zzU5XCh%h#sA_3rMKK#CiAAY;qee{zKrHf}C^}W`gT3}BgdhmrWo_*%&w>|uJ<-i#$cB$3UwyvZooJa7`Znp&zX1@96 zmpOFpqSY!|vZjX_D7Xb6fI;`tv(G&J@V9-t^m=Y?PC^z423+*Dv;=Qk=jd{7kFjf> z!$*!d=h{WlDq7MHj*jMGngCKkYinyZV6k!@xsp*0m$qh0a&m@UP%#`1<-O4D%Hbo3 zuH}kWQMjTgT%m&?%-?&@+;*Vp?RHzvomjv!Dy0|zabaOWqm&329S(=9tE-5v z6(@M%3TYiNY`!93;fldv%i4dXau@IZ%8s7s!i1?=)8fQMWBN@u-3;Qo>l`{~1WPDf zA*Wn%rZXH2R18ptrZwAEro9IfyFdYxC33diYPDLeqQE%Iw0H=idhjLXjDnVFfr?LyD!Z1%f*7I$f>umwIlyScGx1rO!q&X~j4Y|^7KuWtjGbFOH$ zX3BxXT`~mKd5LS=Di>~WUt8__Ziuv>1qb+N!86{8uluwet+Ce>Z^ z!M+FklB>6gnJH=jq90WZ5D*GifP}1R&piF~(?^dUwaa}r>$Ah%IvuRB)uKf?(ntT# zUwila-xExo19zN#*zG55*v58a+i5gOW7~Gp*lcXuwr$(aBu&!Bw)xI;&Ux25^8s$H z`DIdg{HZ?0v3z?4{Bm5}nF2i8lpU zxv5~ZvR6s8R9g$5I{X%wMPXIL`-uPMeOK6f6B+5{#-nK%C=jQZBnt~Qrop#p;AoOhY4KK=crzNK%-7r-P|Oxru&M~Pw&!2u0=uK)gnv+r9A zzb%dCPG#CwQXS;PDAxa+`4~MA5=cj4f-Dl(Xti7@gmbEwmDGGNu_#W8!@jF|D0E|^>hro8xqb#% zJr6CJK>7o=5+%wYAI{FItY{h=8y^ykPQxvuy9%hKG$f;lxNaN|IxyRJ73&7D?g@S$ zFbC4YTkr$3oGPe|7K=}4=di^{S%~`yXiN{T-KTC5#V};fcq0Kt?qQyVY!9rQKm1*MjamF~=a$5JX)jEeslUlxM@Y!^vrgqgfgC+gqOuB~g(Lu zp?WS2(z*|a^ti^Or$BoILi8-*NYCUaR7lb9t3h}Gf$m9_DG<`(D5;5g&BJhX)AI|P zlV(b_&m@FLSXH`a5{vdRq#jAby+Lx_xGpI zk6SDHG1|8VWz$X?gwTbaqtNi238a`m^XgmH*{7}LC_86P2ejm2EELXo6~~8vK#l!! zD79B=gEV0=Az$Y=eeROeK`OH$=KiKm3Yzs(*qyP(-mGV%22E;5L=iZVD1-$t0A#ug zXWkV(SmmfO9Jdb==PIqJf*GCcUnWAQn~`u%UXPl9pnBsbu(emdz8VqWNL)zxV|?Y< zSxbzs4g&N8KB9h%6lv<~X1-`>(l>77tBl-S^(GCRs`s%PK5)9;1vfE|u*SD#aND#Y zXE>5uVAY-$0-yyKGSW}d=t=p5zR+(FnQOv`oL;?k9HKxGP~U7l`+R$tUAgjI*QoB` z>DRNo&jhs=ZA3KJ6Awf`T*|BKx#p!U8C0wJoS^`ynGzo~7rbO+mB>LP#>Ij?yrkg0 zy^v!sj$_EZwoo5(w=-Imj0C0m*c|5DaXpf$AO^`mXsVAJY*gZOyr5OM$+eXw>Ov40MQ>$0*x&CO7eEIQLW*_k0 zzp^tR!a;s5uqU1&RVE)D4O$iNj1l9RU-TJ_tm z+gtH@i7-ZS4(Y;9^W}o>t#!u;zeSzT)2E}6b?+M0=w}0#_^oDB3~9?JfLH)5ivsjM zT1iZ$NUOxEut&PJ{8m0E+8X;V$b3`=S`qAOtQgn{WKa}@_&A*QxxC+ta;?WS*NK$L zt3?Q#;EpjSJ7V3t^Xa7i)($)*$&OiXXHy+D8=#_)OUj)&3;K>X!R~9WR8YF_BBNl;+Mk&?O`sGki~L5 zF~C}@_3ZGpi{;+1^6ZxP`}uz=O|v9l=$+dFha7yX%yy--O6qrJZFHelgUaaW?Xuy%({fSSc2mwi6xW4ka7}THzv**#qhb5(^cpQqg zE5a-M6keg5|B*TkU597WqN;U$iLl$L%dfY~W={6{y+-?#Xvv5p0SSwO%<>`Q;Kd0o zbj)r;zf*7pSPo_j_PUBVqUiwt{0CVC46dw_3Wiv~h<3WMN^jfKZQh38p_gD0z(Qc< zb9HC5KzF}!f;-t0tWN8={Mh_z*B2Nyz_8Y@S7gDcn zQ5I`y@T9+?a=eAM}Cm0vgcX0NNp4TsZYCoCcLGj=VVlDmJv~j0o;qKK5dL z*(wzr5X+~VP`fR3?9L6A=P{4uvd_~X+}Acb-edR}`qY@#Ve23Ae^5u~l3_eY`u4WU z#*fHvG#LrP_f#&EjF;YpNlQm+s;2usnNleasstfdw?X<1^EURJ%6s_*xA<-b zK($~yOai%X2dow3EsVpV3UM|vN+C4AMX(}=-XrAro`g@KXsQc0mNc4Ua}k|XI}O)~ zo`z9k5kQiGJ3%FS(3qum=$U;3Jj*Nrrq`{3CLs%{@N^5=Dx&HCVji94%cv2$E9k88 zl%(Rm;8%;iDq(y*3uB`uu3(0Knp%|S{ECIItr7A--^-R-w&uX^e57z@x;KvcdlRt} zgGynSU+bLnw%}`{SYeT9=+}97f>AFi0YIsIuGN{DG2KQfQi$=R-?Tfo#YNCWR(1Mf zshlZs>pu~FG>OU3ul??2cf{+}#fzo#`aaoxWD^^Th$L16=l-#-+-_uaMG@s&#Z>g z$|5XIS|bmgC71%5H_-5^Mfe6F;q|^l%xz_>VMJPs&xZA9D42aD?Cd3oo-PS??5zWk zLh?Rd_r9^E=2&H{L^NbrR+}G#d8koORhu__qKbe2uw#i^n>EdTRAWjvMQ^5te*bBg z=G^&=!Y)ZYzVqiwZJb&*<#(a`qRlYZW&4Mv=V__htclfh^yE+0;m@IyW4ta)I%N>E zKRm~zM}8cm8P)%Lk!}o`QkRJcq-T&qSoCBB3;9$j5 zJP!{Ko8eF0N|^9p882$?!I7}9H#G$%KsEEr^4|LL5ZKcb>Ma4+A+{+xGjdZkGn$6x z=4DW$dI(8Hxj}&80amoiK+EP-jOco8izT+(uB}Eld#?ivSA|xQC{9c$Q}4O!aM7?~ z>7pgUJ3=^Ry@T6=lD4+?^oyd)cUcPL$d+2!G0X>CSo&p6Kpz2B>ztLh|J-rbI0PV% zSnx*lGgGYmx5}joC(6CNX3O;SdN(nFnW)MzZ!1pjVuOWE@WI~`A?B}(8kBQ zO_&4=?xKe3(p?V3-{aC8j3`S~;FOW`TJL=l&54#Og8#a??TCW)CT|}L20SN4nPQLQ zx0&575#-xs`hLKa{VSo{3Nre-Cnq#6Ee7l+5Zd@mLr<^8tIm}ps>}f3ei1oqe^T?c zZ|k+aou``NT!`cDrAmDdl=EMe7#QYj%IVyBQ3$xg&h^QNX3A_K`_*Q~-zm z`Zp$G%4KAm%)kox%1FEru(;UP(6z2cdsg%%@%%L^4&XnQNdZUO2v4=nlex*a5npLz zn)x`W*9yupzOdo@*g=fOsevL9Z2dy-bvbIh_(_cq3E6istPAKvn)SH`RUS`rRv$^f z7ZEz>s9`BhicK{(>ug-eTMHDb+Gb=@BF21~OF}X{_(eY}*JI}-@LTiG4dqlInQ-kf zI=4Rm$8S@TtKu{mTU6PI&%iIK{jnz`mS|X>J42BO{`&5uZS-1x6_e|tLVR=0uQZREygmSWF+=~r!AQrsvD!b*U86{oEUAT?8&)z} z+54Af?R<@Lkq^1jhC9UH#mh-1$ZnO(d1p-4VY{q`%<%R%Z|OGhy@ou!P*S!ffVf|A zl&oWS@*NWC5Bmq~l6#AFb|KQ!Xs4!@pAS*A*pra}eL#tLn-}tY z+kx-goCDo7zx~UCWl&AX&1n;tBS~|3;3CPPRD{UtECtzrI~*@?tVj4G5CZ^DQaQUm zkzP$^F=iFgnWyzJYY2*(Dc#MJpGT+q$bcQ%G|A93*kz^e;OUPF0`>ZQBno`g?yG^@ zp(zO4sIUS&G&YaR@QH>$9q!<>b+-&uU-{z!}FkJ7xqITI8ym;<`hV2c=20<1Mt|rCp<0fR7M*LHZf?S*{l3t4C6^@*VVBDkO zOt-76!BIohWa6J)%;7XP$k9`^RVst=Zom6_JMP!-Ivb1c+bM#CG^Nb z808GI6`CgeMA`_bfA!t}!3b{90Gk*8eNTaxklA|WRM7McSEM@}BTo&Y9AXy=zyl`z zsFtZk7oN4x=5f**$xKU|N>-kl5|Sx?WdD=kX+pv*9)uDYKf}%LW~I%lh5^#dt2kQ^ zMjWo$Op#urkpT{=aK}xA4E_TjQL;_5<$gk$(b!s0cq%Af3F08pe^bnYn-K8mj-|^K ze*c#Od{TXL;y-3mPM9WKAs}2}$V!XoP5COH%{vS%e)K=$-tIRwpvWe#z*t;UU24f!|WzS1Bb<%R3?KHnO(u z)Q*&y6$0zzp6)G+(zJpVF)8|IBZbFJv0IJg^zBya7F3*}va^G>}a z)AUW*pFs23oO@s~Q#M4O>yX#wI1@c1$epC$v$xft06t;LWln3`<)bh2kLrRPq+zr7l9| zPTk!;Lu-%DAi6UD3m9TxKM6|+3g#bdK?*>w_&&s}v7NQqy6rJKwKwicN)G{i^xIsO z7UuXsxN>rGN&zHcsJa8J@gk*L$b`on?LCZLw|(Vva0EOw69AeJ)ZL%8es7__e6L8W z)yDfm>Q_=tW?}==ixATIEA4|5>!~22frsS-u~e7i(&e+h2ZLCbd1y{>AOr}hcd{2EaL=&CPicc3FUlxyrQUP`G7(^ zV!=8sT`(6nn%JH-Y6yb8n!EUtSfFkM8_xk(lDxnGN)x ze+A$5jwfknNZ87{X=)^Aer>vLoYTrnJaMuZXwG)pyf@wiG+_qiyYnk-_1-N+V$l*3 zZxr@FgZDt^%E|8hM5o1jcb~Tu$Sp6j%k*rC4UW{}Fc}m;pNvx_s&GY)CV<{=QV4B= z-|az00k7SRGv>Lxm6T5i6qUJxu$mwz8VxE?HgmZr$bpl5&yDe0Q2|U>Je(u8Kp+A_T>i{R!7o;g{RIAlH+ZmF5>n2cVMWI@fN}i% zc!ysggPgNNfZTRGwdI9ykrQSQOn`@h3i(>8^?i4Gs^oVNPEH{0W4b{Y{AKzxc+cj2 z8~7{0;%qSh#m)EKGL+<%4#|Tx-M%ATAYH~RO!X}le`dG>Cr#Cl2 z1Xo8LP+B)mPMkHxnokG_o!G!7m%Y^>76P7v|8;Mh`gV22w$p~-$|Z_gt7Cp` z2IILSi&Q=gj*x5*yV;u8>!mH$A@oe$r{%Kxdd!Zx0%#IC;&qufH6(K0R&tp8oW)8e zQHoUBHTE#iX%5i>#xTjfQdl;?QUZH#TKFlGz~_+(YkfUE10`G0u@hx~1FD#F)Nc-* z#$|$IGC(Ydh9CJk<$!I^Tr7z^EX*XL%^8YD#aw`HU@naw^sm)2!%3AX(+jVKhU}bi zhC%1TZVcaMR;$z{l7Rgt_Pp?Girl!(xWeGR3BL$vFfgN2Kj?6HInlLOZG=&@$95G` za%9Qca={9@dook{)wOePjlgM%3<7YF3Jo>z*cA>!pxHO?bau%AI^B>UO!jzr7_asS z;BYn-AnRb;`R|tMV-QiB+u-qP z^YM;5<*i+HvhqO=Yq(CSQiNIBD!hX3encINFSCJ41tL2uY*-0Jy~<}Y@B8AAG6mC} z*UlfY4zWp-@j2+AWFWl%Q+!3%KjMB|zHK}|ntmc?p<1&im)PzE$wk{IAks8T)h5xl z(_=;3JKYyTtc`SJKuAyM!NW`H4Y^~gbg-C=I?A_=8~AV^a%0c*9Xw$`EzW(!Ch$z_?kp&sIa#rQw)vypKvhjSno!XTiP*|}3UKfnTh zktdc_K){32XqUF~UVBvDwj%~TDus|j1aZ)wd9JN8pB}2Hg8*IE?;Tk)yIg8lPWk-73V=5cm}96+FqzC&c-5VoMbTed^5B(Oom^W4X}GIl~! z)B7O-bjmL>@lQ2H(`pnJJKCphlABswA<%mXkY|;TU8D#>bCtMm_*3T9Tmx)6eArqk z#mq9|UnxlbT4@E}KSui;wq3_5W;xk4wP>LNj9e1Kj&E{5uYawEVop`_;gaL_OHjC8 zCp^+WuHsH6q69{^I@7plaZ&iht$`Nfa^I(afPgv9X`R~5Y&l{6LOoU8b|oyYX87yY z7NQVv4Ngc-e8rd^bxkbQfs3^DxqbBF%let-FZXJiohc^`wTm78;a73B@FLQ7mP{)J zqH_3uctuL0llal&nI>%#@d2DKn;|)Ji?S`!Ygf+0kZEy0n%7Ti32%zZYS4485-Ul^ z+a}KQhB3HxNT#mVYmid?)P!_Y}9h)%d}IN>uVB zk+gkofi~USC2FuLn5f93)L5l$Ehzc0)TLt28X_P|YT>y`oj`q+yvc0{LyANK3F-Y& zF8@BL!Q=Yz{`t7Q)P%m_O!Y2W)E(K0T5sf?LRnh(5<*vWRysl!KYIvC13f&(ywygV zH|_tzAVvGk3pMUYX1`2{0|`hw%`wtnm>?JR2`Bs}zNof6^0v4~)y<5pWO^`9C1}p* z0W5>30WjlI5mA7Wf$B_{pq2aQM!!$o2APwgTH}zv!s8y z<@2bR?xUt#Z)ds~S9{6PQdJ_O8xH)UaBK+&kdc|MFs~n)a%Ib_KaQfupN<)vuWL4! zk4J0YkE3l~|m-fW%`(jsegfQYRY2@tYjZ^4$O zl-QKj9^y=jwSZCjxTLUI%dUjx&NBfx_uqtiH=uH8c1s`lKgPi#!gKr zLN1J+sq<51Yi!!4MMO@N*DO+FCE^Vneq0^s_P0+s%!YW1-5JM4QjWIX#O52xYJ99E zz1WPLI*#K2GqI{iOrMjDo_^8hUR$YAX4!XQBxDm3;VA!rmS`KAFbnXX^Jl;B1WD5+ zI6ohsMjCbwD29s50~K($FbTc4BoeQ#Dq3j36AvY;4fl7holG#RRkoH?%+Xl*b$q{( z?J8FnF>+!~#}+}q{+M^ujNH)EO509jJta8Sa0R*Y2&* z`+3|Z8Cn?~DBR7dCPYE5uLHq|n)@vSycMUr3H>PJMBqGr&oHBK8YVwyhbbY}Gj@)0 zJ(hjd<2@+$6-hbu{3__mN*eL#=aBtDT;yzCKUZF)_%+a5R7RX{I6^1ml*hOEk95Y@ zb1*@IS(3d5))O;|%wq&2!Wh^0IX(yh04SaX63Q7Ky86&3Bcy^(aFVV)za~lq4n#tF z0NtY~I53~w=<6eazf-b2I$PrRay1>iCb&ApDx7{5ir+4Qs0s*{6%i!hB6YC4qh=q9 z(C>!;hm`Q){VIvbk;{+z+?2^w5?a8@lj(VWQV5;WwS>+T`&OcWI)|G*kIon3%#~#V zLgx80K_TGvE(+W*2pyF#I|fi9QFl$T>=eCo?5mo*Oflpo$i}w{u9%CVUIJOsP#2%_&KkhKQ={(08k!{6`r!`B8*0YJ7ei7 zFvM86xTtXArg(=|ekr0bIzTNAhD}?pP!YY`y#93rkW8h`bp)}{W@Tnuj?Y2)I|WC! zDl*X1Qwu8YX{RdPQ<<8zTWZykDb7yM`rh=?Z@RjnGUpjyvdDNJ6i{36qaZbHoU2t* z1d$Jt#*+=`aP2Wbp?>p*(VqtkfC5v}EDIOdJy$#sozw{N_fz4_kg$h3;N#@Rd3ri- zv0r~hUtoDBapre$r~qE~{fT+lwZ;Iy5CP6J_!RW2u3&ovCTOk6i%YWJS7r9&19hLFtZhOBNkQP1t)7F)Sh0g z3cfJnvI&6#^w}CID@(zm*YjDnJ6PuNs{TuZv{F>|2QY<%965Ye<661Qs1?T8c*u+n zf!6%v{yO&k>UE3hRxZ$eW@ZL~3kBy)WU$;L%qTCUxVRYX`7(PMgTso4i!87R_d&@A z->5p}b_|BD5!@IN!&sm*EeVYt2H~do34AckA6AW@5QZJ#(Zof>g*()lY)0Y79xqNx zxxpmw=2X}+mu?h`EYOI7joaY;MeOY8aUKa!epE?UC-V z*%`3x_>kjup%~vM6{tzq^7^n8<3Lbe5Q#Ql+4FFk1^$52W(B4rB9UWJ4DKi6qk6CF ztLv2x3<-^D%ojX67x({i?@}jg1E}Pj-u&OdbO~);2s>zkL=#=7Flm@=F}~;{zJq708^g zL$aboYzluPM+aDh0_Me<#5b!l{y~hT47zr19y<@2kyiw3M6yDT)hYfAn}I71oy2AW zb~B{Zj0pb~C6>>xXqMouF|)3o9`G*@toF=Y*OsD89KW6QL;YT~(nmeTaurmKe3+%d zfarwh=Igt)Zp%P~SD)_>f)@cAdQF``1>h)5x79w6{Ibe&P(x__wo;S^p}cKM6EV;} zpyi272wUJ>)5i`XG9}DfxjwTtNG^|M0gyng9@leDMBkYm_B0qVOj7CvHB6|@J6eR- zEohJ&F@P2ITC{u&ax!avslQxL|Ja*1Y(47CQj?Q0t4WT;$|`LQV870#Z?eJ^E?brN z*Ti4#MK4RMPPa_(8}Wb5AzOYZqt1fNtCZwqbivU9^Nf9 z-3I_jBSzxC%jS!vMcK9y*-{jyjHsP>^o2=+bJeVsvz!zdByI$wDvM61Xx~kYvzs;2 z#+b1_)zB8n5^mp#U)uGb9(#$_1o6!JP_9s)Ni&Z+CTx`H@Yx|t#Fnh8p3|SfDGm%1 zsK63ay2v+)5_{H5dQs&&Ej%YPFAjVRY0?HR5G6c*Mm?k`;kdPh+@jMyftjbOs+Xa% zApOFy%;EE4j)3b~T5~7O`ze0dslg^M&8(FZH2S~C68v8U;Ujf+{uroPPKEzSBIS*a z5vDL>9*QDW5tuKo*SLVrPh+SA--3uc_YfHUC%g}01V43N`$L;1TbQumUBh^gQ-?eWmy*~u1)8~XHPzJEC*_t6IktgZOi*e;^a@1t-0561|dWsiv_p zx`!eSH2vq?p28O4mT`h0Acbp1q5Gi}f*z55bsGvWxN_h#deJJaR^qqLwe=_5=C-Uh zbo#h^`;W#KGfhjA@P%e#vEiGKg?2>!+lt!eo0?elR_jv}MucBBx^|)szsOxWGsEPT zmsEIR0qwFWwY~pFpxKTotHngRU1D1E@>nR2UZbOt(=sdZKV-D$3LIWa&|0d>vLWI) zfWQYzvPiDNBU%>~D>MMo=-|(-S9G=MIm$WkqI2ArG2F5RG>HTN%r0DxF9Mbx!G1YX z!98)3;gDu-jf|!vtFvZVab|P)Cj5`xD{v_hVYdpNrKgPg51fAt9BO!E*`_;{-wu9t94Pa@ z-a7RoTKz3x@^_a}gaWR2T$n4&3KI-7foKdZT$+K6*GB zr&cexAC>cop(kS2fo0H81M9TGNu(6%ZxCE`rv^X+ah6QgbOi4`={<>S<%_KqYibh6 z^v&4s3cn-PqR|{@?T6{3uqic+|56lD%3+=lo>%?3m|5MNN#*hv4pI;hC<%u#h}Eg> zqcEt83@d@mqG>hM2qUzm5G7y>;m-`uF-1+r%hef|9!jF6-C(z#yC}gT%-0wA>$TMT zOK!#gvH+&Xl4KPdyZL6C4~>nQrFfMcJEc)^YFYFHKpHO^2L*P1|aL zdhX8>`M14qCtDb+m7TvMGS_`T04NJaiM*y}cIe33KZukd=N@O;A>Fl!VC@XA_r}p% zHls*vZ5Ow+*D%s&+E0JA#g7(tNR$+}2A*jZ1GaL8bxGd({|wttyn9APZhAXT7cP0T{O~(w@6*CYOj|Z$MEqAQp}u@7uKBr*zBxOI4Md;HzdX za9KVF#iKxnRq>RA>|=A+Z#62fGBW|!0GE;`tQ@IN5)(G3+^j!Bf4RJ1z@kZ5y_o}} z3@O=i7pLb4VP4Ug{C^mqqD18Qdz~EZ=wg|ESYM zlo!}JyjqwK7PF?Kkt{5^zgx0tMCPnn*bt#I`98Y&WrlJ8>hLrR!KF`4{bs4T$+Dt+ zt9GYY#%d_0^VU$i^*T!Aqhfbs3Yht8Kw?JbcRU437Nw(=k#G`Ibmq(#^sVF@|%A+Cmw7 z<`pJRr3057_UwOtn{sgX)xz-fL=#X z^Vdxulh+9tT2XJMqDB0LEmp$5VEMm1akl)37SVx|?IU0)ibp}Wa#n#9DqS2Guakq1 z-uwlO+<7tn+<%-dNzE85_=lDj*1}k89m!i2?enMP^+iuIK0W+sAeckH6F?3V*#8v8 zUeKiG+NnZ^=7}fkqPloZ#e6Hv)q5swRdC(yIZ92+atp>TQ3}GDmjz6-jsK=phD1f* zZ+jA(M{}OkXX%}0X~nhECN+)7EN3-|*>Cjq;L`3H+S%1OEWuWmD$`D>K?D3#H+|`0 zxK%QFx_+^tGQW7y!a9GJw7{|77n?bm4^}j!FNYz>v^Q~dnWcCcB23kB_ZozX!wdD> zvjF=r^I|1CeqpU2x#XNzW$Hlz$hbq#gT;dTAB-~g*LO-kXa@KEUd}(wZx>!nlvo$V z-4a8FYcHQawg=_m3~|sKlO=gOQEdmK*_BqL;*eZ`V^YUC4mNxdk2Q`S`O~ z@p<}mOeDZs4v){vUK5e7>~^V-*bo@Ymk~d9b!jGdV88ooU_H5zsitZ!9L;R9IQoNt z-#sowJKTk;#I9FgJO6~t`QgXuE2mh=e!b>**tz$6eV+kPBmsm0!p62Q+zC%QC?EtG znf=$p69AAs*!yv&zfKk%t#@q=o8LoKGGb`D9_@!%3#3ZYPn>@)L&0}DK5T>Nql zKjA7l`Apv-^6m~?Ybr)=2Js?)0_x(!lJK6#}VkM(Y8hDwou_nmR9hz zQ?I-VKruJ2vlz?)7F+nIIZN`shbtE8#ZtMS@Sb^dQ;A=S+4(& z)*}6XOKQC4;{(G5Sf-UwVliiQdk zEt{th;&>~{97$X{VcvNp{RMX0-`k?xbm?NM552@qqJ>U*@f9c zmX>k9gdonQ9)|z8i4w-J39F(M%IOBE(VWWkIHI5cE+#|=_CG>l^Va$s|CKm6U8qJ^ zOSF@)hQ;N&&T6HDe7FmT_q-Vsye>0!@1+y4@CFJ1Vg}i1sP;`78XCwh6d8G+m5?@y z)Z@#BXdZy)F&UiDXoM4B>%+Lo&69C#3%G2#tMpH}?q^S4e$?NcodVeL#Yn`)h zIh%IiV!j3F6CZ!-$bK{BifmVFdkSy#awQT8qp6ktl{rQuHX z_nm7km_z@ygmx%nAy`huws_t!}2Kp1R`W;iFA`oa%F07sEaK7|ms zv`fr;SBM)hG$u?~iDHv~9wYf&KV4rJ*(9UGAL9^kL_y4W34$xIiH_`ermq?pvA&3k z^*lb`jwdK?9az)HIF-Nx&J~SRV)30^7Ev)@yiV66x;_HH6Gs^eI2P{|Os?#IPLC5i zS~xGE;V>Ws05{&EAZat`kE(RMd#Ca7czYfl*{uhVcneME4Ir^>_E`{wHPHVoR4iS@ z{Tk}Z%N-QXls`1U!`!5TdDp|Sm@Y#(z(Ri;pB^T=VeHzSqPVuc?l>cPilQF$&pyl_ z%&545qsJY_ zn}I~!Ajya_WjP|BJ4N`abWk-nGG;}!K~!!ga@oLvk+ZryI{}h5BH~fjloo{o@#s8y zbxqBd$6+?s__}hjUnlgMnllEy!LkgKw80JV$S3bj0g6qCM%qfznTxZVY$%F}Y+ZCt z?X87RZxL|2b-B^H{q1GT%Q+@0GnOOS(o*)cPJroXefnCsujklPZ zW6)okeSViIM)ij#AuEdr2@ywq7JGKTpeLi699!}v8M{gAkUDpJ4F%vrJXsi^ zDnMb09YL7P$RH|C(>3>EwAf#jB5&i;QgD7KNwLopoWRi@REowf@cbdZI?T4BB|@Ql zUjCqK8*D%hK=pTiPZ87g?RbU>Luu^jz~QLfpHEG$+RfTnUtf@>S|Tr6NQn4bA!q{7 zfX@um>#+B<`-BMWG$~X?Q5~|kA*j}ku(eL8+qJt_jo^YrhJ^~2x?;vb%JF@Xnk&#IkHCxhx%!^JXdMU}lUReW+PDdw>D7w6vhqZ`#F<8sBdQ-er{=34KPS`^!Rp z2UT9S&x9z*$N2|V>sOmqM3)@{ZLtitHRI{gp3&| z(0No08*Ma+e@~PmbBwJxFglrrv`A%4=RV_YXog!(bH+Y?p!;mNl`^rs1P^jRRZULeku4Dy&39o+Q8lru6-?o; z>}BOK%E+4kX6Mo%B!TDep+%Cmiqv(imP1(!6KZ#Ei6sn9Ei#gsYQb5B=L-#xlevDKkSA)@Ep|UKK6&Hq9;{W| zS*F&!V30r`w74&yAla|aM;qIom>hl%zP}LnH#b|c%QB`7)oxgN)Ov40Vv&HUJpqB|y~NgS-%i-+Sy(cBO`lvzlKXG~z-`OrE>`eEAH*n{UN<8n zCS8@10&An%k_nQroHAyCMH)7t4obDMtGHlk(!yR{q1JO%rz)+|mCQh2a9JD>1%h)W zZQ6QxI^6_Sc2EHRyhOvoEIvU}k5zdvBRJFoKNaVGI64$mY;xvj#o={=rgq5bde`T>fpuP=Vara@ zmoD(eF*O->mtj&Ybqe*KpX2%*kJPsurYMd!&qGomAU2FgJNCH-gO4(le$tO8f*Vlh zX6e=)BtV*f0Hyy@K=AqZ^&_?@nmR^kTBpn}zoj*OlamhDvs+IwtPse^Ae=p0%{fG*?|phLYhSt23l6Y zkI%isY$xU8FbKd%p%lv`UiEHu4DvI(tlsBr@8hK-#HdMJXv-xbx4qDa3g<)fy3HTk zek8Oim>l#nM!l{z97Ux_ugh0#ChKNTe3}fQ4_uKG=r{;iSj)ib>bIm;6J{Y^XCTp( zY@5rqvlkADXYud~ox5juj*b@nVy&KnaL9VRcf{As2Z|zKncuSgoPXRz;s7M^16XF3 zU(pV=e&k<5wHr?;Y%j{;A^O7n+X9k^q(J9u;`22K{nW*(soI3sDW@(XAt41Cml1BY z2y$r-F=XsiH#3Em{vGVQ?~pYcXZv&Hdu!c0%(|sTRbwS-1&xHNYdDZe?kmaCOLxKN z`q^aF+TS%@*w#>#_MO>q9JA@1-MvJF&lZo9lI7i;Lt5~bqj{X@z0~hc`<{RCY*lsT526i3<=*{mv zZYF9gJ|@|l49zbK)hQ?<3yhsmM$RNdVPb1WgND}rEc8<(8X>}FgRI8ZgNBWC#sRn~ zAY$XS0VL)~=t+cdF|-5==gQ9Gl!_PX^3DdNuaef zQ|E`u$DLQQfz84H^DER((?Te+Vz%N;dnl=I5oD8)hvx+<8$ukhN?@AQ`iUe{M;LP1 zA(ARUZlCJ6I~M0$@}~P?$1lUflMPtl-^+6d53glB&FT9oednwGummhE#pyAR;8>K# zBN_*%_Pn$aacA+!c3ePeAKFvi$ng*i{qgrLNnbh3uMEf4EzRNch^)-w{0^HZ`s{vS z=|L8tS;7y0$IPak^%PLS#Row*6S+hSP3Aj^zYW|)l_?ehoZJi) zABz1XQnVwfsb3M4&*j;5Yw<6x$2wC&L5=n}tN)1ru{GYI_2D+LH`C7-_&q+9Hq891 z>&0JwWFL=CiA4lwcLBN-j7&>)oX$8bwG=zsD%YhFla1Se%mlUYrjDxA<)m(6DV#-z zCo`t=V(c{6f8*V2C0@r5rL}thUh|kO_`G+jJsB7k@1Rk(%a4uDPFJ@C&HKfQHfDs-JmXoe4ktc!;@WnGtJ<5*l7A@jyp`W8HG z0~R<|1trT5%DRjOv*$Auzo$An+B#y^bN;#01$HGf-CxUCX4A4&K7J(TKDEWBrXB85 z_45a1t9R`rkKphi>8aHCVYMMg zfxraT%2a{37$?NM15o*TB_UFj|9&X@JYf{X;yWV?MYnlJ{K6zpE&8S_5~9BV!?rt#B8z;y|^hC}84>oAWPH;?;Yc z^x0dh1)XE4VV6Cl6C?gDl<38J*+`P>gNMJZm)lqSE|?N>kALntV}@%5=_~Q_Dl*;N z_p-3qA2;??4T}kO+34R)CiZDXC6B$-e~uNUKeD2ZhhUW_8&V1HnIq$LhA-I=xcFZk zQEk0OX28SnJGf>d|Vw3)ZbMny_Fx7h6k_SkBv2=_iAFEolH1+dcT zA%Guv+1wTPNpf3-35tE5`2( zEWa}|%FNSsqf|MI#9qh!2u@4GECnlAYTo)p)(jF@RLVq>E2B!uW#FD~yYG8O zP4&@)90E2p&BRI8lKU2F=)qSwq?u+Hy-*=n8nE-iMg zsHzz?=lF)w0wR(@1vBc9K|EvghhwG1IdYdhBkT!`zdVOrYO8~iUyIRe?5Yk=bd$n8 zTd<vQYN^>XrdzVD8GRY==7hZ{!LWZQ->)lmn2efYg&Kfa2QtzJ6OYp zou0<$Vpc~&kZ20?r`a_^AeZ3V$RGW#6u!le7X7@w%4k$$*O{k0N9v z6=XyMVu{`!h2Ib4=W012>f?{Mmy8m~<=w91=Uf5?CzkzEysz!q_;`$a#HR%=`J`6| z5qp{>D*g=;zoE6RI69*$`wYp`W(X()4cHY$*=uvr7QQr=MDy<-RjOIiH8i{+&HTNn zV;b9|7YPIcplxGVmoFYNOJ)+(AwDC>k=HfWo!bcL!npmDmoWDJY;EZ9M%BPHU|*G# z&*_3bCN`oL3qu5CHcU9jheeGO&8r$0Fe(kThd6$C0>Dff2}P{|OkX@KEiQr&kqsY- zG4VmBRYFiWmozL^gZ!BgU|rDlFg^=RiWV{13W-;-5L0m%cMhX=6En;+sl;>^*r#VU zYHXN8_5~AqAR2!bB(RO~nu)%!Fk4ZS2X}jzIY)cziY;s5+7V+X<)7VoL)?Y0t97PB z(`nSTL88e`p@?6!x|+DSf5-85EuEl7h@;UBUm}jOk%ZBNp?5q0CCNyz7a43~t)T;g zIVD{Rr4FjXhHq9?r|{YN`E5-S$;re-YN+^95g2MPYU|`pi9){8y2{zDST-9ID*VE| zxp8)Lxt7kkUiLaPCC%!1G4GfbB?tuk6ZCT3S+Io`2@3uv`vf)8_Lq>-BGF*(EOmoO9 z8b)*T^N%GIM)VoiS*m7*L*_FIrKY3ph5_N8iL>B z?s!<#v6Y|VLUpt>IrIQ;@#`G4yJ4G}Dd0Gm&CpzHU!3P5S@$v+oA}zOT=l%Xz2Dz+ z0pS5L_LV%LSWvea)BsXQS;R`Z>KsSGXDf?5fkd)_FR392=ni&vh^cI99vfauRVUp0 zirGlq3Ob#&Xc+l*DPRGhXPeX3>(N}PIRhfp%bQTeANsqpP+H39d~%MhZ)xEI7#Ojf zBiSFzJ$=8q79$a#VM>~bO=t(KKUahWuqn*8aqW(@d)=S%{%*3F_)?jE*fUAo>#_qe z6kd`KmL<1+rYqi2cG9yzNfFfqz|(!>)G}o>#QUVy7l?>#`0~ALGqt?oAFN<~<6^}? zFj`GHT{xK7lmsfa?i-ZiK9-m``%CCn(?cDsP>Ke}a|Q$4b1>LtQb*jXl9h{w8i3!2 z&D5_Rs)I1x3v_i3#am!EkH7|6|5E!=qcD7@V+w@`RVECH{rt>WCPKP{i9M0xEo~D% zb9>;VQu9)>WXkVg*Owt<58wicK>mf)4~YCoRMu*Mj-(vKQVq(ZKk5?^kRjiORJ{vPmxBIr2R;hH(u zAgd^v<%4l~`5vOI4esSHIY%vN`IWlYe^xoUv?uOI{zSknbMrOH)c9coQodFgtlEry zM^Q}c23>kA%N+F?(92*kUb{rkR2c_*q(ywpnW(U~w#F8Hx%c_?EkTOpM-p!EDN=X2ZWGS1y_2T~x75}G*xBvsje$AZ1Vbjo5;;a7!aQUo9ODA$4mjeP_SteX$nA)G3 z8&G`Ww6L^1k#yNECN^k8?2Zuv=ym!MnB)QLxCbxtCtEw479AWNWlxB^tCLWRBx0%i z3wz)~L9TDlZWrYMk_ANri7X+%a5^&Dlh0)P7SQsjqt zC`?RaE2V0Ktqn_<*yV~_7MxQ#hb}T;=U|1AvTYSsS3-iAetzfKUDfaQGr`$-*HFFT z5Z)#8N`WwXj(pnDyxm`UAamb&Wum>D<}WaGifvt?Y~dY;EYBd=@xm@xO__gd3`Vx1 zmV)a_tRgcG`dM|Jn;*9nSE#7r@UZJyke4PW4UqyXC5wn@Zs5bTu@neEaMaQ))s*TZQQ=ygpUMO0gs* zm7NlEFD)P7@s8NmTOw$m&%-Uj4tx+~oY6k}1^lN<}W3&yq(gTm>XihGE zo_5(Fi3mvRuU_<+)kdKtEG+ct9pqY!s9YGIVXk=Pbu{&)!-^4PVb6~8yIw*GcmrPz zfDJ5^ipvmiros|wUv8w$Sme#H0((A>ue7w|nS`b|+E-d8<`=lc3TeoAM2(ax&@CgM z!_79&@|#ZPejHtVcEg=thLbWLwoVSp8xF4_TFXqJ3}PBg+n-j}7pGSEwTS#aRLv`k z=XK)o)_0frYqDv^vy4YXk0~=pOZG&PA2VP>3S&aI>*?Yh`}tD={wYuv*5!xA@VvM; zdD5*dhAK|jjUF)yNCu1#;&H(pPn8H3L_jhkzPuVDVH~FA8f{~4+&wK)Pq-M|u@f6z zWFDUDIS_h@uMJ--`1k)Tfb&dTMn~A*v42gpG!UbL^0xS!e}MH}Tcvyvf*TbyK!KD( zC*11T@8C}W_#ty1EdAEo-|A~B(JaToHwUhyD>O`zN_33zdg0$(kZOM2K3;4~gh@D< zO`9erIGX>-rd{wZ|N8fdR!Cb*do)9;#q?cn2GCW=$FsAw99JGvFBx@25&OaR@#Bfz zTH2y&Yj9uklo7T+ddx6KECzDO>%3~TTilUnKcppjEHTQ&Us4ziyIE!0!J@c;!W>(&%Bz}?Hf%7I@vwg1jbz~Xz9(#SKn^AqCdRB`#5n9`^c2{12 zC~?j9rmXjVx~1IZzBC_PxPcMU^rYtjq!JrLU+H*Bh(f}{HfJU$Cz)S39Cwc+Z$EsQ zue`nBv!A*{P?Lh&k}MU2@XF;Qr+eXZ#}=N-9zB78Fpi-616QnXlJHI!l@Mk{}qiR8D> zr%dbF`Ug?}7;;1oKo z1peB&>{7=nX=|m+k;(4#F2WluZ@WhX7{|Y9_VCpdl2SKC8us~k+=)9;`plg5;M!R( zfc0pnJSBguVqKAzAzgkMc8{|#mS3PuFOZ+9Y#aOH(I&*fD>b&no?g5BU(^e!gDqU%*6u+QJNFH@nBc0+aa zTk|mJy3cxW$grY>%F@2Iq*e2|_O@|IZil?9dR1_5Y5 zS(DcM**;k{8KHPC2lRHd>sP-?2u+j}Wv*`eyhRl%;QH#v>4wY83` z>Yu@?>~H$Bboqx_dj&)Pd*m53N!Z4KO+BPD=4TCyrQM~DN+nk$M}&zwHVlAy2|9Qc zd8A#jB0&p87T^1<7y{><)KW>ejeRm5=1^TT`Vw(Zszmj5?`xU-t|0FP_u}H_%alK_ zFlkAJU%+ zN8}bLo#VfClM8it$R3pfMEupCOzEv}%>Ee`c_Q585FnHg`*e1n`*vE|gekW()z$8? z#_iDgp1Fy^A#H1ZW>BUl7LaSGB6_7}CrYb8bYlA^9?re$E}X0q>Z%U*7ecJYfWQ?$ z<$%N>?B+PS!=(NPQPxGhjN}x$zdG!JBzTj&XdRkL`#Yp>kj5mf2G<}KJcVX5bg5x5 zo~GIvbl^j${akfO2@D3!RKQ)NwHR! zobr=o0?@aCx5@T50DLy7k{OBS&AHu6#Mh+->BHS0j^mnAmb==W~LNDg(>49 zA1DkqhMnZ;0W;t!fdl2jtPi|Ty^=6Q3oozY{1`SUHs1~+C3Q1t_7A%1B;8CR)mA1j zpFkuYet{B{AvE7$42j)g{geJ^Ee;=>RWY`yY+&_?W~o0F1JD2h&Rg`W`qF^1rvN;$ zNV0)fj7FC_~49sT&%_wi!gwGq|2$h0MZjUc+hNX5SWC<1(+rKOda}SGhurK8?uO z&7TJDI3GtEX;+UZLT28v$(`tcdL;=Lbj(!NfsA~60*r0K9ttWo6T&*9bqY_<{^NBc zslUKck8``(G2Gg4kmUlwMsDl`Vk)m2Kt-FWGstXb{jKtfV%H)inFlgBl_{QQ$MrD~ zW5eWM)YNCcC!DM8NUb+%6&Vcyby;j1HBFzxKp)GZggAdAlL(itnl^7!`X!awQK_k zgbd1VS_<3n*xoQc(ky;wor+#)i`MEWAbcy#^#8s21OK}vWA>-f!y;;rD={)*#tRIg zP>nhcaGHtbVFL<0x7h38q+ngZc34sP>ahLEAz(m-g`xpv9k)ThLoIPng z=UY53CP8X^7LKt}dorT2i9_YzV9HyV=cEy{+x4af%c%q;9i*?`IQw{owmwu9A{LXa zJw~;yt#nVXR`&L&n4h|_^IZb*HK0MDeQ^gKnoO0{L~U~OMA<|5ufWkJ3qDrA2jG?hc80Dp?L6n5p*$bPLpljfn5B`tK;H2x7g8FO^#{AMFg zlA^UP6owGqg(R5fBI_pkL;@Dn={DKA=)+ACXU{i60-w_a87Ako&2-k9NSmqeD@Ix( zjpjX#&&8endGWsxAi(lVk`V<}xlHn32zWr{Qho+DxFo63ii^O9-w*anDq|Y5;!m*@S(~8Sy+D~A4=u>ALv|k7nXiU?c2U# z8yaXU=0g4!X21&%G+ApNtgp$sQma@prsbt6kb9KRF=-S((%`U@eA+>L&YJprTOQ|^ z7jV!a5Saf>uU`yNMlTdC`J(NU%A#3zpO$p8Wzg>NPkO*^yJLs=wVtDn4Hj>%XW;fe z!CbyIp)tx|PEJmqv(r;)s@{UanPs2NHe6JocPwVedAp5*j&M0MM_!Uym$ z9XRpqR!6D;3yX&Do9(%|xd{Mg58pt6%WaE1eE=&65PP9_LL6=Amx2wz$H#roSSgYU zC8Cq$vlxaG{ZS|evOk%|?|Vxm@BRiW+QrQJXAc?31{W1XR)mOH@dH0rQhtsFKWnvF z(Cd1>C|i!&l*1~a@(n=e*!w^_4CgS9LH4 z9c8H-J_mxfh!z&Pamo};l(1Be(HcmT44_7(K}gVd?#Euh)k&AT-8-yk+IC+iEZ|@J z&4%LY{T3wD_-V|rT09G{uQ|_M^rHZIH{s!3y~cl{>mxSc;iT(EcLtXkKJSvbVf(l5 z7*WS69L`s3&s#kT%IzY~-zNKf?yrxfq~5nu{?DYG8UQ2RF4a6EA;~$kXs#8+zdYP` zVr(Nce-u5-CcyFeq#9ToE_39F^~zPO9Q(MLxe2-mjUj$e4bm!*=b zf)9gfHu=12I=F1`{hdp&f(SCi(z`mt`-+8>k-dV`(pcv|SFwUlY1G->$L(IZYXO2&3Xl*J= zx-faV^MFHTlvaPw-QgJ$`&b%mkwzm;?#275Nw{W_@x`jBRHa*5B`Yj^j%0wetW`e; zlbJITAQZ>8P*yXQV;t-`G{P>*F+g?MyFdjX8;B}LgbJN_nhsFG(>P6VBA<`*?C~6W zB~Z-q72AwZ8Ws_ms%9Y6*VWU>`Zz8`Z+}#;O}(Ezll8HH=r4b;&G{tmi#QU^NVDCh zlV97i)hIc|?P8PwyU$VH2_|G7Vn}o7?$BIScjp|x9ho|0RJRVS2V;L5zGWbAX6Zak zD34iamj|)mQAsB*E-rdUpdn1aLqh#Pgydqisk}Sxw1kMRe^yyaZBs6wKb=5YmUI&- zbXNEGOY8}g$%M9Y%hWM2W+tB(171=*kO2_s#*Y2&%KgjC4L(3Qtv``gbTf>s;Hk;p z=V%q{d=njx&QudSh$cf$XQr{mt$b(*tW&QRuE%~e>UmsfoRDdBvLKEwxZ6Qv%gQF6 zujX|?^Sc`27gO^n)2LD+s0_n)Erq?y7#-z>L>u*$(L;w~7r`I=oou-iI-ZVd_brae z({`%Ch)OP&Y&0gg)j>d^GB9QY>Ek`0BCYxsr; zINdT0lxEFpM+#L^1y>?7A{>ihs4Phd!Dy?zfY;A|(WIj)0do)uxk_BL1exwk!2C>0SAx7^4j zIc`DwUes`KK3(^g@5-yj81kDUL2gjQ_o$54IA4?g1 zpCJ_7^w7C?&U?8xN%_Ml}VhgR9Om2XPxv;@H ze4@*e=D?5;(H^w*^NakT7!9Cs2K?pH4cUH;(6%b`a^BbBodaBvSY2@g+t}VXyvDM< z9R-GDej}ihB=diAo6BId1qKo}48`Sk3$%Zsb5pK>EDtTGB+kwonMJid>EkOIsEx$5 z;Vk_}Yd*qP^KlpB6X)3bO8^D~Z<|$?JB2cxzJhoH`3uD#&jo=I{pfLi(d-}T~DJVqr>=7YXCO2d`gx?W@nG`$icBWCdn{*KTYC1Fxsw399GR5;Kos#mUm^jio5 z(Q%1VR%VD?R_2O_t=~_~;FULGa-ECu&vSKT!{XwFbeqKtwAxp5!XIN@_W`fr51o=6 z#3xnFdWsK45e-jC1)KFjB;%Pm(};aB5E)2~-)Ysm2EGb*3j&sF$!&=?yG zn*(6d*Y9m-vo^PEUkEydoDu^f8rR7E3Oc$vx@s;idNoO7sSyEzklEV)wW9SeRg<_P z)a7SP#kyBC^QSC2<(&q^cQ>Jwo}y1+c5)DY^1e&ZChE1CUKGf=^Catc46BP^XB~%x zz`TvTJZgu^DB&?*`~B0DA1>Jv84Pbw;4<9zx94Ns&3iOIV^))v*39j;c~`0`fT*kQ zG&9Ba^Ru_hWggdOYkhDaATR$)@L>btX%-sLC>z~flgT7q@#UoPzEU@$C2=PucvLiW z^s)HjPrQ56#_rXvo zOpNBX=bcUV**%f)rbRzPD4xn~N!%iFSf}T(M>$W`m1>`2iFb)NE7$AUk#p$lQX*{8 zrXKs?<=tkPXPjdv?yjw8D8p+f39=Y&je@q=&)tdtUf~Vmtj0A88f_=szLe{t8^?`y z?U)q>f@fy`z28kgVcR4PCrmTwZHf}T_WmjRV|*RT`hjBOFY73Okl}h=JgEObu|xb- zhK+adckH~aX3fcJ8yHps8#=AgVH;IJ;(a2Mdrn})PbuFzM!V&f0R&GwdGKv+m5DiB zx&Qe&56~qXaIF@e%!b{sAPRV_dicPi^PmLNtH*j8ry@}4+dkV;d|!#w|65_a7;gP{ zz(8MB)isK;?(Deqh&nGTU6q-P&=l@%a`B|O>>Jj15MJG)&}Fym$B5$Vcy{SziLTH! zpNz7IZoz<6bxm2dk6{~%`urDpZqTct|CQ#2fY&`lO|&!*ivb`)21mPZ=x-|sC(mxf zZhr?P;>Oadd{QD`kC+AgAe-vJzGA}`z9{-wyqy4H<;i^iydhF~i;q~pqVN5P3kqP3 znlF|}zDB+d=NsGN!g(*FK{hC;>Pr|~x~YNS7$wy-3i3DBUExC_%~dhQ6=Jfb^`IrXOgRE}}C} zH~{~9zpl2{4hfJ*ZCfh|Bvnx&=@n|1Eo4?Tt$+(hEc|-5Y2tQzJ;=nW$frQ8_wfNb zwg0Cm;3pc!+*WJIpLu7x-t->aB^*U&!S~$1U+W(p47WF#tRI$38XtZ?Jh;7`$u$?k zu`4jOE?^~}spJI9+1Ugqh1uo&EHJgi&R^#1o5d^eYN9vPki?uGug>Vn>O6UcW+{h) za%1=?09Q_$?p&jh0eR7{zDYsS#~M{KM{e<+gwo}1Tm2P4u1B*CWcac{JnB#YjJx%4 zE{x$TGXpo<=%3YHa}Gae5W0Q3zTbVLY_ApF#R7yH{SoxJ&zfh(#lGlBn_^2*lE*og z4H5#_+1uxHT}OS#{zeWsx3jZdjoM@~j5KI8P!iHe(Lb*=M0NZBsAFQ=CMmr#*A6u6*`mn*aH*SmC+$uRk1T2P-{gcyX%>3@&_ z7#Q@}2Jt!IIhSWZy^gJzsW%(8;`YXQOUXk_8`B6YY%E3aRU4m#!%xbf0dV|hCdCVs z+Yh`s6vE~ic$cQT2!e#Y{8u~;otLnf(K}^rchFd1nv0@JNbr(?bI^ArGd9lS>Ke}h zdYM+Z1h(LL4raD!wQl#l(eGs7SM^A)B`C2IPG;jt`0ROF1A0S5k3y znkFA}09h+y1b}*~xJsvj960p?RK2**>E0{kCbF*n6^mG2tF`k-nre@h){HIws%> za_5m5kga2n9VW~Xqe|20Kb$FfzkQqEc)2;4vSjzfLvf?tehX_)5t%)~k!G=yHj}_8 zhXrFig8osH6)ZL}|9R;Qxa|}6J{hdK@;uF=xQ-{M_!?Lt_*FdEQ|%`vRPE9dkuuNU zH!mILL#Y8RA(wjwfV&lM{G#;WYK?)fj%=40pZp17P(Hj?6tS>Bv^7J8Y*E)SDk$Vp zs_VfKxu2h)&}fXf_EP%9?)A<7;yGf?HglIw9;tjzyawBhq&_1TnV4S8eY%MDy#Q}h zP$ad4dP@X6A%&(^nRtsZQC381>@7SG9r+oqXiPIz#g-Kg@6ne5QQ#T#YtC7o$hLFU z2jY~Js|g>=Z^MP62t+*t?H`CR#~wff_=y1bU&9-l0qFs%a~tGu9j^Ry?3zr6kz3R2 zP)`aVECn2Lhja2kG~SG%b{fhZR-uu57(q|WWh+Pvp%QgJD&bSQN&cW0H#Gc)C)i}E z|Ia6Y$lvcM1L+z&*eruZpP-vAjN#e695Jl?d>X(fiqhfTzt6vHzJ6c~^H@=~=ce>IaN6))KZ3BQqzd9arpXW;h1MznApI9~%;wTLR&Ich{OciVR$-Ja) zWW`9?NRL@eP8!bN419Pd+Gd4|H;CZ8@|)QRQ(OifOXSh&^^nH@IhVb2Fs;X^`_4&P zKGI(5c$`|ec)daV8cy2a80AN9{o<-qnD?^h{s$P0Qdh{lGdBF43Q`gRiB9koL2DDc zl{QB@^iA4a>iIPn(e=p7F_>3p)%&R~Z_5jo%(Sx3k1(6pr+Mk*?#1u^_g=;R{(k>k zvxqPnRiex7hEMa&x09H&)nl7EjzKML`mjWl&<8XOu)%Es9{bgR`&3S;9Y=ByPk!wcY7H7#;EdcF8Ozr8#0nEj#}Eavo(@5m*$ zvPo@(Xf;7fbdt9_9^O*>M7Bh>eUbebG$DbABO!H@T#KvzUBcYlL)oia@63Gy2aI!v3t<&2zkALT}DaEv&AYBUQ@A_tXk}367pH$98L=QHG`@{3z};GmHg94bLl3Z^W=w{(X9F!!@X7Sh+0fm^0AM}ClO%F|Pk&hP%aTg=*~L~9)K z*bbY}(Ao)@WI^011CCqX=m5xf8uUH*Y;CN7mqzn%!?3n?bNJEDCP->zKp?_0&zQNn z&}^diZU{hW{=!tv>OxTJWKpDE>zq@5PRo>`esU5G-8s<-u(&Ln%G9w-#mw5e<5aV> zgF29b$jQ!g06_q#)*H^t52}SNW98%kfYcf$&c%AG>%+lJB4V-Rh$08@Ui*eVZHqFG zXo+Jwn${nP|EtD#fc`Z1H38B`Aqk+L(pzYhysrExv9m zgRNK{2l(BP385=_>=*~ThG>wOSJJpa*9A>gQ!^_rDs<3Z*_brIDwYaZ+gSfyoiedD zMK0{mZxw-A3C|dwgG&1X$*QGl{7q=VD9(&9^vY1+3>M71^2!=}rHAnDuk5J{J3583Efz}$7Q19i1O0B|_` zE)F@>VdN8_X;vF}o!J`FS0L_|0%vw7}&R~inS+OZp%Is$><@0b`) zJ}#y#_=k}#(_8~S;=jP);qzGu%o%lGl-*!c1VIzAg*r?WvM<7nOC*RHY?OD4VnG)2 z1TmPJW|^=2n@@j9pLeC?<9~(I{(=64*-m5itSI!f3xh5|MyN9rl~*~lWZZA_%x;wP zs_)T(I5T`|ArDrJvN=Kuq)UVj#*AhHSoGy0$o>P8R!8>gv5*aD6(eHYgIfRq%xppD zuSz7SK#cCaU$Tp77$A;i{-jHg$S1VGv~VnP>l_)DAvl6T-|*A*R|04gID*~`J{;|q zHrnG3EhBR1v)Cz~|G?(8WcLS%DDQK0BD>e7@CFn-`+OYaA8hU)pI~yLYJ2mjsN(2K z?<}qwHL~m7A>GUe z;mdlHGiBP&u$0`!>PNIPey|zcz})@z0-XIX6&Dkwo90Ds-2Z|#Ys&f8(3zT9~qs#{f-)&tYolMgRQDamK=O?VjIRsGY&w6-R)Rw zuk*g1m%;vG$BD|G_;WwbPAMKXW{l4LY&D8il2M23*lqY05SSfX^>Q9izV9+vaN}em z8Xt_vxm!2cf@W687*P^69;u9+JeJC`YR`EgPvM_nh(Zi{?c01gllI+vuf)+ic$<7a z9y54H^4p9~tK}#9sXYDE=o#9cwl(OoLP*6yt?${^TI(6=48>b@SI-r7&HsV@q(;G0 zb@X>mZQJRl>`Ml48xSuAKgSh(U@sfMI(Vps%0(T*)9Hp?P!s6{1wu68E?WC-9zMGm z;SD+S&_tKE<*1fUfkGu8l$19&M;SwcalmK+T!`TJelvSF)@aev*6RN!` z9o$UlWI&_z>25x~8TUsFB4}G^w?QrOWyuk3#75{hhLm*XU}s zUK;NG^ou}|?59`I7tA0dzP@M`a!zSM9nAIRRY>$a!#6Qv0`p7Kd;POy=`xO#XXS~q z?`c|Qy?Z~+hr?kK?fIxKIRJcg4Pz9!e-puwr4B~qSXX3@l)=DYy0`>tdWDIGT-Mmr z@3Lpf`=Wp^o7WNQMFfX}V#$dQDh*!JvePLTCHp%*0N874=-=*OLRimC%tCI;Z!?1J zikA01jI1|WwKgM4^1ADN%dAVs>OrEY?E? z>KxkibRb$0&je)J==0U9W5I>)elcn4w@8eaRBr|#0eYGg4 z909NIcgHF$>J*iZ_SCeE)$vDc=8omQnENg_mSpbk;A8GvvuV8`FH!3 z{6KJ5n)iuRHoNQ9C&1X)SV*5#a0f$UIoUqTN7DUJz_H&mR86CHTsc%9-Pctsj@kHH z1=ElU>TSGLY0%vTC-EV(RPfxdw4_~;hqUC*SCLZBd~{(dId(`&p27C9^5LVP?reQ z9KUJU7Oz>T+teJl@xHla$XERfQj65V3WdTjFo|!^jR6FR4T z{cN|5mylwybW39>#WX~Y!qX~3?$jsky!3Ww-2Qy>E1f9`jk!JD`ko)aGFZ#7py(ws zK_Y0u@+A^6uD}U{?1Z)Y#oS}{yTAQdN!Hzf8gWO4$rTx{%C_&B^#Ywu6!95~gjW>H z@HlZL1#A!Ew&0Np@2mOhK)7zpU+3rH+_#9pLPF^LuW)Y*zcd>WNU`T23jCezB%}!bXF!va`O)?0 z^>!0|^im3BDuIb33?Imj|Ckgz^9N%qj1u_#l>3rynTp4xudS!2-PvB>p0s~p(aQ>UOlER;S{V=bF9w>SG5w& zrG7mA>55eM-LM8N!-XpZ`ng-DX!m*Ph#iDNNXoKH{~sN3v@e`v9IICLZ! zR^TQM^6@v_$PRAu;3M;d8G?Vg&-ZmE%|V?ogn=RV=3j(lrg8@$;`yH?{~4&=pq1i& zC~)jys{5nEPKSq~NQ~JZlSh5q*$H^DqLI7K)C+pW#X z8ep5ey?+LIgFO`gcPz6un>6*xIP42>^*kq&kRf_qsW*rE5I6S8u2{f|r^t_Eq=O(HNF zyS2rNxi~354IFt2=gERYy<1YOef%gkreFbNnxyf3mtxB3Cu&l(B;7Kl`Z$z|SpivW zply!vY)Js1!9qY-n3)=2U7(e11Bgn`^Z^;_GbXn_-l`G{paR7~R3dFt58b(f9teQi z1cf&7%_4GrLkw*pzxLKJaU{NjM-}FC4Q&`2t|F^;b<_Ck=&`WJJO>1<-zLKg&O#r$ zwFsqQmbtjs*aTg;sE{e3$U+I5p<3Mk(y8kx+thz>aAAID;exC`P6Ny39k(ZQ~l z^7vXenUYnpnF_bcRR?2d` zwDF{LRhTPZHvcAxRy4?h9AGX&}mg&aKeT3HKk$y{hd{D|A1f0n@G*pujxrSpMzQP>1u9%UXWFc z=tMVZ#Yce!C<-|T*}J!W9*+Yo&C`C5!bVB_NF{wzT+t&t&dn&ra7%?NvvGMnXK_tL z26P_XZMN67o%<2P%1FqZuJCEf>dS9UYVaK?=|XOU+-2FGf6cIvlohR?b#;qB8>MRS zks50zmU@fnZLR`}@h_#-{xJNpCp~Aw<&(WqgoH)d@}prZ?WhWt$jc-BCNh->QewP4Tvlu#*};3D3Wv%+BQ6=!eKLk_ z)%uEb+notkbRqsI26qJIK14D@KLST-vO=p_IFasuP6hqWfaO5q7VXV-#HOqHJOw?O zR}ICSi$HJ@V#VBg9y!pNiQ?-S+^TCC*RH4mHSqrS_IMqMIZgO(L=m#kn#KmL8^+m} zR7PNR?)NN>r0aoKNgNX!KC26FE1JeIz;!&>uR$<%yhR}Bb#peki!B`MIVHI+ozYqi2!=GL0^Aa4vs|9`q2eFWl}@s5Ch>PMig8TYzK22N9cd)}QNG_mBNvb1_$_A5YCUUR1OV}<62~_J zsifvFu~M72&)k>(A+XY@G>;~i8b^pUy?*5Z{61pZPzlhNZ(128_<7wvQ!lhw&A~5Yyf)eFi&PZ(Y`(v%Z1s^5CwblX zkMFz-XK1S{Dq)*A)DhvL7Tr63#C~J@6dxz>%EE07*2f;*L+;w-qv6Nsb!%%jF$dQ9 z!2zD=Ow&&Y6bB*T5U&V_G$8R3ODCBot_owPrv+!~78+YAeocJ59|eS+J@>&5~)gQC%QDg%p<6lIits7L4r= zVnHsFxVttq6WVT*x>6{5fKe*F5++RhL*W(J2TI;nNgl;H=Wg&W>eH0mq~or$)>?Pjjsh>-Ch^%Hy{+i;9ndC`8gc!yU(VgSm?Sx znhH{GL9nFnHy=x02pA#hVr=-F)RWxJTY@O|9_J4)&?1&68LXa~>V~8J&JuB? z!sl7G`q4i^v@J~1d+q<7m(wsq9(yzzq;Kyo(xB7&+|l?U{P{TR>fhuY50Ftcgbx}* zKq@K`BF`H8GdXyr`#B_E*#68M_K((uqJ%u{X!$9lR;^T-b^ z^6s8im>0{3&p%u-@NinS(LEvatYfw*L+Zg>k^bVny*!b9TsskHBwQehy+ze&W_oL# zdo(XLf_TbE2B;tv_pp#HC-GW#VH`#|fa2mJ;Ga3^>lk{I!w;!shAfaG1Tr%n+HqcM z{*Dy2&B|OZ?EzW*DDV0o>0u2a<7jq3OetAqxb}xQq32pI#Znx)Ai!dr zVvazhO_*V27?Sj@oM91kbF;nU-?otk8-dI!PcmrhRr>=r8jTt2Wo;F1MrNz}+6B4S z9ga>r{ls|b8HF!$(Q_PQb5Ak-mKh?Y7U2Y5XO4oiwwz zhge$+rrc(fP}3w;XCX2vj2`VA#7PCgtz|Fpt4^`*jISXa9!ygieRn+ULS8&2mtHow z%zkZunDyxC2hP+WJ6vec3d+R&twR0bly!Em^=7B`gzrxgDxer_g+t4%o5Bg<-<`Zg z$8;-ogDkkupIR$4R}XZ>dgsfjhSxR?^&jMskbw_>^A z#CH&glr6su3ngQ<~{-?Ndzdtw{cbE)SQJf>svPuoZ5wQCLw-)S^i9r@`FN~30aW4 z--Ng1A|8Ns7xCRN;1$8q`zG^053%O&JMRw1w?)Ud9pN_-f-U7LAKI6SkMGros5aqC zAX*;1LWXix67LJh!d{&}5@AY=J|l-#BJ6n`dBcfdLtUB4B=Xf;%AZ8gJx20ZKeL8n(@HgVG zGC5*m>or7?)HK73i z)+5=WGfC8-EoVj>B`eG)|6`zO{?Gj&YxPZC#L;i>$@}YY!xOzoqp!)8oPh$j)}imb zu7Ovj+Fy%jHJ9%z(u*mCJ#G4ZVl7FVwp7K>5DAv z8Hz&^NTaoENn0;#S*e#9u-%IA0VW{|Sp>>|O_(PcR*MIAWv=P?#*d{yxARGgQC;>M z?ygnW@B5>7?3Pnvdb&s~zQunAH7UTYeH6fJ^?|Z1WPp*23wCUzj^J7 z%D;It*;?DX^!jg}Q8&y5+hWzuGr#0#y!h~x6OK9r>6@gUGYnosqQL>k5wXQf!7apf zKJOOl$WqJ*|9t!PB`y@I(NA@K!e$+rPb3CO2Zm*Jh3onLz6@rl8W9-xC-qu(%kO+# zi@QQbbY`8Ig~c?&Ah7%O`$@DNrP1NrX)msfL^o20PM~kTE`tK4*Tv??uM62c+`I(hF^&!SPUkJ5ya+SnzE z;cabKC1n_xwn)eWB8+Ewd~KeW)5g}!z{nYcA%UQIzELBzDtr#g z$o?tM)ngMfi)~$LR#Z(i8^`kSaAaDtK&o)g$;ga z%ryaq*&8gyWI?3}iv&5)&|05E`SnMGa{ABX@cJ4SNIQhf7OE9CGks`)vZF`=I&dD9{Z*swy819V zus}l0bhff6&xniV?R|04g7p>I8`sKaUDQpxr%`|drLo>TKNaCurc8%oSsC88Au$VB zey;t)!{5cF{$`)M>#3O8XXRw`a-!>%=;L{-=Culq*^miYWU0(vKN1!I7)=tH%O_(y zvuXHMjz>EEKL9g9%)aKWTiu!kk3&Rb&DAScFn3%KdmpF5XxM2p^~%*i!_&6ygm?P{ zdl5(R=cQ|lUU{2N-Vv3JI0`_+=yT>bN>AKX`)Y>(BSF*D*8pE z@4Y{D>hx%JP1s_6Yl8&lXcUd`MALpWgObjNWKAtV71+vzQ#fkE&)chLGR3HF=j?F}%XW^u> z^F%WR=5*^5CXg{(XJv53@B#>{o9kSF1ZDv5{pR)OK&@%@j3rA=`6my7VMH%fGRH=WB%%6A3?D* zE207*fDc9r9KGsQuhvAU&2yXtLHQ(gOR}zAh`GMLPBSwNR~XdWpiZ!I%&N+d{p2qQ z@m)Or?7f!@Rdl<8tk7T6TpK&s?%6*&A2JbH-$A<>{xIEBKRb$3_0~a=4(G2}BgE zCP6g?!HWb9daq8wLd<6{hnVeW5u!2+R4nOb7$U zTkMhJpAf@B!1hFwBO-O~zC0-UrGB%ZQf!DDAc`WIo7uc^3#)t23mog8MZPpA!b-_Z z&=jP&PL}G{Zr(zbI&rDN=!8czM)8G5LwE}yv!t5v6uY`ZBUto)0a(*C9O}1#>g35& z2xzz$kf%rj0D{1p#!zF;sZ*zF3e3*VYP>kpsKdww406O?BXa!22}%=un5BWjOiYM| zcpmA%lx13RQJzspr}Y9J)r_gBgow@&8jQzLWr9LP@X-cB;s`&5*>o#V@gB3Ww%h6# zPMh-k7@f)Nek;Uh_SI`TOs`pVVoL8l##r`UMT1M&$IFvy+{j*__|B z0mcA$W3EI+qO;u^hI-_7_F5t=DXYDJAhby9gjg3QB_9X~33!IJXpH&FQy0!Z{RCsw zYx2uQQT8P&_FxDU#Iebc_cwp@H*b2=o9ew)jg&^V77n$}!0A=5ddPi%pL{~D_ z0(|~+4Z+$Fo_OM(d+w3V z-GZI8{FycYi*Y1k(^%7k7SW{MDTFNm82sWFKL5Z24|G;r@Ls0~f{JP7(TVx_?XC5> zxRo9TV0{{S*SC$h1VL^n_f|TN=tEwPxMI#<{I6$PNRb`Y3 zWYMrA@R0G~^{evFPAn+v9Jc@@JB9S*qrepNlq8OP}iln)KK%F>2qr750 zrI4u_075c8!ij~Yl}v0UQ+F^ZwfyVg+<{ain0X=C2oQ+ki)>z*?0nDneqX=emy2#m z-GhXA)G&Sig<)nTV>BA^0*2>ZUPutp;^N}q@ZqNE8Ea5YTD*!0HbjEAy!}1wRw=q8 z-(@eKlJ8UiR#`6*k%X>e21__>Y1<0C?|j-MVS5wbr7#HaZd^gtHx8zjo(cFXCRX)((e5 zMx@v4aZc^{rhV~bLC6Q0#dZk*Av|K!#7guHp+s!8HDruI0BK7?whaaY9mCG6z@~g~ z9-^X&aUClrzt})f2MwYz)&~0#KMTYoG6=Y{wfW#{U&nS9w%aoM&CJa7`+cFRFoVfY zk@HjM+;BJ)F94p%2*4V$));G;=6XbMkMv{AHI2UTxptjs+m=~~R4`)i zePgWwG=_U8xeX8ikulzr_dw8C%gnD`Tm__hM}hLhY#^~6Bz6Y?Y;0^;Ya44DV==++ ziDF+67!$%ra&%qc`KD=RW@b78fakLgaPl!Wt2G$_3$_)SGC(u@-|Y6^oA0MAn=z7z_r3fe1#3bLjZ`)!wNyET2=;ksS~Xc>)M~sJbtR zNQj`7hXQ?!o2LZ4q?`}HnbjV zjRgpllr25&V5sFJDGe6X*$c=+J+dT$IDwuybH=n2Ypi88sX7KgM3&&QpZU~#fA;6N zpo{UWxs{^pg|c-+Yl(_>I3eVMp5#|i@o{ke$5zc$dCu%i|) z5lw&uxGyh#je~v&Vf8G-waLI20AxnMs6t0=FmN&x`G5cIKlss~`>D8NV-SNi8e}k_ z!Cs$trUeTT(G<`73!7;UWOcM=03YM7AmZy@_xg5y)y$s`Nk)SM}xigcRmTlN;kHyDXy5ojeUh;0*;!ak_nO z;p&n_LVRY<${uWMO08g=QF_iJ}80V=8fwxJIfpRsv{KqKyyt{5am`VsTP$lPu&*< z${GNj`bXzw%Yr>Ug5nr?fk!7Q>pVmrU@K%NHx^((?rNmF@-P14!=60w#n8D=4-XF4 zy0z!7pO`->ZRwR&XTR62@AADOxoEvngGE4qx4ixPIHs_y2iQ*ZyzRcAqy)GU$AJnA zMb0t7_L*d7CS?t|2Mg`i9%>lvJTNi4xk%l_b1VO-SD`3IV%0i236NzjE6L}4}7>+e(N)Wcg@#+aH33jlP#6o8#Mb7s7~Ri22^r2qhMZS~eJXcjZ0Mzbg)y%Pu?I44P> zXhp*~AF=JjG_wZ^&adfQ16CK2PAsb$??|I{LIl(AKlS8=VpvUU#UyhN)Q^fTV5!oe z(_NrijVr$z&o78fDsC8NfBJ<8;f*!T+iFfqfi08bpQfwXi60Hr;fxq~9{ zG)GC2h6*AZC3!UP(mJ~x%=s3LtXtA#AX=L350;k}3z=NhSyQ0Ky~sCenuD;X4yo4= zWJnrgp1Xdnu0#_=x6(8tQuVgc6jA*kn$nLiAF{HvoY3%@q8l zUhL=Zi>}NscT6SPHY!-uNk0--Q*F3u+jenr(d%GU2?k#20g>OjdFyrG^m@^&ARgq= zJIT^Y0h{DgytGGr?%0sJxVXr=L700Z_R{}Eesgu>+u!!RdfDmX)#s4Ui$%QuL}CRY zMUNhN?TPb`E7F%;%7)yThP0w1^%obm*60fWg0hB$b1q(ddT{87(%=zfT^=d1J=(ta zzWX6AFEvs|I{~SVsCmZc7nR5-A`Xt9Mn3T>A&_L0iFQnB0mE18Qgq}jm`$Ja5|}j` z6reiio_p>&5`M3DM2I=opE+|D00x7BTsFdqVbCT>0f_<7kL2PJQqG=46pa+JB9-NY z7(3FsTC)>7lj>He5-ghRR$ZN>pI*DVlyqDKP*!UI2+I}cjvYHzbOA)$ji#-pfChjG zBAlSpty^m4uWl01I{Eg-Cd8$zMpL{|ARm=lbbK9Yml6o#g_LFIezkSE-SZP001gfv ziNTI{Nko+h`g+szl+_{$)nCYEDg8nXrqenCqaV6vr{`9#=y&Rg8WHVac=XsYy)0yS zI=9nw(;8+GMAAA}wX$+G5dmeL7h2KFo&o^KZ()Ak#OUkcpnNs)uNb10c*rV&VrVYJEr=O(ka zPD8V^m0bWsOrD(6Xle{zUizwLq=F}PZ3UfQ2xVUU;=4!V(F>KhEWJ^E4QL}`+!Yb% zN@h9R10qp2PNQ>e$<;8k_3+#scc3xGpc2oSoJK%n%+Ahs;p7f+beQP}M1(RBB}ttC z0R5zO&O1*rf>LTB!n1H~DQFa`&blgjBsg}yuMMn-`1bF7SD%8$wYs@3@E1B!_~;UW zNs*v3e_>^*c>CP^X{~txKni=R6V(7rn?CbmC0L=db0MB9&ZvBa8 z{&q@<0ysnhUj6))9q+$8>&-Ou&Zt-PvvC*7>!19 z8Ijf_KmO4V3y~sWwPp-N1mn#UC+2l2{vzkmGG!uuDfALj2h~L_;01Pb;GiHE-wnx= zch13N>m~QxE4U1YL$0Sd?Ovc?D@OIkG8bI9wiFByxdB@B5P0WxLsAtx)YJ-4q4Dr{ zibs>=1t!bog@wpVieh05kSFrq^(k32bWno9U{GUJ(}be2nD71QfHTCWh@9~Mv-hS! zmLA7pU}k;ad#}4^dM?cL%=FBF1TTO9K@wUycEfgT=;D&p%9Jcij<6)#v9Yn19F$@y z?aI2m3WXz9mX}g+ZA;paWGccEb&wQE@eoOo014g@iGu(JGlQ7{F!z0R_j|A3@m1yi z$f~TYs_*p-2}bOX?c%WUz542_%F4{j%FL?D@dW-C4ocdGqp>rM-K(LcPaU2T9OW$hbmiXVSgf{P5CCFM(nJ{ivbB zU;vTYnkR0W42F^@LiY?d=GapwpAD`sKLCO=PrR`Xn<0kKsAcaq;DOA5%pl=cw{G5G z?NkZ7C?6Pz_0i~c_ui+Sq+*I>{cXkn#t9J}1qm!TNHE}eVuN0neZLbh1R>XNTvy^R z{iopI*6yTJ8@HGxUpZn+&z<5%#flsEYgVJ#gZyM_o__IJ2qMurN6v$z{y8@pNvMYi zc_I8up43g7bWa&1LO9A~M@~=W8VOV+O!tFBF&wf1sDqYJ;=@HpE=PRmC+Fb|XT9Eh zeOu~~ifJQjP81e$1OtH%6#76!(A~bH!~lfpwY9mD2LOOiW0aUoDdGVL{?j-+WKDBN zMx#-N>Ws}a2C{3`C7;OI@ld@5PZ39fPJ{@}}La`D#}F^74VnXe_Nm908$^LxyVzabp~#e`)ap%?-iV1`TH_xk&tb=G;29DxyO?TD(H z+Abn1TWJL>gE0GQX=yKvhcP3dij;u{h#&r|ztqAx+vkxXXBHA>rMJD~r`B$*G`8U{ z^MOF*9J#7GeE6^|Z)?G>yV<(eq)geX1Iq{2Z>>d)iNTPW#9ECD7FOh}AjkA4XD?rf zwl)C(9ooBJFwFnXTsohdis2Om-uG?D&(M!@bR?WCFNh8;EhimHF>v{}`u za+*p_6k#4s)?qVkrtd`BIJW6#+Dvzp2E#S2{}BT5)iVSDz)@6yyz&r3KBv=NvMr~BtkjXhJeJgm#U5Z1kQ+ml!6k-Fq3gcWVw@R8o_~+_@`n&0006w7fr`# z>ablzv720*bB^7L5M;U|XPd^3NALQj-{jlRGXqA{D?J(Ojh2$b%Cz3hLP5j6aO+yV zZ9D%&0DtU(hzJ}pOk{xcRmS3%-JLaKg|+5qUB(_2j?e>$Ch@e7Z#<%2{hV0%Qn z#@fkrx_14>-o5*M(8H=44u^b)2_mu=Qv5a2L3!4@EGLr*yKW_T5CcO1j+}MQpv>A~ zCT7>IbBHjROe6@A;5@sSeimIgU=srX01_jKk?{G?J#1}b{PiZt_ic!#Y@M4P&Y|q3B>-T(*g0~;)jk36 z9#3No10WkVGxrB~->BHKvYl-_9*;&NDam};G|k2HXB%rPYpa9=UJGh$Go3b-!R_03 zG)=>Y^tlFWZMWNH-4v8b%(NDsWJcw`I)a{f7J|X)cp%DfW!dD&HP%j7Z+zcde{4FP z@_kBz?M|m7%Muv}mV=Rq#^dp1G7%O971PZ+XRPJeZ7DaBbyoO?yNuy}En^IW1j>D> zUCDa0E#qN*eLd6Hxwh_(9pteGnaRZ;cF`N>e56;ClBtjZps@jk`#{SLCQZF_kF^%j zIFnhB-bUstba^aD>@(qi`I|ZKgdZ|^vWKQ=9{bu?8`~g)Gcr?Ya6#nfWHOO815zHe zR=1SKfFNAw3m@LRdDB|kI13I{*sug;)>(j=;U-#>FaSTxc$9FKEFU-@0IajtHbh3P z=tNF%*4e6NHWtn|A)qsaV2*l+ent*K<7hO}9bsM?kH?NU@+mr}&xs??!p^efu;cMq z{GQw#$6w~s0)WPY%!b@qnH`LZVd9(vpr)~WX_E&Z!%C@h9O=*)WT_}TFMa3WzZ$S? zJnB)&FlcHz=O&X0k!!3q)|zl-pZAx`%o4tpK(X8f2{z3OMOr#(p(FtCY@!*Mp+W=J z*~VGp#~=7Hfe7T81OOO;8i!CE{1+HBABsW(04$k3nGuO-G#c&Ow|_8gwpiQPrUG_@ z28AlX>6+%$sh8gH;2X161P=m0HeNJQ1nu&Q_p3HdgKlcA1u0Cz4#H9P?5ShfcvP}8 z85(6P*|^|u1z>CKFZ{}H{pUaXV*;wk0P5J5Vb8B~P18L6^wam>f4^2#gqnKmu~roI zI$}l_$#>2*&D7e)IcJ?EFszw~aO5ZojvOl(nuP=*T1%m@j;>|6uSx~(*8nhXowL>< z^0pq4D1m_F$TrPrG%_lHz6je^JZoBL3}l~p*=TJunGiWkj%;X}00CC|tBVU;H82dJ zTI$>3U~5gq0!<`| z7B!hgCV*v>(!xY9itOCAt4l-ctg(p3tPa+;&TsL&sA8Cgc?$pt9jXI;M8T3KBv7G3 zQ%e@_UOK?5)a30|I(OwF0HBMVQ9fC)?*aLyztzcUiaU^X4*_IJ8im6H`8|@ojm)T)){s# zZU=~xlm8bbruZf)J|{};3>^d@$uI2k8NcF8OCelJgiM~pMMdG;+qa*CK-3O_3rO;3!mRr_FPdhPpXlTb$b|IvUi~0Fbt<^3_FNFrQU*KS=c z{}qcpYdxbl1yu7;J`s0^dpl40)RX~IxaFlh2~NUe(ITt002x1d{#dM zV+>PorlwKWx&UCU{r>NN%hyjHp&$(s4+S#=0$?1)8~n{0Y6VrZB2#wDmMzlL*4akH zg^a|qJ0+5JyL!xO{HK*fvnc#TH8&E5ktl0v6kN|44`fKl7_!spu*Xn?dhy~#<)PEP zmK`mzupohdPN!3LAPPtspHP~zH4F&u)X;YdanuMXtdk-lqN%F+r30<*Fb!BKj`T>R zAe{B^0f{+7F^C)E!w1z19IbbuX@j55bq$8_WX7mY?NZEMS!)eq^wdOI=s7~Wa7fAX zEM=pw1R!&2NSRKjW2$PtHW~+-BePaAzbzxSJl~){$=s|NrDT=SGh^B$slQd-AtjNr zzUc9#>xbKEbo_AW?pF*}*S2h5G+} z;kRgO=}e7y_Qk_lPd9D|)Y!}t72^GE@A%2jKl6lhRK*+I6(f-Mk{mvK_<;u=z;v}H zBh4%TN+9*%-M@dC#_Qf&4*)4s@~oZ4?jl`GWh3f)agr3RUb;dX}>~T))Ki@{T$1PUjF5Ge!(0a1&Y5APt@W6qCbIk~GM~Som2^z%X&mVo@ zfd|T}GTfF!KmgX3g;c`Qa@sUi(-<@r_rh}?CN?!_0+Ht2$h7=F!1CPv!KM9~4#Gau zJca7=rc(e2k`?8Mf%Gc`Jh*qiV)>*h0yul+0tWIa0HhgyL7?81qI)xKrtf&#Jfy#w zz60r{%g40j+otY=65B8QM@^`rZOFguqvDe!&GxjWpCme8OEtV|Ia}OnMTch8micr2 zigemO&FBld=FJa%SGG!@&Gefz38kk6rI<11>tFtqTu2o>b_v1}aL!D%hfcN`Qt*wIwouj(n}y|x zMIyR!{Tj{BhkGGIcCgIJIcx1;W$k}?=PwJ+C9-OEFg#OCB}X8U6a5-*i1^ZpXJWra zsUxLu6WD1C=UUfwNqtQPK}bd#=iFp6kypYbFTVJKF$Rrc^NSz`v-HgqK{E~?C5|uw zrgTM>`Jiso(#aSDTeg_03Oty^GL+M6{2S7ZgeBUuS6V-VbeL$=-MV$lR26e0N^{CB zKmg$A;Gw&r?HIB=WXLZK@>V9{V%4rCUyuALK;>nQ^8O{sDMw#xJ>`&e&b{!$NzpH( zi3a{B5n1QRxwB`_K+0W2RD#sn-z;BaCIAO1;*&S>wjA{4>`f z-H};U`qu2$0+7l^eU>7Q7m6o7f?R}jE(HR3>BW;7m8BPwqo=~GWciTVbpo#LKmS8C zSQ%4=3!1YUS_R!K>X$M>JYQ9GTQVR%bcf?hy@3$mma$V1_1bt1 zvQhJjiNCUOS4NC2%V;v`yS6!TH)R%}Adxb)tlqZ0wWs0`&w8!fEorAgir9cuG078_ zLSq9dlr=F6$Vd(%oQxFEkTL}z0CsxUuU#!=0;T7WT2Y}#M|<~C-?&Wxur};#Tp)l! ztmd~An28O|LQa{P!NZ-p@AVJdxOUAsOPLcy*HL#z>%+2lWuvX#Y=vAfuy@}+*|J>t z>~lc)qx6SpGs10%L8@w|4*8;lcpOuE-8m?F=s}RH88tPEpbh6G_Bg%i%@0k6!>Au( z{D+}u2F>GN|H{U#v^JCxsFgHC^wuBxiNWfcbsS$+?des%T&n7$M~})?w5@fvGNFE@ zaixdV(%!wJ;V>O&YPLWYp4BHm@z>g5X>Fnvo>?T2pUyeg*uDgP4iFH@u3Oe0v=R?; z;+;Y!1oXB0Op_z>a8AcOZ5uT0CiyIdO9?PgNZ7G;TlDE>#yff#vc@;C)1#!M1l2-2 zgLvxvi5Vbnrp@#%q>Xl)-ht-7nKlyufI;KP@mdymLr-lOkeN5=-~e&?3*?oEezo;1 zvz+yUmHq@Uti^kWjN03(ZjKU~ljK9Pk5xv1ogcvs5QE*Uls)Ly- z51fcnlBGz<+@^vAzrBvv&OM36Ktp0zW3n$`6SE3+_fB*@IkjS~U>nm@4+Ye@kjx(;-=V7x~B`|_L zfy#FwbX{fwATZzv5mO>So8&1w*qW(7XL9_5@A=^;PCqXIur(GqA=qRHU;5&s-}`+( zkO4sIiniM}T_&#NRj+y#yXE-WkT@+#F+fE3wtw`Kt@teIp4AUQKvBBSMZZD>6H(22B6$!DS!3eLqVEunoCwy1wh=o@ppR$b%=lv44S&C=XUSQ$ju0mgVI^| zbt{6p){_ubRhg2i)13}oHZt!J+)U_mQ(yX=axQCxuy`cw zbk3eReeb>ZwstdXw*;U>mmHqpRD)&GalHiK*V&j83>Y$}Pd=StG8<^wSZl=$rs|VC z|L?#0AO5dD{9UI0>Y$(O$Wi#v+mnT%yd52(o~Mui03ZNKL_t)Laqh|mg&72Z?OPV3 zLmvSM`olgdwUDjZSch_P$}kAshz-S7DCO=jd;nnEmPLvFfCkzT7>u$HJtz4N_vzSC|a_BSTL?ox<#Wbqxn&ekZA|5l{2=EOfD8A~qHP?7cNv)ZK|rO;^rpL z4?qM&rkV`LwwZLhT?Rl1-RX4Z=H|2~Hy_SK0s!wjmV0bSZOZuE!=E;Y6&eg8g2)l6 z*b79rE?s))q3^2eTHi~?!$IHEB@7^%v5Z{)%cP_bEKG_SV=CiG9Cokx{}BNZ@HpYm zC1xMvVe8hd;wsYJkMJvgu-4Aa&GCaAVFQ52zxvr7_dZye$`2p$mjO5;v|vqDLDLP% zs7S}XUQdRDhM^Un*5sT!b?THcrZ!b=s@6{&836dr-+8Z$ef{=MTG=4+&Xlh_`q9PL zyrHftgQhG)LB{^TTi#mUMfPnZZJ{xNy4`MNs=BI}5bd7;eEMU5_8b51H?yssvzpL< zg%6D}*4kdLr$vWMF?Chdp>pkCU{C|;y>|GUjoOM-IGbb?PvD{-3q4}^C08Tt@=}^U>!hqCORabQ+u`>0Xan9l- z2wMzmNc=2I=?MV% z&PE=jS|UjYAr%Wegk^IUgUYb^8LHrOA|gYTF-_g+bUH-DVCVvJd7$fs+tFcC}S900z?kcx$5MJS#uKogx~To zLBOgql@dzg*ycZE$ZgvptxIjELuMgiuzf*I0;S3{rnQ@b8390l!rVx* zl~CC-0I;^+-?nuzv=s@%%aGc?t6q87(@%^z^a>BGu#CK-psN4??cBC~rN4#*R9B}? zy~r|9Gk{1c5wl#WXvG);?uS-TFd*WQh$uNfx!k!Dr4q+Q0hg8w2Q!lc~fJy_G+VmogW=@XJ3qi#d1xfz; z5lvGcLj}<<@dsxl24kw;b3lZQ%#U1xcXEiJ)1=Xq&V~Reh4!`g-Zy8c7S%T_uqJjc z5;y~4olWHfariHQwc#Kx$N24~#LNsLFcDS;ePaw?O#-Q%ivVPtY$;0Cv5*bAKp8cY z0;NV1A^;rPyG)b>Ar%k6xyu*5Ji#0QCOW9v)LyGJTCtwRymZ2wYgad&TAS(Rr_Dq9 zo9X4I6Q>XBHPsy)*fgZzf@IAJ09X)0x)7VG4eFm1FTT*96ldr4yLfB?vsU!FSqif} zVfF^v1@Eo?YJe1XY~7x|Bxy=bCI~>zksA$u;g^3)lnF?^FqEk&X}&faL^;Uj<4=C} zW1-q)X)yYg3EX&f&(e}i+pGqO#$Q^Y@>VCO!g|J55HDW5IJfhzs$#`UAO9jEK8i@g z)&4tw{?`R;9TYN4x?SB(&XK^T8fNF*qYr;fAyGi{(=LOxSWWlu-!Ep5%)#t^L(F(2 zZ(1HiP1pGz2`0?u%n<%6b1p~g{lPnb{@2A6(ZN+`VIV^VC%%hGGY>yJ_N7m0>c>GC znA(w>j+29LQvSA=HS4eRhZ%>!!h%=+0xjeV??XlSo*#IJN!Jg=IE0|_xAI1Y5b3yJ z%sKbs@u$LDkw)lQfV?-Knz8O0hEzrn)0i*2pK%DMwY4=Sut$1;LCH88t_|MugZ~r? zZin;=vaGE#GxO>SNEjEn!g5j~n-LI^tT+^)ZD(I=YNbniu`H|!Mmj_=UUn)O5`yQ; zNWh9X!3Zz_M9$jL)$=8WzdbNYynXGfUva6h%3%NifdBCy|BE|pLO*8|?{>QycvQR9 zvZ*J6Ad4=|G~)sBm6VXrVh>8MP?y%9e)^kb;dGutu}Cux)EhZV07@iivr6jJs1sDA z3#ABZ;Apqh#$zEX!&LEvA_0;PW zhd`L@WHXyay+Q#3Vl_9XsV}|Y<)zGF2a3&fKKl;D5AnhXFf6O1vAoOPC*0|{a(a}z2!LT=cVhfw^B%#(f+RnWYr!e}5R z^;e!qfQWeNr582Wt?ZW-5YbWgor|##k%!gV%~fk{^p+Op5Y?R{M~-B8&KOd+)mk8< zpzzYcLw8Tshe0X^EGAe-W6av>ir(dbGg2#|jT(R%3;_wyzqQ68BNFulB1*8+J#pd$ zsj~cFO~u7h`hCW<*5&U!CucoF=Z-jR~L5qD}P)`{9*kwkzg zyqyvSCILMrh8*0^@^Yvb~%y)@l z(?Mn(b>`-R%Q8R~G4CC^oz%4JbUIv5wwaOCEjI#>B>htW27nh|I9b(|@r$Gs@#<;d zoU_J`w6$Zx<_pnj#QM#Wyvo`owao_rV9QoC>L^P}VCFL_tYT6(Aj#hh!-~wlQC?lo zQ1rqJCpe@ggbf5y`w8*=YBvp9fj`N}-^#X{tanKV0|wZN&ZtA)>k)K!&8vD)0d^^_ z%xh|9(daVfgy0t8{8lDun&#@&E1t)qD&-xgVJreo$M?PdenGx;P_$mDQ`YcHfCYv! zfri+(3$hO+3MFet6x4G4u|cd z020Zszk)ER*REd9!oO@V1ZF`3!N->qRFNaeD{9u}=*A?#l%sW-Y#`kBbB&7Q$B#pS zD3J-XFp#~PpP!cjCAD3>8beizn;4ai&);s_wx}^6?dt7R*{EzC2qlX6P2x2aWkmr& zl*{1^Az3RLn5T zJfo+E4e+3uw)K^Ebs^%uefu$lCkg$IUw8zg~w{O24k78Te6{LQA@}uvAfcyXI(6yTEb}R5i+vniG}&gO=(-V)UigfZlaJIdae0c% zMSOCqSZIgXX$HYPqKgZNq`fH=Ged6_0NHGy@<~UZ5f5MRw*)_ z7}E=U#Tb+FY{agwua}=7VsGazV=Ba??^$XZs}&b%u2d-mw#+1UM;c|Jne8@);`RA-V za5C6(kBsuP0suK-q(lc5o^#fXCsP3s)O|#nA4*eP(~%47^N&mdPmA6ADF8qP7cfxb zTGdw#Xo{4WZ-qpTK*}p??THh|t+V7v#YdpX8;-2y&s7UnS}0@3-&G3ZLn z9xd{OLJXFYKp8po@X0V^j9FS*3LtrHE>I!L1akiDbPhZKpRt%lh*pD{(mmkDn1Azs z{I+w>S?7CB0UO`}aH&w8h4wqiZ+(l1XxHP5mIOp}`h{mVu2!c^70L3m#7F>O3FwIE zR)00<3wo}iW5e+Y+rn06R%C;0)@r{0;r&-?Fn${jk!&pMd}GW#uX;7usb_eI zm=DSV39BkYBIHBlnJhm}I7KG3RaI#00HQ|%0HT>&fP%?0&z(G%45Q~$oykae)oWhU zp(*m70zX44VaebjoIQIclegLPEb3^n(3**e&IQTi`A!591pMIn8<$HYlznW4p+pX; zNHy%Z?AQq)ic|`H_r(DK5Qf8nZbjY11@SRZXW;qaq>+V5CehYb{&R&N+5kahb_v z^8Ua0Q|m1F*stCUBm(CxGiRN3{p&k-?vlWBvSwaYRns(jskXGZ1T#(3a4!}X7Wi^u zPW^u0&CeO{YH^WA7+8*za%0Khr{{#d2w6{130zAm&wQd6(>H!&w!0aqo!%9 zx~_t^2uQ{=S!*ZL$>>&p@4kK0>69Jr&N=2luHU4wWl3Gi?7}`@*-yvJpofXIw%)bm zoU`PRd^|FCP_PVfjD+CgVFWVu5wX|ni8q$*3LY|=(4cm-F++m#Qvkr#Ygesv0LZbr zAc!h)9$9A@W7Fw$I-Lpv8RzWKmwgJPRQyan5YgJ&8s{)g1$*_brN&v~Ojt~bS~vk& zXB%e`K|->2Ivrsrxur@NQdIwJtYx8OolPUB$l3x468PD)1suqXF6p?o zMnrDtub5KSS+wYq+n9oh;E1;?GX<$wrqb4tywYA~y%MGY6A?X~PMfA_tVM&)D1+ED zB@oeg<;H7XcQ1=p)3yswYvw~K&c;jAOs83_UGT+>(+Llc5GXApqETH9D_XgZlp zGzmC$yWPoTl7$;4HS-Ef@K~5kCM+V1+G98wkFB$fwZ{4YWDtBMj1Y#QY3z7BW>G9y zt?QcEqdf7g`Gj%BNMKCrlGa*4u+AE191z6Y*@S2y@OGX>7x@Z>U1H7m~HEvG(ClfB2n0_bwf2R|vs^BEvv7E*OB(Xr%qup?>?l zZ~4(D&zt}soK*Cz2n56M?6c3j?sfO-Ac+9LoRGzR&blw1WvR#ccSOqmOGH%Fr%t_e@4fed9wz|+qe2+F^r(ae)fJ~DAk5jR~q2cZt?2sGcPRbSouWYC+AjgR{0nO@LqDPz$U5wXF+V{0|MCe#YPyq9R`x zrXnA1pTA{p;pXZs0w8j*W!q>p%49;el%m%i%SnV&Hd}d(k!ZTQO1qaF5s(8?N+TOl z1VnK1`19_qZ_|vYr+d-IKn*tmK&Ym-%xet_$W5mW#H|m}tLCSvFu{S^D4OXTLg-nT zp>zdKkmHBI%&JFsv9(dpl03e=sp@=^FpYf#DGN}l&D-VOgK*lh} z)36a45JM*b`(lI~+`5jsTOwccFnb5eSC=nccFs{M;G#Wr08ei2j`sSML6j-m-YWw`2|<&OkJUFPFS~Cxby?%fOIVW#kYKXXtrC_!vwQ0AVyuVpC|FRxebPqC0C#Z*r9K`}Xe#+?Wdh zfIj=l_e*zV3uH<5{s1^Ge%@Hlb!?&G6i>jL%D+&j=wf0}w|qNhz<5 z(3XV(-3y)|N}Tg2t)sG}+5>NRaD8<^GC>J2B!YpcY0jQKqvM}&->1{57LO&3G}5?y zR?P5aDc!9B_Y?)S_jYM1nRmfCCr)|&RuduvL%38U;o7xpI2pOX9jOxMDPfEm4hI|X zc`My19jE%OP81|iOnOa+gBu#t*|8haail4dJ(Ok2x0-y2IA4L>Jd+HKz#jx~{MfOq z@{Fl8>03$ATHcp|xUW_BKN1BIx;>ef%bHu6WEPp^l~8m4@^UkoikhDwA$NtQEK(3I zFD757SQGW;CKJ%gY%U$#sK2VU@cK<{9M6E2^nDk zo$;|sBi|ibS|;@gh|g3EfRYCj%$IDfdAgl*0pQTma)2;vKfo|+2xNeTJs)j@Fg6>N zxU|svcrde>&9s^BEN!|?H`B{X$4@=$r*Z_qa32(EkAUA~sO2p|2(OL4kbW0y*ub2c zeeeO=L^~?oI|djBRCf?y_Cc0MkmrcI_2$}&2*|OA#_MBQ0hY-dKpZ+P z24(AR4!*@cdqqTF{^FyKoM64{Q2`l4nbC66JG%VI7iO80|yR5 z>H(43w2Gr?kttYCUE3;e8JKG49_=Rf+#4o!{zK{}O1|-v6W^6A{Sy4^y&I(NO(M11 zPRjS!Rt*|N18O8{mLveXcI&5q?pOJz-a6!5av!d)R^wlgGa8LDzD2~ku8%zXjp(;c zgn~B)5McYfzv?u*cbIi?P2UYh2NB8EFkuzXR3cXRbM(tRJT5r@```LwTw58@wQMot z4WalfZhYjkpK{hIXM{!qIC70`RLEDVNe(o~Q}opXF}RhJ9{Q#i-_ z2S|yAUrgV_IznbcTkH3D)vot@qPRDhs{CC>WgPlJ#cm1Nx}9>ud8uR zMr#3}b!Z4M^NR~@%hE|CKVJLG920!df!b25;{e;P^>fa_cr6DkU^6gZL@6kKAmzFR z$i;#b&Pb!X&jV!l?%j=TT1SBtrEvJ56_X`mHJrM9*8Lf^Ve!*rLo=JkLxvWby>{i4 z$6pg@-~Rms$~~k&27v8b{MFklD>tPh8HqJ804)rYeW)RqS7F1W>V5i5L`RMs(esXg zks(o6o8@bGH2(MOS)%bUM?ecj+Ojub3T;;0hIa(Yyx!;%0)S4ZmG0%wZ^@Y`eoUmP zAM*l%*I&J`G-ynx3yR8_=af5xbvA~{A5JY|%Ni-G~T9dAgnYz!t4 zdf7su>mW^g#B{qX2Bj}jl{75?Xu$3SEe8%9>bQ7A1!)K~|736|642Qz7Xonp!-Grv z^*R^;ox5_emFYHO;T9pb5i19EKLJxW6aBVrCTIT1?OV%#p=$r)%`+Q(yqPxBouo~- z>1KLa>DalaKvX%vZCkd=b5sr_Oc%&MpNf3Br3ABAu@Y4I$~M6Cr8jr|FFrqj zm4TX78vdEI830JWP%v|KEB&=#l0^%Eh}?Meu3!4iR*hNf<+_06=)(HS4&|>jmv3D2 z&(a6}H2fa`=V5GA5{I33oaq+J2LNF6RbZA6FI~FS-LbPWl|f@ra2>ta05I;a|Lgzt z-^#aq=24RN9F={SSA6_1;{?_`zVwAhiAcZEk3ita-Mn&h@4o#~t$1q64RQKsrjzkW z$qa^2iT{@b;YY25AyIOcN_UOY(|po2rtHC)`p7EhJLj%lzedUt;32`-jKq-R3N_kY z*ulC1bLzsaWdeVB*bHJ7{85T?D?-n898y-3a@Oc5Nuya&r!#yNb!EIi&UarK0f>{q zs6?zJ-UF-iQ62h|V&XF`J#ME?J{=IC1)3V5M0T`-h}~|t*Xw0xQRO^!23VQ+*jh=W z!C(L)vC$ZhiUbZ5zG6%&8wN7Jt>#RPne15uMnu2!?*9`3!k|DI9We)x00~+uzmp_B z%~p>mr{?BWu2`3l>nj*Dki1G3mRcK?0=m~(rodJizBIQ&G}4lR1Q82!^N@=4Sv|!z zDgXdt+=ScqAxPbbVQLFplUn(aHusy-001BWNklb=f)^}muOt1^W!E-_~y_(1y zXbisd=YON@Acn~z8xfd}O$@S8&JJgZ2m%I-!cApNxak-X5nui4S8D)Dr@8LFhE_70 zrs;OOC2yebd@@b&$kczwO{jJk~yda0Rtkl17-}GUAuN=kVA;2 z(>f)UETK=OWP|_?{Tzr98WqB*i8CEa5bod8%%H2y9?E3GeAulb;`uU4Nh>ze@t&{YW!+~f;heM9IU;NuJI0_f zc<%Zot|5~EGDs0y*{o#Y|}f@k2ll*&r@ZLRV#RvG1|(C!AcN}ikt$@ z7}?@sqPQhWmWC=N;~YgNKYGL7e*|bqHiMdYMKuA%CJ}Yy6jaetjEQp}0yz^m{^(CQ zC-h4jlS$3nwl3=8VFz2B=Kz4!dmy0o{yq1+s@v_bvl0L(oHWN^3_vjdbdXaBu07tp z!XY?G1qNlU`rO6S^ShU-paXfN@EHytm=S@f)9FZbq`IzI36q&y{HJ=$A-`q6ByYE& z5VwfWck`?3*RC2gd@&}5+jtlVN92IWk!eQ8puoV+1er&>-LBZ%dM}9pKw)D7A4%p< zxEMqA^fOIrNB|`gj4|vxD;)&-nkK!M2f}7mE;$~L0pRMD zE1o@g!zt)>cE7Rd#>K05zv7jhPN%Nxxw$z3qpB*Vf>B$HF@(7k0QeOLxl0BOqF**& z*y>#oUKDs5I3gkk6;;M`s+s{{oO3HxRn5)KalQb+u<3rtNyDnDdcB^^UvhY}-|x@g zwWqEs!weP%L|EJ>B7$ao-|O#Z3=mPb+ZAqP05DZ*1p~q!)9F;`NNOK65pgE70;2H} z1(8PtlB24stGd(abvm87xjDwU>^1K7dXj_6wn5CpGBD(Vd2#4N*hgF$Q}b4C3@GrD zg#e&36(V#xorQ%3-HL`mMoOYy2Z}?gKWJ7##8W4q+4|}ScsxLnhzQ7%qdG9d%U5VCyF&mnZs~Noi@TSqqIbGjhZ-IWvhRL_-idcx zvjN+^-EQ==F#m)CSevS@5*R$Lh=8avrdn9!4etV&$a%hSSvWz%uep)u2&2~IOxP!T z{rdH)sxm@AU}*qAKv%inf6s6HlOOwWVMQ4c84MbGob(=_PN$=t{sN>iSXXteFsv(a zj!!D!v7<-c^yY_{5P}Uv>~^~%tV$R(5@cc$N^!}iX^decXc&OS1D6!Bz)kBj8J$k2 zX&N4?kh&^_4Isofn46m$jYi^R1b|+zx3;!+@X(=~*RJi|zrQk7!tFsmAb>G=>Eea& z{_ejgl2A~@Ihp$g(DG_zJR0F3hWOFb+bU;Ucb001Je@4iF=8lv9ZrHdCHdgvjoi7^1>Q56i4&@}*B zq1B&w@e-W&yoe|!LVq~ezI9t0Wik-e-#dJT#Y0TqCSio_+O~bAzvc+*y7P^%|J^_P ziJxMT?!WdCicz{LB+$c_f~~=9frB(!V^;J4U^v|ffPh2$VRgtc!ltZaa10cgT zJHwA`8%JZGXj;# zFAMMh@cgM~UiXRzVKZ%}Z#Qka-Z#_BO6iV!?Mw_Fx^Vn9zRBb0VfIaX*bCz!J|NFYoLt)I(sq|vU;0dts9yqntfANQ8^=6Zv}fL9qE^nI z4DA^P%a3|zs`9kT-YMr6r5xs0amIUT&1wi1m z#dX!HDpbfw)Kpdw!A=1{?((9rfk=l~ZDmV&;Ng(bh@W42@dZah1HW4UWjQ`j<1Ih< zPh}lKRuM4VPqkt15|4mRA{pcmd~4!3l7kyJbcO9jXQVqR-`lgCIXFrWh^dV9U4cwKFM5BP=r-2M}%;rtfgrf zG{!M)L%)36JAM*_FRaxoq&Yl89VZ>wRN69XbDwWENKTP?^efwrA}h#}Wo1Ek6Mf(3tCLzg{a%?~pX86nMeRFaFziQ=+f}KyE!zT^~Mt7&4)n5)={p z{r+tMKqXhDwoaABmyLYE;2LAjU%Qa8nXWBEi-1us`pUD^W{mlV|M0&z>#K;#mY}pF zP?_q;bI)Way)w3JZ6#aak(`V<$+`ZmRcl?M#RnXC)e#T@&Rw}!g1NY5tFq1s0M7X% z{Mg>9V3zZ1N`mUOEhvSrbU6Sxw09Yl&E=~MviVkjC7)n2w6@h<0IV>A?Xc;QGoG%u z71>Oi=}yz8+jKL1`{~4)!&=hqSPW~h>>3op~U;zu(T zoa7UUOI^NFpCvHQ3Yfh%>?gmq^H{}i&)NV0MChPHwe<$tZnp>!x5NL2FlgIVKt;r=uKkVyF-#$!k9Ay8r>?4i)N84euE9As8jUjF zgiwK;<71cNvlb4(z!^17bG%rab*<^ceZ`?9s+N@0JWsSJ9RrQl>{5Z8$N zTQ{UVp_%VeBYZp_^W8y$F3q>AtE*9M3_Oyokq{8jXuZ$h8WTNM%m%GIpt+QY__}RM zhl#M$@#l{@=YT{f480FzapVTqZ}2DVwUqjq3S4a&%TF58&XLyCxocnWNGWVkSjPy+ z(D=_dP4j0)0vB%U_CDft7pezU9X3>)svl{%I(Y5t?xQqlCO@!)Lz6gT_%c(!o%Kp5 zw#s`j{+{pszSgfY(%&|}eD&OO&&^c*m8->XW>NX5d?l>_2;n4W7Hs0J%dNVi&f9he z6oE8DQn-T%!@gq;Tk>sm6``0oh-fO)ty;F$lDg%iHLj%qz)rnv`Y5rjaiZbTK22S% zPO}1Q%3GUWVwO?oZSVLA>6HR2%-Q4>k98FUCWAlooNfSv3|nm~6VYI>LJEK!;RuLG z&Sq356)aCSj%7V9=~D6uPf~24XNC1Ay&XIGe0o-cKsD>qElJFc+JU8e6sN;{Pm~O3O z;zRL(fNOwmJ$_m{_XGh=WDlCT&~n<1L6Ohq`dlQ5YJpbn^CaslSG8J=2J1< z8)IJm>euw#xbQ0jq*yq1^tlZIMnqg(T-=}xw2^?Db$p3DIb{|KIK(rR>CLrvFzaS3 zU>idP0B8`#>j4QUVhY0uMMPuF<4=6O70(+??^%u>N}2!nmw)|tLIGOouTlIYud;;y z;NIm-*E=U+PjBD*_?4*AKGtsoVKGTf06FMf()lEw*2~N z^H!+M^s>^X+jKL1`^leGC{xf{by0DV5EYA;;Yvh((H?+g`lsYp*YMJN8jLM z5wf=w451S4;K?bMSJRfWZ*((B9|#EggMk89+?Ku}JvC~>hSJNgXW0N0OkxOgEd-nP z;~)E|sx7!OV?{(nwH?bVPVA$Uswrs74~Ky~FPVwmTv zMxPIoWI|*B*``#&Kt${7>%0N5-06vkXU?3#g)QE9Oc6MWYzM>sK&v}?-wH?AbVVHZ zl=@NW%v4X#|M#8I zK`D2OyRM@=nohaSxkBwMD-H6dY1q~4oYUvUq_&q{deP0#%T8wo!v6)&mg{TrhGOlq zkW`xYvf-fY9k+*wy*gRmCKh%>hU8WJcO#F#7})g zy6FstS@~H{`DcP~!D^0)z@4*e%bX4wawx1G>CaiLm7gRCAp$q3i|SZl9@0 z=4{mXuK>I}Oq-4+fr#kSAOG{2(1vA<4NxtES3uQbx6JJV>#Pu!aIWv)PeipR(f%WI5CFdaPk*;`xqhnvXg<;T_doE491BW;4@yL)Q0RwJ*Pz+2 zTJtGuNbmq+VE|xnPP%|?k=DOG-eB%B#<-^O8y!GQG|(0qB3`<5vDGK2-Qumor1YC- zi5nTLta&9R8g;^pL;z-f;povLnj2>^!<{fmR%U5wFHsY+;`A6XO#lFAuAFaOn54p# zyTf)RA>uu+dUY2XZa@ANko@orSJH=(0t5$_mX$dTKydcb1!3kO)*!GGwdJcqC-pjNxcfi{S15d@_7h9r89A)$GTFK#{rm3LXi~|5(B35v3BX!*;)ACOq=OW z(nhgOH`8X?I2}3j1O%A|fLj-~xNxlvP%;OwZ1cWTr^GLM9h7THradGd^Mr^9Tw0s7 z%El*)MFYm^_ML7ePFLB~`E4Skm=c4I~)#mzP$a!-+p+0X`i3-2z2jVu&pLjyS%(SolYeb zBcD1n#^`gt95zVIZ-$dSM5LTq{ED}|IOkSZS9`mcj4=T0WQm(yU9ikR#rNUP3IMXP z(K%;AJU&?l=gu@CY5d4Bz;3sD_UxIkxz#!G*@f9z6tN$8`;SXBC2oadFpbA!KETMg zd59v&d+r3GY`2fcV+!^g7vqqEfA`I=EiCOPBF#1oYuJB9K-5?(rtf4j;dpuD@t8N9 zY7a7m6R-R{nM`EQuOL4x)H`eK#ful~ZQB6A5dm-NLBFxc5s@QH&XGd|9hp=dpnT&8 zSIAI_V^mnQ-|velJDpDTu`h<|v9EpAIp=^dD*SqIIZKWRe&*+XWo~Y6Fc|cDz0qjI z2;|N(U=qrZ9m>)Q?@G?jutOMY{PWbwrx#!Q2F}qtuZe(&w=)nLyms~K&YioesuEuS z^MiQtWKpiqV{U;tq!!2qM3knx%~lGcU&q##3E$0-9fP0VTq(v2j70RdobZMA6{>nu9N7$-}q z?~bgs1f+RDw?gTLL1{>U(Yzsm2@KZSU-^aqdH$aJsx+o&+8x(4O%o!JXa|k%rZfR+ zUf{&Lzg^f1&n2~71pw=8W33_Qw|;~jhg^+yu9{mockbNs^0JnGh{)>){LH~mGx(Vg zc^!_2q(~>(8aW=1>uuW|k#*L2ltxkzkagBNhiJy*u~A2}AvL+X-7W(oXc5^cGJ%1? zaM2k9z|O>J(;yx!5;^M zfwi`=4H`p``N6?Ym*h;ZHyVw^ogo}3p0_B)*%Xqa0$hel`A37j}pvAiQz0S!0p=$Sj4%=N-mFS;YD^Q5#3^r8vXi53$bo$)kXSQtL3SNhy zu=Rt7yo;e&O%`{ePglknw}NiG&poe_b~6{Mum@Kg37|%Y)}}};i8W2r;jJ6w&>0!l zOef|!N93yd=+UFEeeG*mGU#sVQ6MvKD+z=@ojSlrM7C+Xt z5@MB}yK)gxc9OFJPtqNOF}$7cCjT&z{WJgs6!!nIxvfllb%hBtNvUmarp@%Nrp?`^ zo9Ww6h%OnMOk`hA{A#r28#<@AzAt2 z%q=^%ZqovrA52||apuyEX0Pbi27Dke`_otc4~9(+*xS| zSZ59AIz?&VW4{`h$z-ApC$V8r9r6Ca`~Skz$lCx({YtN$)6oRIeZ8PN7hr^+cY^#wVXnE{w zUsWfC1c1;ug1>+wTrx&!(#$4XN|^-g92^V=nlZB08IzAZ@;9FEB*LzTMxtxt{I)HB z_O_yq|fYF&cAf&(!#6m^ATn<6U_4@1BWlXa1sE-v!mOR zez7}-yU)Ba8jZxomW3(Mgh0847sNB6{-M7`n?GUwUSL4AXF>Q-xN$O>$Z!yxP^!BV z(ZiqmpuZX$5ENVZ&Ih`1K!c3cwQri6V>gh5CXm)M@Fc%!n&+N-uG+diM9om(1<@(4 zny-EJEBf$>rycg{+##biT%R_8>o95+8P> zNvFGG=dq*DWxSNtR>H;{I2w&g9uUk}YoB`R$?l&0K`d#r-LI?gKhvq7c>L?xwo0cq zHd<@f*VlQDkgoBfnk?r)YR3u;zyF`#6DA~o8$_5sqi8h7nE9=gj>IgD$K&B}sGX!P z#Jtu5Q?r2xF>h!k4)A zR{{Vkc!;%@#R6*xlEiN-h|-iA4u>ur!xh$66BGn)#Hu&eRV5o9fPJ z%$XaPrQ^B_t)oL@Dbr!H*7+|2W(bhRMPiw5?{g1-Ci~n4hIegKK-p+X3;)xF(>ZDl zBa_kyh@IY7zVc;}rFkW7MAxr$fs#gl`|&?F@p?2Yv5`VW`V}Slq{=6skorYwy=jj# z^9KN6`_@IyH{I?xpL$Yvvt)ApO0!DIz1hRLbLUK_){6p=@}(b`o!*fnN3?F1QCWke zUu7_tUlCt0#e|U6tQ6xc8NTt<<5I&0WNpnaQJ1qvyM_=6f&kdAwN#K zSzYNG0~JeCEszDLL_Vrgu%ABucN_F{Gi|1g)27>WGri2DR9i@8S@_oyR6nFNfGK79 zQRY+oCs0U6{bt51rJuAaLhY4l0A{D?kkf$S;+CyD!sVN4vd>izNDbpz>wd|c5!TQ3 zGAR-L@q7QMs{M`OnQtWIKp6^#r)oJEE{RPMwnNzF5$C3)tPpWUAx8&$!1A`M5x1@z{WYdOEe+$ZKQ&wD_5@2 z&Rum?nHX175E8gB4S^3KK!o%{x+eZgO>WHt88?!+ERFF1gprEkAPP)6a&bhI{tiiv zieV}aL@CKTrn8Zy2?65E$K;l-I&qTy&0rG1%R+|I1(cVp*r|Y{_g{jxHv&ICkt< zy=$)sgc+DXMC9}78iox7ue{AzH{1HkBzykYvEKHbCTu~A<1sE|AOLo^?>u(&NZF7e zoHK3MZOtOWhJB{e!2dZBd{`E<0GSU%$Sv|Bx}2o*KBI@*tb4GN;d;ly6;FEV0%KeGySq zh!RE8O)3IhoIdqZch??cRH)yUgizOC{^Fxqcce#{9+b|+9HkN|%lDr^q2{W_nKGt3 z_t;}!lVK%IzzpIG001BWNklMvJd1!q_M2(Yc>N5g{QGr+)^VIR9<;j~8?HeOO z3K+H5f&jGY+ULvA!WZYnXBx^MT-vXpgT!B%CM|34G|f_2V8_;Niwj%J2AEN1!Z5qa zJ4zYn$9$Ek8S-T`wV5{4rrUHgeVgg{>1P3=J8b9T_S8EjvnqIZO~{ui*sJEb>mYhD z5Tyvjd|l92BGZoAyakDW4)hlRww6gc7_o+d*3D$FDGf%t(;p6^GNFJ$#BEy^cP?%Z z_8{*q@dk;ue<0O>%c)dnW;4C?VmKUr=CMaRbzMb^SUX8V#|_$g?hk+W-)sGVh}PHF z%M+&TIqmoRvZGDj%C=KI_kaB3ADz2vPhG`>Z2rIU%V1?pRaKoGyFdM@PilRI%}#yl zlfwQfYwgO)O6I|YV3udf$9vxM?#figh_^1FAfdc5rh@3sLjd42>7^wTm97tgd8dFtOWlZ(t6W`FlbHDVdE(l&rcGZze8JFtxpWgHCy5=Sl%>!pVG-3gO zT?6{&D2)w0RQc_xQ!mZkwY#o60S%yf?;)rvQ`dD>)lWY0c!mKiwl}hWq~GuN`~4=p z2uqJ&0oz*p-v9E4#>l$SBt-gG&O4dnUQ(WAYk z1E!J%6aNp5Aiy7f2Q;7f*hge!p%SyGMx}F(w=oU|1HFvF#dt+fBh5MYg-1U(f7kA+ zu9+4>cl8IW%G6c0b%l%5TY zF{Y|a)!T8`7ao12b*u?aI5kZ(olaXMR8q+pQ&siH9{#uaK{B{2ZNxh;uINOctiG`yD3?ft>;|3^JHmDOes<*Teb=X~?2Cp(MVlstyj!5Rue zqL`_=`wo5L6CW=-Rra(*ewpMg5xwhQ|Ff;z7sEn=SC)eB#j9{URv&r%E60x?FDJX~ zIco?C?k!U!0${=o@~JXPVv%SX6km(_St_CNleSh81o&xsamykGDOqn_{Dc4J_gFt{ zMQO>6trW@10KjKH^*37*LV$Eg{WMioedLi(zVJf4Ds2Nzpmi>5rA`~M6n;EI03sN~ zs;b`epMG2F*TNy8W`T$Qm%TTSv#U7n#jE<9yUg5sXVEfRMjMud1QM%QY%BrBU^_N8 z27?_F{2mF3mpERM_!lR3Vmn^2W8ysTJKnd%7;JU~5+D|_gFq6C7LYVr5z@?EX1n*C zet%SVb@e&t4&dkH^GSZwHlvwyPIp&VS65ekySh6;RpJ}1^`nnGjHQa!ofYZCISu2O|%pKGE#Nz7DMBDo2Ws$39Y|3LJeeW@V$ zF>-TlUO)ugzW*thOq1#NO%t7M`eISrlj;B0i9`6zpC*b5001{wHqNABZZZId8 zCJ2IDE~o9mRTS4oMacuy$ekV?9VM5KHdfbawO`%vn?h$P%!P_>kVm_e4xkYbW35r4 z(i);L+`erKo#0t6muZhS1&>fgV4X2?@VKQ!Cs~Tjt9(9BH1FB7XIK4jE(`-3atpi( zgwDF9z79d_uxsAJ)wkUArgPt-#L+@w7_xU4MG}LX0(P4G0j2;o8+#f8axZW6o8uc3z=SULnZ3ngF`v(K!zste$VeE5 zgwy5oc{)~1#@jN&qP32qs9LS=Yz*e|Ib(d=u?Jd^p*5lc4Y^$I8(;hK2S5C`ls%u% z=kxhoF2|B!M-%cvpJ2^mI-)lWLpqU`h@rF3?zrRj^32(}TrLO$H?$ou05MiEst^Gn zU+JNeS)L1p0`Y-00`&!0YdfFMx9rXJM4J>OLYe>eZ{FRtU{Ovq1nj~K!CCYZ0V~t{ zzW0qUyy@Jxgkjj#)x}MwlM~s;Cb(9LB8dbK2R_M>6vgQ1=mQ(pS7!EyVJ;By8+_O= z^@Bo%fR+AvH(dAI5B>E=Nb*T63xxuY2!?)mfY1rZOamtrL9##o@sB(E7vyp|xhR5& z@>CNuz)^L~n7jVod(L|8*?eMJqF893(rz0)jH2kT{`|tyD~^(Vl$qi-iVRa}wL7%(rPW2+g_sp>-Wo`*LAO^I75}<2jHb>+b#8Pk!(ZAO9pNnRbn3og?un z_TNY*r6;-m-g|qOEH@(y5mM(`0Dx9n#R>tZEME58-(GXkMQ^83KxEL^2fHmGw!7CE z6jMskiIY^C@(&{(9v;5#+H1P{W)$*;Fbt)U0AMu~0B9X3-PJea?g#HWZ^wm;7cZuX zi5god6wsdD3wErHjg6teRav7FlFP6refiz5e__V4D{{G9K|do7BF>og zv!DFvfB)%a)Nr&;HS2sDgLFOvJDhY(GabFE?T!H^EC_<1|MW-QGiK%Uc{945AUaGT z0Em@B#QUCjXy?wIOP4O?5fRxVZfT|<J|$Te%g@$*>W@2>yt`R8B2 zMj8_!ms;hrqSU%tY^gzO#1csgRW6qg?Z<9*u)c$320}+^-JNTXJ@!~7jvJOO)#4}# z5ZVCzC4UgedmP7)J^Dz{Gu5tGo2MGb$-?Rqkt@I2v zY5*Dmesj~+XP$L7k4p4SdOkECh4~7$3&8dr=hb%k=f-P))^+4DB#@3kEqDO{2uh*q zEdAS8uK4yhzQ?_gkaj7b+&gk~B;*qSq9{6W-~e>?1m0;0!iomXb~U2fT|0d9%{RUA zjc?L+L5oY1=O(dT2STxcb!}u8QtUf+Jg37#U>CFiK#SQD*@{Czz#snR=POP+WznKV ziRN%=T#>f7S;XS(bvbzD^l|fbKkHn$JP1N^nYG61aJ@EM*8q&J0sx@y>g+LpT1dav zs4In9BeTswtTx43p=*wNqum7~_6@o`_QVi}c+Qpg}3H zp}g|28Vwjb0t8-w=DHGF7&{h-8bGnmB$6!wpsBO~aT48{Ouu)^w!$f$efD|(WpqrY z|90vACvTIQXU3Fio}mxgEd*HwneY##8g{!M9Gr@fHa8H^12n}7zfjPgyXwyniT(Zw z`{72J4iQJ2V}NAQ;pq67LY2?uWuZI|6!Q6@dJW863o2iT8pEqjKa-6W z&Xlm-V{u-)bR_Eay1Z{15m&Fi<&F&x=5nD@G-rD=huC3a42IFMs8r}!wD?FWmP09v z#Uh`HmJX={0IHV)I*2^_IXXJ}cYpWSV*$A_fvw&ws54~F^c0H4$M3%Fg15g*DK#=O z64{H7$c?0MKiY#FixX6pJ^7T}l}4k%;uObmtyX*A|M&hdpAUjSnLW`d;S+}N_*mG#R~usy(m6D*S!$JAQq z3Ok?Ky5gjh(IStzT#npe;xonhg0+3ABgi$Ss@WB1m-Vxs{^TG;A)!=4o(wI#P;1?S z(RzL5%Cm~aqIB%Z0Of=8xTA?qKH8E^K>CGuXnx|8A8W)-GWcwb*3x1cZ1-S3|M)$( zoqyqmk1$33&M_!jL>tICbo%Vu|=A$#-gaJYp=a_%fbC&81mt&a#RX6XbelO z!W@S4=P%&Sr3+685~7p)SYvS4Gk8P@K6MI3v_(<$k&k>R>g*(hNbyEpDHRehQR=a~ zZe4N8s-B*n*j}_kBZ4@LDddzFFvKtuP19Oy{o#+iH(&0O@vf$XRA>VL1clO z=Cz6Ei6r^pcc>SMPjd3;qmSOXY2%AxreL8f48kMk9x;Ex0&Z#B?|qyr^BWS6GhVr* z)PID7Volr7g-f6tCV;SQ`<9bWIA!|u>6uJOH&)w=+GM@4ID@iYufPBOmv&B>8WK1n zd(jaCVB=6Q2=2b|Hy2!V3DL|Ei;MtD+OD{gJGIxHM3+12y zi{A3IVJ0i3o_c!YiN~%em&@oc=JDzXuWo|f86cr6u=5lxXblby#&LYb=RQ`MIh!V2 zCy6W+RuCwue134x-qT*ave|4BG{YB6F*qVSJ+^n;(`U-Aw$kiStJRv#=C*BH?|$Tw zjxHe#{%}i(eNe7c@}&g}7Vuh86h#cyOO#gGlrB>aQmyruuK4`!;b93|v`bXN<}FXI zIOfFZ)2Am)wZtGrVb`@&V$8Y^zwezLGx}8!C@~J4NoxCsR}MGazyAF5FXWNv`Y3fL z7>M!BZRb~DS2Z?m+H~XE)wxn7P_%r8-Q_N8%^H$w!wsIatD}wDffr6b`4sS#ZK9cS zT_K^Dn4gT>uD<2w`=5C_%ohUJ3L9Alk}pO&H*b0J$axFr&6~$X@i5G6rj!K9G=3h( z@%e9hRcHSZW`7K+WpHMHSE*vNq&xHXNNG<;HWD)5BEWd7IX*saoT7Ywyjoki@^o&9 zJhMV_0R0_N61bGYA4Sna4?Vc%o^|CFx9hMTm$nG&1~(+4E-2nk`XFN@)UE z45Vrb+<8_;fuDQ!isI}AVJ>IQcw@3!0;Hlu09gOf`d6NK>Xa!{Fl&*3n<>XaEJAdp zc<>DJ?n}=r_s{nin~|+Io}~;WDtL0^6X*WXxe461oe(AnkiEmO>Arn?ulkQ47pKl} zJ{`NvD7^^)z-c|b+%;6mfx|D*C))O$Z})jgz7jUFp)E5L)iZa-Y|}GYF7~eddr-b{ zo{(1$CI~=p(Wsp(k9MA-F3=PhYz+rl8h1f4EOl3UVKPmoHfhb8-?e)+F>LzQ{{&(t z)BljPb>IoP+S60%7Fd{ART7Iw5*r0Cq}0nJkV($RGp@9{Jm*x#hk77v`x!!cwSZfq z@-Pi100I2ND*?2ILM~rz)a=xS05Cc})>W#sBLERc$Hz=PD%f=IZRfuA9hFK2MbwNm zdO%G1+AfxYorXt8M;YiO*zptp_PH<&17%M&1%K+G`vkSS$~=f$ci(#5+ur^z#=pRV zp=>>{*Uh#sJK=onDdlZ8o4>v0>Ib(yX?EKwXHp=u@<|#$iP{##9@UFkSG%Vx|a4hC^M2{Ivipu4lxM$7DuR60-DlzOx_eZl!%$dj_ zW6o%MrXKe~v)Np|`j%TCz9*m0he7DYb<2uTbOb`7yXU}jJ5F42vh3MhF2_e$a^{5P z&HcqUflxpG{1-oa^x0=}j2(kBaDn^5v(6Cn#o@u)(xpd{U=vnLaZ=;s;|zF7%Ug@U z87*#+qKwa>=evc=1unBjmkJeAt?$o(graB8VkV)PU3O~-P$G11xvbTDAV zGTdx7_wV0-#kc+~Uo2V>-(f*=Xv!26i+i?iJNum1vosQdtVQHVf&(lJjGoB8xb3!E z?|bTTFK(AdrJzSjN4~4Kdf@pLC!In-42Kr-`T=9FazrEoMp%acz@?YGeWXxe6eHd0 zL@q?!@$576=PZ~%e}M(nUOboVl*FISX7lamonDyI_o4*Y;xOpwSaa(Q^ZMrHWbH`?*BnsT#ijEl+mJk8jIk{9}_{_Gamb_v~PfyRt$Ow%`KIoYv+|z7f@NRs3oDSKfC8&c3 z4}SIA|EII3*C=)|47fK~H<7-;Ah_w8e}D6vFW_Q1=A9>|M7K)B3WTB<8X6iM9libb zwc7>{QJ7~EeY5B-ivj)AfG_Oc^U7DfT8ju@d6cc>KM3OiZFDCsfYE|$6h;5^vA+vv z&dBBSfikxOI(cN#5S@#NyY}xcg&hkQE+h@Zn7BmfnE5ONq(Dd`jf{*84-ePtb?Ufx zUwXmRg^L-tO{kQ__(B9!!Tk^4fBezMb#-+S0ThEwUrM|t@n@!x7@Of!AI+C57C3ei z&^9Tx@u3IKJMRKXWFjT_HZcL8|JZLeB4RBy}f8Rr7;28Mpa8h6@1x{ z`jco_aq8)}-*=Z;Z1%LFtlY5*gJ9F<&2RprH%U2^1kmpZOgYT~w6^^hF8}oQ0|$zw zN+>rfSxzKOT2k6W!;SHw`iUp5ki(6YbDDs};(;8Yq*~8Cdqu|)ygx?R?E<=`M{{S) zqMeQmj}9Ezm(^bqwe(o(xvL%ont)9aKr`d#&FmM9VR)A33p#ogwP)xjWIHR~HHq6w z<>{A}R;yBVpcE7UfMUKhV@f|vrpeSMO#quto^3jre$S+}w+sYe(M6q{TQy(X#~1%` zoR|ANJs+EwoLyuBhB!rW+RK`LXvr4Ed0Ja@olKDia2NY>ERXrW{Zh~Eo5g`X^#5J^ zcPCq7bCo+v-Q_O&Tq*3ByXb;9o+fdhbn-au+s8B+lkd8B@7_y4a9NlOmDr~3<}kFa z(K^<=J6jccr*zL>^zCncjSYy{UbxAZ0>}PV8m3AMM3sKI<(6B1z2^E{7%CM=?rI5) zi@roe6{tdI=iTeqzHsm$*TP_BB(Bd+@I-osl0P5)=-=)h9ay?=J0ER8(6TCJwF=1o14`AiAg_)tp2!^3aAymvVHk!} zmn^^Gy5Dl^$i=PIYK%=V4cvCg3bc-K6h+&%ZM*V6er$F_qmldUAz=xl`-mRi_{iEd zx61PQ9;$X-z`dZwb?0#$-*)S*H{E+X1#)TD7{b`Gp_M!wF;J@1JLQ(u*B(50kOeOc zLpshCtZ=d&ED4|_A$0)w;D_E+gBb#ktN-_C2(28;uA6V7Ji?=YTO{hKCrrP7{!zN**q$l)CE1 zYh0`=bKmF(VTikq2#TfBqgyuKf8TvXK($(xJKfsx9{@55yY!N`?`@7sr)c5?0En3D z>HXy8G@Wx;Wq-8BPquBlChKI|nrz#g?3!#FQ%&}S$+m54vb*PZ?{m-7zo&N3{_efj zde>*Y>#NQC?nraoo>QT%o0&?*Fz4SGRjw3aDrqclB5Af)aAe?0#WEhYlDif(p7m{$ zfcKr_=O=`f`+f}D-y4xi&Zp{+^yvWVNgL{3SE~kTa&Y{gLrkq3?*muI;GNOJRBNj; zjYTX)B2e@iCntaQ6Mb9lH-TJS)b1{2h$ZJl(!0T1JbSshN!R;{IXWN021P*3rT z1n~8Zv`-kh;(fN}(mwdxrNePUZ2@1JKWHn%AD6pZ|uy1iZ&Ei226K2U{yrZ-U|DqxFj# z_ctni-HcsJJlfgn?8)EAt9ll#K*<`)^0pP_Ia}` zq}}srz)L#^o-YHvVh`zN!$R(VasAce_yQ*`2CNN8K@boxRWDS39n$GNW=rHg# zuFeiONpO2v!?&3(p^wpc>K%`wE;#VsX!FL^*IATW#(U<2H_r|^b9)-OqkiQsn{Wm! za9qsj>#sKyhpj)nNV#&RoSO)l__rIbiu6-?@ralRavS)wOR06CWP86ue3`p0^w;uU zdYen!Dda2Y>8{_t-uwERPOG!bmibKo&TQl@+WR}+)i|hSFh{2X@oV z_qZQ=UcgA|n{i=?UpHm{`u25E4m@H)#)J{n#n^gL>I-fbAjXU)d0vTVb=X|HWxkZB zrA6&aSkk{;>_XYvo?o=jtRTXRv*yz->m zb!-29f_V9BSsn&SR(N2$y8Yj>)`t!k%*TwyOQ(2!`|d<3$OPeMrJ^%K8WETQ2_P_U zo;xO$`XgZZQ$RIT&r)U^;t#(=Z2gHG6s?U}d(J%fx)e)L%#zM)-&(CSjg>2NQ@RKX z6Q_EP4|+-?DQjskSc>EjK1(L`{B#Hfb#lwaidS6+bIV#)`G&^GvG(;KtF4x+ zI<1n2GokJiB5H0!EZ*2WH3AOWd4`Y}=3~qf@F+@e{w(<=fUt3`HPtExcW7!26`)g{ zt5G7ruQ9Btdp>~R)PaX4IXtZ2*i2ll)hTNZ9nLcdoH}-a1!ckTk+_gL{Z~W~wLp8& z>+cW>jk>>ul%R~TM-2IU)uK-8)s#}erD3ohF&4Ph9?d@c8m<_1)zX?y8)%P3;mwAt zZoICCU#V);<&qsL!Q){VyL?~^-+*bS$nz_KBrfA=UK#HUv;%a26QmI4R+v^hL4Bi zrpI1%axv}M>8WvGU~8|Onf4>HJK-fd=&ky7{sD5U1nn^oZLVK|Z}^?gb^A>p2{D8S zg3rS-AZ|~<`ZW6)G*YwhC|cMX$u*pahC!QlJS#==F_F&9oW@}MP5p?FWEh6Kg^-gq z8P~&&-{rbvKTcQWo7NsrnGaZCTjHvR41@%Wgo@i@ZNNuNc5Rszn>a_v0$iv)Pun-6 z-$s>g{mcO_d!yy<9v%%%trr6N6BwXcHOMS{&IK1K|{(fP%A^jBZ3)XOk8+;Yp5SmSr|{ink!rAWpP?kcaju#C~F_z zjS(j70;(uzWEKD`QdoDjbgsm+n<85pbC93W8v$@CwQ7aCGms;$tI<54X8uUdrO<#- zwVjuy14L2c7LrX6Z8Ue*I)Zl5sm&5Yiol8UWVd9fQ^sNJURiNvm*@MK8GoX?V3~K- zKO2uNvbu^5<0FKD?2NcNYXhb>+DVbCQi0m^X9ED^%<4s(TA}^6_X+4l)ZxNftJ&2-*tW~D+jGNpc259 zWLd?a=)2wpuikZbbVy1{_DYUP!%e&kv?S(*a(xc>eBB1sWK%j2*y^IS zQ4c2}uG5JWdTr-={@II^AR;2-V8H;{3QsvN)x&l>aNz%xq(~qC=$HC}_VJB`Mnbi% zA@fKA7>z`l5@UuF6I~15zq@AV7j>cO*aFchAImA1;F7R7qOBc2cXoCngx;^Fv>UA$ z04rxr`U_@5a@4rL3)Wg5D*qm%^qB0D^P;wa_7N)3QlW?2G=on6njIHOfL}jKz-yOY zyZoCdr5h6BG8)oRIXk^_rTh6ZQI`{4*uiigTjJ#*@vP3V3-20=NSAQP3E%eX>(r1j za*!n}KyqTUZGjQGM2KMa83RSI&1yCYq;Z0$q+S}Efiz^vw!J0uw^YDqDWl^ z{vH8h=MJKz)Y%PM?0A7)rfEPVT-jfD%$myIYz(;W?d^@;S8_{@O7jtarX#}wf%=~t$AZ|8 z@`YW⁡VC#^OK>9AOaKgWi>Yx_JX*z1ID2(^24kz%)#+drtc|mkqHa z6<^Lzdw&wb-<$0olXHV|p!3F7H9{#YON}rQA^4eiC94(40~ACq8k~wTTi;>}g1k+f zBzUw7cucM3XCm{R3c$Qnm><%)-*DA*n=-Uo&Yn#skOKfFPP3VE=5U3tfprmjn)zC5 zuX}Ush0bQ1Zf`?A_TU+2j&n&Y<&J64xi<~XrMAbPn}HV@cYMdFy6Nj4x%+Vx93D)~ zB_guaf5DK}5@lUApuw6G|CZjxMT~M}u9b``ye6NbX@QBMX4Q{8gs_K`kB7>6osbyGcz3eqU6Yw`tb-x$m{9 zf8PBPLz1D8o(D%kP)X!urW+ja7<;Smup^l(Q2 z&~QL&=!`tj%k6TFGrw&w-<60+7HVW&eLzT+_QPF!&AF`4#P}(w%QzHN^G5GyT+0}d zd=DNOfINe;uhr~1zv}FH7-xvd&286HgbG^sqbE2<2J%FnbBT*JV=hgCep4{ zhZUrDm{FX76w}7f47bex@i^Dh=GByeBIfEq=2gQ9FLocSZv>{`7^2tq_VNQlw>7tI z&#X?WXBIDR0l_aPN3k8!E%)itcG>^2%8=H>J;K=N$v|c;nT(YBkEEDqJ6nw`9UC>GA1l zJ~*vmID70{!dlb`9uZNEW)RLFtE#>vYTz5Sz zK}j)QoShXV7YL}_Vz36O?Em}s566J#c^9RpvoS#NTY6)wA8DJ2r4&`imUA-XY|6O( zdh?H`mP;v;uAF07%;6TNyT9L2ZEQsQlNpN^Fw6vUr zAdvWWRJGo8C>GBz7a_W!W`)?Fei2g%LrhF8;N$NTF+4$Kdx>6IQ;VKSm_~!6$|@SKF8T*`OAt6y!SVRui2(f1(dk5u~+{Y zL79dR4wDXLm0VLUQ1mvm{a@{u%S#Q)R?Y1TCPq{B)P78EZ4_1nLG!s|_hTwe-rDA7 zjT+r1Q4|Lc&+{vLJkx}sO&MHIKi$_xw|0XNq=b0*ln+(pq*op)^UE0GyLeg*VpHdYp*u~_{Jk>I>DywGNK3ANS^Pt zTTbH-^PT12bed@GJ3^SF8JSesnwMHPT3%Z^uXZ9f58;dO*@-oaJm^P!Ie7H8o4n`m zx9A!zpN7dv_0cJy#2SK!pa6d7W7ijabtGtaFMmV{?c*#PdcV(&YPH!e$$0ni+w3($Z=!@zu8jp^Huvo22=v+vdo#H93FC@}M$BVouiv9M}xlPwJSliK4Y!@8|@<_@QnQ znQW=RuEq3Ru5<}ainXZ7Fh7x1SGjh^uS>Jk*F!1#Cie8K>}|E z?rX9&T^QGfHDO9ZtnGbk~k;6F8RQWD%zpd69&PHaXL2nT`zLPp{M?A z{4Q=}F<*0EHfVO*>H~Rxd$R#4LNI452L}fZV)Z|=4Fg_iw&4)Gr2w+$6E8*R(#;6D zfC3p(P%P;55O_NIcw*M>sP634O&Ye0Rqeu@gMD24sZ;a#+w;$9V(xU<(JLtwb}lB8 z=T$w2VkiW7B>hMj0T+Lh=kV_rgt!yX(?0$DF6hEzj+3CYWyb|7R9}PQ-w(@j*Xeea zh260cSvYW$2iOgvkcR9v!}d($1=}o#r!cf~FeBMX$bARl&5wRGs9>rnum5bRk2x16BC#o%&w00s)HP z3>HB_K@JWM78a+~&MDuWOiLgiFtuy7D1jMrWTcXnGuPN&K?PdlG+nRKZ~KSme*yWH zu2$SPA41}{^9o%qfMDH9&19tOY0LGrllgbmfdVO9&nyAKsJJP(r(n<5>-LAwXAacI zhpRA;5nOtFDS3+}Sioz((97WU56)%2P2T=hu0KjS&*9I-@SrtUuQN&k@0;F@$CH`t z>2ILD0dPF2?t{n7UlUIOcQNlNr(>@|neG;B5xk+Wfi`!KmRB9_4-5wNUSlbA^1^*! zWoqIw+@R)jY<#>{)#8)E<5W4y6$%1~%)!imzBrY7`l3YW^(#XSVR`C>@Vo|{&5~rL z*~bRKr0CgM6^oJQ=Qh<}2DS0=@oIMapC51Acjb=#-FTpWlBn-TqOzh3)gm1dU>aOl zc)+jH52W1#Pp;s^*v;QG zVuD76!uo|it;?i@(@BC`X!jq#%V z&MO%$qp?c(5gLgb%Dv(8ak6XUsl*&qE-sb>e{Wh4ZE(5W^F@TyCAj?s-yzLdQ9{Hod1ZQS%=dkz)|`A|K|Kx-XuGs&FmZ^(q?eLMG}rKV+*juubfvzF z56cH{7N*!9v0Fk{mGz`x7j{MJxLZ#vV&_X6J_||3qYg6itNbMxX6jXoqJ=ldBtF|m zmU*%nh+%qQXv0IpNeDc6TacuEM#VmFubo%j4@*>X@mH6ZKO2#GL<2@M=VoSRB0kSH zg}ScJ#3E2!XT$VF=p>fEsJ)D6rR$*p;rPwjn%g`&HR-bE=+diBpH#Of7?JzWpi=42 zvwbZc=6TB4?bK=%W%ppO(2O9DB4&DbAZ_a6CwV+On==71!auh3z2Qs zeIC1DIe%93R=-bp_yPhcXGUwQjqQ#|YOIgp55de;4Q?jJSc#rCTR$<BO@{~={^58?vAE%#|`VU#RHC9cxxU;Mn;;KKOdK1t~EtaAw_VZ zFPvY5W{t%R0FV(@)>oIb(OHw4cQ=JP_%z9$N68N znby~xB?Zh<+u2OS^l7-bI@9KS;q&hV`8^%`tF3uYQ=SHXQ5Qex<37!jJT6xL73Y6A zDP1a~hKiuJ$z?+AGYZJZQi)xuE#Wt<(&051{hLi(`ureyc~c^By{P|loCv?X^lv8_ zlFMPkYZ7T0khE{`{ z$InmySK%%FUenOmJ%a7`wGbsiY;3+h7E)BhVDJ_(e$)JA_M`hr4nAilLyyaC1#n)M z=NSUUfX!d?)0FrXlHKk>W=USRAhUb_>$hzn%Mz%i*{;V^+S%HvSKlH)Zt|mqRlSy# z>+C1&=CIdbj~x#Wk2G1T(go07$gQ_4&m)V+ z?DNxtV9XbP(WXpVuAO2z%=KJ~bo|6u{tkyibdeyZl326Y~-S@keN5$|`QMl$NI zRH$Z-hum~7X`qqEIn7xt1q)~GYIQTAah&6EOUIygRcXj z&yTC2-2!Dq@a}b-ps8ul_oJv0CLvXc_r6Q1U`oy2?CdUgy*7jX;_UYJGz>h0?+@PH zmW6}=O00CDui2n_pL%sJDetU9OwGyeGPfMEpx8lT^E=A8J=!=6Po@7n=CU=Z+)5Z^dy1pge4-@-d$Qix_v#pJuK~*7p(OVp7Mt3WsOne`9CLC1Z z;9A-ixD5EJ70Tvz^f&2P&`B098f*@@-6!F{J%HArhY~@B4w5)e(il(dO`&X+g|N!{ zl~uEJa>857zrV!v>PA?smbzK!&n1*-Yyrn-?y~f+sU;aj;1o8JS-?d z^BODXl?+C+@@f_PJaI#k%zhu>P5u|GG;*I%AFveRA(Ls(K{GwQeXGlnwG0$P-qZuPgD7Vcayb3Pd^>~ zlAFl1#cqAr$+!I9vKYFbWir@uTW$V|(+SX`Q}o%?@Bkkw_P5>tbz(E=KzbD}zaG5=Kds?W|tFNcfGzoQ~M$SeU3$^S3 zWeArWdX0Sp#o7q9nf)PNN%hrf4_>I_fWY97JD z&gXfQ%2fQtQ<=(#VzZ#MKMIUt1aE74`5_ml?*|WRnx~|G?vi{qZB|8b>>sB87ysxe zkm8rFHk@)dG+6?U2(e3f;=EHaXA9G^l<1&}54G8c*VI-;P0uiAM$eQQKOY(?m(am} zY^0TAWJ2{;+Tk4~WhkbUHy}+IouQpKEK2^U<1+ga6~CbK)R6sSF8N0oU9u8XBq!aReSSNfC9~jippxAY6jwM^LgUhBk~_6`W++0PR{m(68lN8qhF>ajae|kNRYwz1dmEj5dF2CkDD5y(9($|T}pvX#Qx3%Fr~w>Q}O#Z*Wx zcbwxi-bK!YQYx-)W^Ok(*;C%*V;Yu>-|GSadSegw^Gdab0n=H&%a|QL)r|Od(q$#` z@IjD)pWWJdn1RGAJ=`tmGIb9lGdtBT*SDrhJWrFy8dZxFaXi6{*Pt*o!9J}Qu<1zR zZ6j7#C09v`DAp$-{gonTUdP1&z9faeC`t4_FcV4;=Q6Sq#A;AAmC1Dn0$^Dzul`8H zV57NJG;cMS%hhP=Hy$k^DG9|9ymO@GSTlv>#B0&xzTI3&M9n&dH2K$hZ34h{Hh@y*5n7`g3 z)O^Y(vNi(_5~b$odX)rU%m|0IK3=sv1?qm!@GUZ;Mr(xN*!%GHO0q?`X>tg11)Rn1 z$MZQK{$dX%<#|0Rwl)IQYjzuL&Xe|4+yY(RJ7FIpg@KV{pGuku&|~jDC8m3$5_W<2 zR?jzutNQa(0@uN+l^pJ89BZ{i!~Y&H*Fh^B{#;Epqd2tn@SAEDVAh<_N|?bukYbfe z5#Yv(-mw;kqt`_PqxUNo@rem9&MyS*5Ai^Nc(G;`h#W&JA#?eXM)cZmNg z66E~g;O2I}dZ~Ne{x$_s{7|3_YxRrrff8H+qsoRjXe|k7F0@?IyFj>&a)VrKF`!vRgE7L9JWyagR!; zd`A_Bpu|DtTY5U9wx}&X0hb-m^Lr5A^`DPjh4>Sb#METN+?KK`3@EToGjMT}KP$gg zO^aR2rh!*082^EDbP^C4fIXh>C(s4AeR`tU`(s8Em5_CXeSAd>G$*IU;WzVk{YdY6 z`%o*BU(_M_aX%Z1YQIuvJYPn0^}W$&XU6}81qUk$U^VkGZ>ilvfc2jSZa@W2DIWKj zG|se?6*)AFJ(1;m%SuDoe32nriVg`zmH~opsupcf1iZGJwY~`HHwPjRi6{XBh~d7< z=FwPoU9s^@83K-j4f|;%z8edb^J(AoWs_%*CPAjcL%(yUe;l71hSJTm=4+{g`4M{B0SM*+ zdXv@q@p#_fo@1DKtouCvJ3%mco81rRhHrkqEp5JEsoD)gxTP{2PNCz2V*(cJOJXj> zs%Y$SRy-*+b7E16UTA#fr8l9RYP+!$D9&`X%kNDY5E-HcB>6A9ei(8jPhRl0qB66z7F7M~3AXowiFHDRs-Rk-g)iJfJJ z%@!+fOxJwkq>IK4zk{|j*kn^6~;0DWn)ggX<6wW*D7}rl#mBEDe zkkEnsx5QkIj{}ubO=OcVW%WX_Zy~JW;JvY<#0f zu-3b)b&wiOmsAW2bM>rQTSfKt1&xrA#;Bpj6~VnPc~JqY-HLl<#Ac(CsW;OKe*oLF z=n{!>oRVk+h&oU@)tLlN(ZM-6&HHbEr{LsF((F`%eD|#=Txo2Yi)dAy2?L>#&`hdl z$kif_4y^v41@I^@zy9<#Yf}^r6(_nvnf#!s$5 zRE6_hMUcJ)88i#JS%vD}M?Q?28{&>3W;r~CS6N{6vn)A7!U9B4XUKkWon*#K-#EdI zphGYFk)$VJS3)Qu#S#QTmzs(%Olo3=8`b7S& zC5LIi`!m;PviaY6UVSZ(gar*7*c4lgVgGQ>6hN34XS=NCe$_*T; zA4>Yl<0vC=eCbP=85lijWAM z*RnX~{zP9Y3aq3kg3A5VQ{9_HH$0v#3=ef9m91uN`o**6 z43+=c9*ZZAI1{!G4WqFVlRy9vUFp-sN=1uHkSSeBPWWtb zrVY76k}8E`ho)G8M3_-8^*xShwcz(x5N{3VBL!0ihxFAr#CaX7>dqx8b0WB8;8rDz zlfroOoA)ifK1t26kz|Xd2YQYRJ=;3v80IUiW>f5&?$AJp_wP@HV#6RyETCm*}8bYdVG>7p4JA zR~pZTGQ(!C`H6X}T|xe20_vxX5dCI=f<_vB%Qf7^>wC^aXXZf!MSpC-3@o1ZMq{iN z@I|LvBwHklOvgWGwVHzq8sMY2eK9~L&ha-L2s9V`tl7|Rajj!DA8iK!kR(4CYEqr3 zh2@1>2=594UK!!>7j-C12GsZX-eZ z)Sn^6h`@srPPGml0A$$9ZW@yNTim;WHbU* z-|`lBkrF0tO?7Jse)G8%lFlH#ax{;OFPy86tt<8~B&~Y?*1LhZRPsA;P6-=QfO1&Y zr_)XtQ%Dj#_zXTRAz;8dJTjU>6E{hoFLZ7XlLbs8JW$wj5Zp?9hSlnf(DbfIGK?M; zJQ^QFgQE%6fc<3&g1d173?oUqbGn9QScgsP?7J+GSwRIY)kilY6M4p5`_7#fl#y#zJ4)&bMG8TI*F zLY;?*MwZP8-{2c-EQJ$ZN~$J-ySN5j>PqZyw=`7a3WH>~)2Lz*`r^z*US_pv;&RTarKH#pc2HCulIt&F8?Y0c^qG+;`5j^x`xYV38+^SnV-3$khZH z^wuZ;JvJ5o!3xgF?j}fXQV-zS1j&F{SRw)gMqe62+Vqc&lJugx!x`>f)o8Ul9DNPu zP)Od~#vBaGCQOzAE0k;+S)7))Sd^7c*Z0wE_ee`O7=zfD#md&v3Rz)J7@rLp96*a1 zQy?Oi2?<`kC4C+-pgg$1tolqO4Ie0~n|L&<({A#O|)g^7?Q%CVe_6H7fIGm;WnX zw=lPM3x)~~gN9FJv_1#TC(rdZh#plPJ=6s;%Rred`fVM-7gqB0vSR0>#xk&ZSf0kEomMg#Ng!2vy#rP)VRNChmREU@4c zI5WS2x&;EIuz>J04Wy0@6m9={Bc03bXJYplcuz&#%CTuM{6Lf;G^h5N6y3_P3R=Yma zVZyJK&;5!iolK^WE303?Q#I>d)7Bd)<+<0*cxbirw*TUE+<&*s_eLMU9MhaQZ=VS9 zmxdp-8T`;JOP*Os;lG})IgNPTthZf0pzhUen->Z;K~=vy+9SS{K6yT@c8`q){Z4WU zC5jR5y5Q$#u(&dTJ5lgVg>mlV&Dsno{m?6rs!LiAd(A0VQkg58fS0Fcs5+pcTrZ zsfGVk$1VEa#}OzRE{O;iDGL$Elc(V2+4qJWKNnYMULS6kOc-&;nY-@!4B%MIEe$^MLnjlRL_EE`_wh8k%b~JM6)^t)6I+d&Q zAdyHF?5|0{DPH|O5(8BlXBH?M?JN0Gu)~X!3eH8QlDl7JL|Ov%z3g$Hv(&Ncu}H!m z6-?xU3?LkyoC}U7Fr!JTy2!Wta9*wxwzn^(_$p3ziQl&k8#@0Zdhh9=mhvmeF2zE6 zqIhva;SUQXH9HBD0%xA9u58H&*cm`228-o9q^KXJ1}J<^?29!*MPZ49i_kwg6kFGJ zL%_Eecw@^1T!5=tNVv$5tD+k3vzoTA8gBN2$1W7J!1{=IG(^F0+Fxk-TPxYthbNAT z<)O!Fi>k~3>68_e#0D9N)FGpsG?SCZnpm-RMu2Y0ZJR|r2dGfywZ1PFpO=;4f}0x! zjv%JeB;S;Wm6&miz0E)TnROhsskyh{KW-KbHBj-Wj*%VrDJ)Y-U)#z4v)IkG#NHP6{#@o{(GC4pG%bYC zNxFCiJ1+;)?KU1Hdr(F(ccb(v5%;G;7LwxO?=EMdDA#!&0- zs-2aTo9}zNLem0TVAdoCQhnU%@^4l13Ka}XgLQ?K&uF|A1yudgF{7^NJ^`Ey_ahiX z0JA*00{>~gAba$lMV0q#_7n*T!8&NOs=)L%M!2z~fq{a`CDif54~m9K=RDYdr(} z-zw6UayW6BRH=dCKq>hTJ3VU%2rC;R4KYQ|7IrDOxw_kWFeX~l&x~)fexs5k*$Ne1 zdkUlpjynbC)Zg^RlV&w)Feqm;_Re^O;PJ(AtdJnNFzinZLYkLYS8Ya7SDA?sw1W{9 zB)gm52l!i9nsxP2bBsE8MQ6CCyXtHC^9Yo+Z9BcD)}W!h717@f5}aI=+N<>FXPx`g z8rMMEv|l5tFuLZ+d!GEYQ3eTp0_j%e0 zTiU0%_q0ZG+&>Zm;gXIVR7G!UKI zHr7)Og$WI zN-?%M#Jy6!`85<9)%98rw=Pjhm7MziPeLQ}9amnu+YKz48D;f@t}*}L>{t%buM<}u zgJaQFrrk!!^OO@>hN;q>nr5uU0|DkSx7LRSGal2;DJ|{n77$kF<8>PZX~-Ab``k=m zCe}}C3D!L_tc*~(&H0$~-t8VV7^=49!MG2>Fl8}+Kp5usrvBA0x3p4c5!v6M8#Vk- zxj2ml=~+l9<}3W#7^BJBvgIGf-Fo3!!BU4FJ=!L4DVK-{^aJq7-Jt%$MsnLT-q3Hv z5id0H;ndLQNIJe?O4d^RURknxSU@U70mmi z(S%5h5gudet@l%4EN38^)Xy__g@Snm8YytBu=N&E4XM7(Pkaj-ODxu;t)2$trZ_<8 zPGKzu#%mS$T^{eh0g-X}6BC`bt3e0o%a0n1h0Id!^((?P8Xl;EkN z__H>iG}@^db83lFBDoSOQ}zWE%1h5%A%Y+touwEAX@2TZ?TI`s*-BKM@HCQK%fbVc zPVj~pFN+M3hOGJ0I%WXS%z@V^t0tOl9KDEjLl$Yx|Kwe`+<~qNlsK%rCSk}--3Vpg z{&F939Y(qoO10tAO|(QaCNAq>N|f*opu(`gsOk!6@Rz|#PEvXLA&QujobZrALOI&t zBg9l6Wo(0)ygkfVenil87SGA~{MG(15i|AT8%)nNkHad0s)UxXjtpMYx5ne26SvaI zs`GKXy>gTe)oUahG_X5a2Me+-!d}IF*KL%n7Zhyk+IYacoA-*Y(Z3#n^G+saF4R); zF3-=@DbStUm_eLDb`)q9d3AGXs-&zx&2Gb()jrJyCOXt{t%~e$z;b?-*ylaEl^OzN zIdA?PA<>TOPr6XjFK*}qRU*j@Pud~3QURZkZs*i)wLh{FM7|+`Jp{IENY-(f+q3iU z%g_ccy$=;{Xig8@uqST6m4EQ0)JS(JJ;eBtR*m~yS>~~urg?>815(-*0!|Mms9)$B zGrndTvaB(S;U`upVO_vdRh8O{HqpZ}fE-@io&0$Q*2#W}3XpDI``^%z6Y#b0n#+Yi zn}vEP<+`5|L#G?L9(gLC+@Wf_;Kt6PAzYb~(?x>*OCna`WReUQ@=^r!xft~c z+dpCn5mnbUtvTV@CE#9z^&(s^ewz%mGHcVdf~MT@%3AD+xlG~_4ji1O?_l(*286I@ zrHSDRqbL9d`NEh9!XntEPz8g2{=#~D$#je&cgioR%3#7?4U-#-$gH3_O%JrTD>jyM zEtxBmTFvACqOu$}m6F z)B{x%Rktl+aNq#zETx=4HAfEm!-k2!Q3;wj?-I<`E(t+49%VOoX}UEQRam*8apbF> zuTPNl)4s8?@||#a(8L+!<#Hq~9&h78Cn9fr5uJsy$w)OTW1n%s&o-1iePl4O4o!k_m6<+THN1iSw{=6Mj0t+()n{vL)FOqD`dV z9lQs}{0*M?*+y;3SbIK9$@_?;MV2@z&Scll=Qn*NVjf|sQr7ofbdhK#z{mv>QmMnL5SRP{k=C)@6bLMvigt z&kCQXuDo+Y?vDdbGdGEph}Q%+ldf573Zsr?~wu4IjYRw{Ge3-{d^ zlE?4Wz7<3@LB);IA1kA}Fq(K)N(hX*#1p7U{^pj(d;BqqYXYAo1B>`I~$Xg9JDXZ|!=#HYo)EVe7N`P^-wCEpx1;(~@Dx${CT${0`<{jHAo% zeHmv|f*8jTF0#+^&d>QljbFih*!849KBs)pOtDzY0q5r2uhz%Fn_XBuK~QsQMTlXH z+#Ai;wa8TkNXbcpj07;LCI5tc==L0MU7JR-7y#sArPntcd?cqmhKf@nn^GTV=-U}O zG~0no7bt7mtS1)63#iDB_JCZmQ&SY@J#iwEs(4~bhSz7e%%4jFh>R@tQ^GL*X1%zyD?{)-=rgwx`hyRlwR4vN4oXKV}#*)QzW3y*E>m z&1;k9zF4gZA92E7)6csc0gyl@uf>J5ROJR63GHF&rMI%>teWPNN*p~p2nXpaqh8sc zi;0q#nLHBun3$Q9VfQvA&!|Z2_p^c>IGpF3UCNX^TtegKS;jhq9N||A1^_WZ3ckC^ z9ZQ+%r`)68VMiClO}`VW@j(&3GZL@4pyK!v|%e% z6OJ%VA{Ix)+`NhdkhbblY-Yg&4y*@Gs9nsjI!d*0DRj|f=?Wp;tK~ClV9W%~(|R2X@=;a=8kJFH>A%*c2RW$%P3T=7=JA*eWL-6}|VHw1kQ``O0- ztuemC(y#LSIR`mxtXR8W0y;P6=(!DJj3i=rciw>TCrs zb={<3a{9}7wT#%xpPa;~k-x%sqLzmpls@AG+IZP5RFZwhrpWoOS({T<$|Ne zwou_d+rpR~ezth!LUn2r#R25fVZ?k1-KK^Vg%EC5QBqG2RDUMZH(J#9ASu7a zcmBm?qtErLBG*lso#v#kP_m{|J@JfczBL6?i!O$&dSW`PtfA)ja_5+NCA5SL#<+%# zetQtcpmRYIANOp&F0#r`fbyE?1&dRM9J!NS^&HSIrv z30fkCC(%$z^@X;yB^pi-6FSo)OlyG5Rr-@f#M8}0XSpj;n$*}?>cst4tyjDJ>_!VgVscJW+g+*DK%IhvW6oW!%nVJ=Us4rX)QoLZ{bn< zYq&h!4A+Mmb=xZ7Gf;@&*hLEX&9Ol&rYGdBtum%s%uO0x7NDa-%`bE`sx7`S z^F`%;3wPlubp;C>g4Tj+vq{6mq{ljDd{mx@y63=dr-W61(H5Ku#`gq!SqZVebi%-!O7<67|%+=z$-NN7aRpvTakt5FiY+8*L?^7h38YG!R-pF__gXM*pB) zZ}b6LXfYa13jzcPT8&2C0($x}-I-NcRX6Uu+z$c*d9 zNg9nt(q1&uqYRUrn(t^IpryW1KOzA9)kc6p?2K0d2)8K_h>sW~4%Lt~AQ!kX4uUV{ zBu-fIsVX54xTo9wj$=qM1PQ)c->jGG47XTid)Pg&rPYC(qx5vey1r z|HYr&u5bSS@BbbEoO7>Uz51*F@Hb!m{A;a^Qn))DP@z_O#C-e%04W88R+IQmK{`6K z6Utd2UZVX9Wh&FN#gQA7?-Rv1$s+k?&UpD$r7&@Eq%U)TS}7-{Vkmj3Md=lkbiM|$ zJlZq`JSij0l&fj*9460ta|A-q7mRxJwa8>+9GlQeJMToz2>x?Tf&{TSAXCgIrd*1{ z_!aw*8_rl=#AC*7dTGYv5Dq&HMjlxe00P{sH|uQgh8+L^AOJ~3K~&OxVWJd_BQ+a8 zb-DUAC1)-Ex%U^~UTXE?>bwrdoSA>2jZdJ%Wz4Q(71x2fGEvt09REtD`n8PW^SJY+ z{M?IDY~(vJh!PHBX+XyN=)1Lc9WJAjbQ-AjT&sn(d~y9v`cH*lpVQN4m*2(FmyVfI zy-S|_PmpW#txq6bUi76JL*b0Kd=@rW)E=8Diy;g?AozSteht&@Ga_%A=BD(l+i>_Z znQ8eIi%HJ#^wam*SkUjk`!PhZF2ne>cYXkF-OoY4=aH< z-4fiS)+fB9keZ?iG(Q@)sYew=9f06X99ofqGKlyGzw_fED>vZ*0KWRg&oT=-Sp5Nk zU?onBZV4^HEw!Mh4uQ~}kVQa6+?Y7>TT(KR%X`nby}SMWfAXLHm++EaQkwqRKl^Xz zvR)49zobt{fAiH}1V0u6!t2d#Ds@KD5LhHau7l5>v(BU?iC2X>B~6AdfJ=T)<#Ng1 zNzqOl78#<-DB0wnkk+eJcFF0(?uh`_i&YV~&2l}sP0MQa_=AbR-S5|nrPk_S{qO(l zAOFFBv}_j-j}L$Jhkx|1{*OO5MjKNx8Q05|QmB+xN=@9FV@xZzk;>PSsuMEGrG^E`N?nT|Qzdwnew;XQjOL8JvHB^ySCn z^U~D@{A{f(;~JkSOx9iD#PK!NCgu70X}1Nyb>`2Vt1*P6Uq2j$l0~x%XUbdvCs@ps zD_;imT~G*FF(2oa^OexbrROGC&fg{l=7#69S5Y=+rWaRJf!%yx3-&p<^M{$UV&4J9 z63z2SdrY&Y73X{r#jJU5GlCYE3C!ppQ>?FAXA@i|nW>Ujs5@Q|J0Q44XJ|F7l-Hl7cF4ehZ&zMq2in!Z>&skR-V5GnHkZ?ahVipIZKzCd#^L znX_EP=5hB#M69|D2Mj~jdbwIHmb=3)Mu?BCB=o(YM9B6gXP}Bz0uJNgmrmAaZBf~&`0{c0L_n){sWtwGpZ)^}lm7hU`?hT-$9r*N{HC3pq>KK? zunC?k5g>c|8ESSWT8lwEh1~g;=KQu}E&LAl^n9~dzbs}AKg&aqYJH#MCNA5p68e{? z$fu-VZR=zDY&((Cnas~WyUu6&WmB;WkGm%Tx;g;oyAH>vE(XcSaYD>zDi>`XX=aS? zpGBciXOjD6(u^Fvsw9-wGl{>D=FZ!SL+*?h>QaGUVY(8TYl_V|%ur-~#<*Nx{@JWF zWw*j!lji+wbL0ByOmN29&)Q2C`1g}8jQQM9PjfRPe1h!M2b$KD+Tx6yFEvjS2!$H6 z9SEsFfx+)q!sn98_Pq_#50D;r+aTf+jxi!FtCXDkV~eH9^ZUP(T_=@b@YOGW zR)m$dgVIDD?(mzkOqxTwa0k}V$Bfu>f~%k@Cf^DqC`K2&q?dFly|_(Z(kCVIMTK)2EZ5~7Rrv)yQn?g|EFir$KDqQjVwyPCQ}G^dy#$D#*P*;tQU!;0#wz3c zfF*;>HI?`yy;)u6USmv8l?JJY7i*25O1KP-CRrX5oMf7NIZYQ8;R_bWXPLi+J$6i+w9jqdCNr^zrF2-h49W zKiwU6F>80&ua~RcVIRS+m+Rj4m8oP__8@;wfn0bwZ8Wo#I4l0#ANRbVW4l}i5!TDq z_OQoryB|-v{c)_mJM34B6$0#z`_*E#4}TfdYOxX*M-DtQA~u!*0EF0!2w{pU5$ul# z0FIhThHrN`0Kj^=+#L=9{*qsY@_9*y&|7=%y6`hW4}e19Y-p0g*@Gnbbbv05Y(zL4 zhzuH((uF39ILOwg&@QwxMP}M`T7C(DQ>w0)%TOv81K9w@Hv+w-tSEr5V|hN2n$6fy z@*tOgHVQn|_s2Z|tQV`@F?l~=9GwAS-VO@{V0YZF7c1_}UMz}%PzZ4~T^P+N*&&@& zP#Q-$*6H84D0b`RIc!*fVE%|%%I`~;vwUTqj+Mv9h0fP=21BAxyoj_+8*|i{jcK~ zg%2Wq{flpS#HG+kBt$Az#;j5mn97x08d1@;pD2RKV}eEYW>V!cb_r@vyDdYjjA`m7 z%(`V*ak;EvX|g-)U>H7vkre<@#tk4cgzf&2&Vii(D4Fx_VT_9$5dO)Je(aN*!V+zE z_~LXYM~=F5`yUzYXl_R*8yELQ-SnMee*S}Z-$ z({7tsgQL-k@{4MzO(e3ikRb6jE=oTCAihvX!Q|qyNZ}K=B;e72z=-y<23N#wv>%D2 zy2?loOhU|^M1z#4^ien`34pEmpZw^@B+Y3^Zl?R{7e7OB7+;?HNAP15P*vAW`fa?@ekkp%^&{wKZcj| zlCDcd6KzN@cbmSXEd9^_=l>}075$^%{Ym8Po+RtU!C}W)-iy0ClP@^=;I+)Eq_>BH z61S8_cPc=37ip5kSDXYbb7bEL>7}dUo*4-(y?q7daLc|fCF{uBWQK-9+8+;(;k15~ zyFdBSj}bCx19H2={z+_^3K0?hXDLK}7#+k{>l+-9!^i#8?dpb!!|<7&C^r9spcD7z z41%9O{Yc5}Jn}o@gX>A?U_=sJs=$t;kZQgp(LkY8$w`#}6FN?M<@%tla9b)-i{d{6 zYUyjKdq~`pDzOj&IOnv|nPUo*8e_~c@Q6PsP-gxi%g5wPFtT4@kDk6}*N&7u&z!L~K*&~nB0Rbpn z({YiwpPu9}7T-gOo?on`IJv*yt^4l;JYA;0mPyXw?=o6f^>ey1U}nBN1M3=Qb0tJd z=hqy=g9)OObz10KY`Tc*3>MRtKLyg2iRKsT7j5VJOGS42Suno;^hL@0?BaPg>(iT> zTUFO*U60+IV1bM4{{O$}0&C4QsPC435I^e zXdq{~TV@OA_hj zZqt|aWs;OPuQ#`WHBA)HL?BPIk__STDr;|ZALt(I&Q}>EsH`&L9Q+w2+$K2V!ax4tM1j zDiq7?&B-Noi578nU$0Ttvm^CoU9O;G&8cN``d`}qRVw3*qB)%fS34G7()XI4HSMNZ zpJ79O$0{Hf+9cuh$A!*ZZf5TNCrr|7$TkJ{C4Hvf3DlJY`PHO3j~u22zRPHZOH-b! z5F=BH_Wq|)>zdNfmbpB?=H3?xc7ck|p;68+UA;Gb^I0CyXBVm*;ptHQ8hEa7@(|OU z|9T$AG=0$?O7@DAH^pMl0V}@066t597v%*%yQwc&1!vyFbMt@t@;547n53V~w`WuI zndXTA5N3U*aB&@m_c(Kp1j?m~lZ;X;dCtsgLh-UN^O9F%~@yR?PS>28UgE|M?SKm^YXTgwT`^1uv9a0toWuObd~ z$o*=Fan9fsQHXEjLskhx%Du(yU;Rb)CUK=v`TfA>15=!TjtkwwluzQSe9(PZOxLK`bo!E=ba*Y7PK^~%soI*rU0K~@=5P@KHn{qEk zVrCSO$mSP>7VX%wHZWJcw=y*pMPD;-C8D^dK zUq(g#6{R^#k!G?kREg=kI1|4}m4azk(&)1HnCInf{3ctna zI{HjR&gX%dTMitapWccS3KeJV=UVV`abJ)LS0pL4KNpwDP4xmem7d3{bFw2_piw!P zHt-yBZ0i13}|ITxlTmCGa-E4b6c#R} zl$)9@NtH=%?q)221F_6e!1DK(hYXI-i-H~5F(COkt(I4 z4E8K@0>cca-3b83hWb9$R9N>D7bL>5G~K2`D( z2YSrP-e919^UQSL<+Oy9$ddt$cquUjf=U75Boe`fkj!Q*qm*pNSQ0*EV& z9}!PgAA*S^I-o}R(0`75=r<>!=w$dA)(ef#G<=J@bP4{iK1urn(s>gu&LA3|!{v*T zEskJj8u+3!U^?+8@5fB3t&4J*T{S_D0^s}(wyEh7%0AaYIY(f!GN(%%J^|$LbL79X zO~GW!LfSH<&lg^%S=oIYNxGbymMkwixQ#%?{^1z76l#{s%iN`nhx)nMZ>BrPOpG`uw#o8GkH_Q{L81}&;f+I1TkkvV^-8sP#%8%bu)B= z6v(d835UJJoESLsz>1Q%D!w%FhZ>SEoSx;E`N)Sqxt19J0J8R%&SN3R29=v>BtMye zQlA-1jk!r<1zvLbXUcwl|3^QDT&j$>@c;Ce-^hd8pP#;oC zr#+QbCbu1lBzu}^zOtuKs*@pb5!#S7tpbpE zwzI6klA7Qa>*cr=?A{TW)GcRf&1HQ-P5cuQrkE9m-M-*$UH~i9NJDj>XsU)W4eg^2X?rypU%6Cb4K~j9#X0miux1`AYvN*o@D?U42o|exIQ(W;= z06)l>{2C1WobPWgcT#(<$aS=z!jMb=e=wd8Icu<4;O9;Fy9ke@SJeG8ndGN>ykuvI-yL3R#JvHMO{}O^H4A)i1son!z!9o@(O# zGbY%iPMH|RDv7O!x!Yl`Qc@+pIrqm4h`ywkB-6{?rZ4HL^fzDqB@Mj1a0L#D4WMx+ zt-u45I%D?Sr=1GkAd!`egk%$HWSl7nl?;^<<}ba#X%(2^$Qj!og92#+jb@lP0nmE6 ze%NgV-SA=ea8=8Ep#4j!&4q z&ZgY6iZ6xZ;S+Q(H$N>A@h(;_j`y>+=X9~;dm6=`S157gPdV@-850h22^?Kn7(y8_ zRHX`77_*$DMZP$VM9y29K$xZf%yKMuaf;b&!&6pVRE`Vi&$8a6Xw-k{!p_6L3v zMzc%gveFVxyxYY#4i?+-(IjMoF0K&X6TppLJL*J$#o|At0@k^FBRNDL!S7lMmJ>=l3HH=`sE6 zke`nWE4x#Ud;%?m&l1G1(sKik`)zoXJ>z$g1U7^QCwwJN zhFQ5T+L7%Ow<14*1q1+p|I5Gn$AA1!O2A&yOUl!W+w>(}mk>O8A_62Ne7(6H88wY; zn*bEhcrhpe#S2;Zh(W01C2J-W7smj3FW4M2J?4Zo975+xQR1gE05B0_o_wxy6mcWL zktH;cOdq_L5(No-5dAbXl)FWU2>c)r2mpP`!nt=htM$`<8!k2^AozCsxLPbj7eENR z>=gQ_ZF;c_iNhV)ln?U?>~gmB*imvxKuNO}Wumv9;y)v(0DY`AgWEucVoxVZ^f-GlnTql* zHj>_O2_ZXCRZ?>k?M>=%nOBD(DG%{7jbuTH@gH9f;6r}KUnb8bbx;(8ltf`(70xMT z$YeP)be=-~#3bF45$St0UTa1?W| zv93S0aGvJuZyf(Aog$=>6eRAD0huenCIu+(yd>P%gseRNl|Rz$tCaZ?rNHM#rZ`sR z0mN|<$Re2L!puaN>vNVn0!)3gluzIUvlJ#xz8{^i2^H)+myamLj+`f`aJV?IXl0Labfb;G4T)D^u*#EFqC0i+e0* zNjq-1=xmf1(U=yF&cxdrvc_Rd4*F%+rp4|GCoI8WFfv;54z!5CfV4tMx3M7)2*K_k z0ur{l_fqQ?-d=(--3UBJ+lo2EfHn^HZ!9@ltVG0@$3-?yBW_;OI&lbqN5W{3`{}BC zNiXSQdU2b+q^r_j{q)~5?_uA@dbyG;k6oaHp^xF*5DJcop;%;#!v82O1a>mUb_qu| zO)2}6jxz}i5*ZI9+ad97!GJXIO)9|}r3_s9J(Rc+CtgRfK`_t<$8Yxq;S??&T^k za@}-*vOyhH;oO3fpu}xSBibZCN|}v-AV&rh!}`w(1ih>lO+&z(EGG$aK2=@%;!&}U0H7T1io#Z7!O5pX! z8eY@*e&lcC6J*pu0uOR5khTC-V|TP-E@(gEURKGB(Tf)UZSyN(?3pb zFB<|T#MeI`4oA-jzUj2i8owmrre#Do$8Jw5<}5&V1!qc5AyD2_$eOO@^f7P-dB%Ef zG0z$hlMI~XWFt-&z$IpBuC%4IRLr_`lYVSdD78~RbX+aG@DKt)CY(7e6BtcA@ttED zk&A0m47$)=qpT4>gIN?Ew+TO*Ae~^Bvk@_NLTZfx6e$rhU~;r3rg5p2tgjap%9r$# zis|KU)0cEr%8q>R4ts#eF-JC+F(Dc@rwGE8r_7Nvz8qED0uKv5Sb`}}9CCv0HB)<# z8np93EBBU71kY_Rq1?19kF7*MQj*~r0; zqkqMW8-|whw&aYSp}=g%$6u5+a%pYmw9eOc-q46BC0$6~$DVwbl%rjE#*C_yMnkYg zX(r69^kiCOBJ4t^Bx5fwWidUn=E_T-f-t@`A97H_IX+*gjNkJ^_MJ!cv(ja(QucX0 zv0{G>pdv>`q4 z$KL@ScTZjh;Ug-<+w~1+ZI!i?Bu?l9BEDYVKJK3Y;AXY?cKbN!W#LQ#kXqFH97=*wYhoRF+SrdfLE)Vr{wEQLsxHCo2UJ5dK3Zx2mldZt#1GT0UmZ+KD=Rj9`{?C3aVi@j{xwezx?La`j%fi?-Z)Y`sFbV#ye`Kh-!FZbxsUW zj&`VzN??%+F_rGWM-@qaTZ$-FBH}7pRvf!z_Z^5vw5LZn!Un#Gk(fdYnL|z_O43g3 zz$Bu9JA|P}BMlNbq7pgpdD4nT(pocFvfcC@B~8UQ2?;>HNctuE%0!5#(xxRxIzR$8 z{!^|+k`AeYE7CNmA;0W6AJl{@JhJn59m5>G`7t|+HCH}Cji&EQ6$LG_(5hmYq@M!V z7!4xk+tfXmBM=Hx93U~1<2sS=M-=8rkakCgRS0BdM?^);k#pW_rP5ksoyjpwIYvb- zor>?XP{COrFgyy|hN|#!Jw0}i@HMcY< z3asn~k~pQIJj&(%Q+kuh94{;put4(=)L)Xpg^uK~B)b}woJ$ajj4dzky;rIjAR=i_ z*+57pK-0lV1(y)fsniY~7`bOjKwdT1%OjZ*A(PD6oktNZd7Vuz$#aREVptYTMa)JV zLh{Zlg=JBbRKFno6U2*rO6KvOpi~qf6x*AWoI(%{r65WZBxT*AM-jb=v;a13N(s|v zn&grICVyVTekH)kEKhndeaz-YsYrr;X|<-6gkn;h^x0Bn-cz`=KdqN_Fmk_7lTbyR zrznmEcpilouY@^ex-$zg*U)hGND1WAW}wP!NPQTH_2JRN3&=PZVsJ77B)S3u z;NyPF3IRW`yO7;=Px~!fj?rg|xt326V(>Ep1g<`6DTt5zCocE6-%7U{|9Oh{SR*{{ zw}AL~*eXOs&O!wFJ$f7vW4RkzdE9T6a3)4u^=ZEgUO^DKgEESMPoj4;;Ijk3^mZG3 zI&ArsycC=O095o`F>Wy{+#tgqONm=>Hp^y^1uZ3n6XGn<;Zm1jbSlS&NMwxqRQP{D zB0MEm{EQCjG!5uQ>lVb(I*Bvnsi23+Gdm!$qxA0-9;0wUmw#57ni@o(rGGt_G6gbH zEpf5TsBzCi{=T{Wb=S4aCT#RCcq}mrY-gN&!z+`-+OFV14xLn=H{q z>){j7#4>J4nThEf*{bk;j9D}xq%b+N*G0o~iompPd7v=Cch(@!Sw)%uOc#3Ue7c7G z6l5lp_zvWxDKdbG-JGqR#Lg1M3QbY|7bj?Ch^AD^hqh?+PFfz!EpZ1*J`maTDWVUP z-0(gQ$}BOG{Os>s0f9t;FXWEMwEv&VMRL;0X)|^xCGw?7nia+Ph z#t~gelmJX@-JpStYgTpyg?TKXi7o`gJ%#pp2vfKxKD!;|`9+?(u_MmUGSwd@U8CDv zQ$7qL$wE@V6|2B7zIkr3m8g6&==hJAjS-|slgTR7>_o%FcpJwjj?Aah@LHHj6ho#a z%fv*M@00w(&L9TDmlIxqHU(IcK4Yy?03nas=%ovbG+CZu@s0rV;F6@axMd=pP_jy} zVi3k#WfHbm!Ual}L72C(uM}li$S6@4G0CLiFE={gVVYAzT5RHKFSbDAAx;1VBZKauC3y zidE7uAjA;`1~yV5K4TnarWzuLNxgskX%reS=_OrAm!nO8EkyfE`jw}yKgpl*BYp%y z00ka7btZ-^!NOpoE2peV2o0GfL_LajMj0!Si$5q{xQGH8;&_20ib^b!(k`T8GhBcz zdogRYHVNNnaBtv`9b*)fLwEGVz(@+zVE9f*)M{v4#J%?h15PSuS0r4>rcd+4C7 zGv9uoD2bop-$ApUb0zVnnJ^F&{*jtRg+Y!VhnwO9DGAd4sAkITKyj37j&?Xo!euaV^p%ISU0o z9NVAq|3(JCliZ?BD=?oSb)O- zNYi~3n0zQMT82TU1=CK-+oYGn?poG3045UzBH4H+j=yRW;RuE1?Enh152FURLE66ct;#lI#GqETMD87gURd@fjP zg_l>EmD9%N=1Ejuvvm-$8z*W0iJh=4$Ni@7Oksi%PO3&1`N%}m&I=^ZBnwgwcMkWY zTjf3$-X@HWA19Vacpp2HEj)nfx2c~?lO>ml!RPB2e$F8=nKI$!^v%H9;@1Wf{y1|f`(ZPa-1`ypv@Qg2Y#9SURFCZ|}T)yJD>9H1-vjmK|{-V!$ zs&$0OiU~`gRDT{<5G7z_05aw)b?kSt(@Y{i$7q(B%&}4wVC8a52sFux0+jMKEKo?S zA`*f}5+m)=7{nv|xfJw5iCW$rIm5=6(@$oGjJYU_(e0bhzvO$S1Okb003aW^VBtxQ zD$8IpM+8*{`zHg((>94;l6m69qugiWo0g0g%VtDpbvAN=kg!%KQe z7t@Q|^d&u?o*tjpHya>&dVC@PrIZ5P+}=DrJ`&OTX7lv;$b4EUb#r^OecEnrZk`?= zS>kSPZ?=z57(%27XnnH*g6-22--Q|l=lW*7ecTez=H_Pm^rVzh!vPE=^6|=1g-Tvl zwOp@u+wJ;hvwPZVrATOui}eZtcH12QXr))1)nU5>08iw}Gc#V_teG7jc6%Zq?<3Df zRN=xtSgeFx)yy;K38oa=kk44$JlGv_G_~ z#p!SYfO^p!c6)`YT`o?CL%Ui4z-fO303vEv%j5pASSd($k!fpt>L5r{0#Jyisr%EJh&Z^wv{irXsv~;R{prjyGq&i?y>2Rd z?iHeLxPW4kA|jDDO^t~5*uk)K8e>LKH^!ZM)7Jgz%%f?Vibvm`Iv)CZ(Exxw_ok`( zfeyqZ1%Uo^X35R!X4NtQ;e0$H0HWeI1k@c)09Y@Y?s$&dow9thXwSzZgYAxIh}tj$ z5VWiId^qzg>W-&+(H;+ncC|R|j|ve0n`L`GoIH7HTBs2EK|tjFV!dJt9QOwVY*&jo zb z%M*ZZZf}|S+}v!o+bsgFZ#GX4j}Ub*#Koem>Y9sfZf_pHeYkyf3y4pTk5LJ{xxIb( z_Tl#RtA}qNUcG)r08igOutLRI+=W-KUp+lM-oAbX01w|jNV>gx{p#`I;r7)l1bBFO zi01q2H*dK5t5>hS{r1~b+r`&!UVr=XTL5_d<~0C(`|)GU;+G#oE@!=d^M>JupRYfD z_&9FwRy4yKAKu>G-QVBG4!*s+BY+S0_ma+ccXtec-{0Nc0m1!%!<=1>T=M?@e)#+D z?*4tK4g`Sw^WD3<`}_BIcX#jK-vHbwyZ1wNhWYOP{{7wEyZiU=X@C%0PyDv& zZbEK7zPr17KjKUP@b2y%01Ryr+`W4T0Qc|TbBm&CFpbiEv3GaxB0wf!#Qg5=-TU|N z`6VK}d-v}B`}?uXdkH+4q9eeeFYn&nac?3u0x9yrVgvwyO4ER|Vilgra>U<-iLu#i zw%aW?egFPm+IHdz@9ysI@84%uG0UZQp+yFc2m`hV2*dMGJxMqa=iN{M5bob6gC(d1 zcXxLmcpeVZjVgbT{z^m7{P*GEkul&{S_FtT0>i(3b-R6h5}1RUo7)=zdU|}ixxLvv zZ2^F_3&}M$w;KRton-jtUGTP|U|Y-0njt{=j>2NKJnj$6^>X*LTW!{d-5xTRF~M@P zJZy*e;W!98`z6}Yu9m06v0W}sha(X!R?EYF4^h`bXqSuA{wOyl;vqOh^q!h!JE-)4 z&9d!|XC4vOK$>L>0O!Mrv8&pqKb=_vX_kxbcw+sQ{e#t_=?Tn}y=9cDw(gH-#%?fN2&%T`5n^SSfU35!=UxD0s)K23AhPG4Z=VR!jOe}RXVcX7 z)B_NrBJzRKLkFdz#R{-Jbr66cf@x}d?syiNwsPk_3^!D54G8Ys$Di)h^YECqcIS?} zz^*mZRNbko7Il9-BcLZQ2sIE$qtZ$W)^j#{aD*_;ojX3+w`>2Pe8 zi}T?K07@zDNV9BD`$Jp;%k}DbIL1pqy!S-3Sg%fp1DjWi^^#qOhwUB^maEk;IhA62 zFsvO|ZPtg~uoj32h}zZiu-h@;P>9?L8$rwU>ag1_*K0O@<934co`}|)H306neCr*q zHmlv!mWa)2xVgRAZ?{aF_04AcxLw_>nJwJh-XhZWal5(Q?4GvXdoQ+Cv{LJv&C|mZ z0fni>D;gu@r^iQjN8H@rK0Z8Zr4V3qd%Jyl^4@#$H@CM>508lW>h-Iq$0wey&U;=e zA2z>yahtxRXVc797ytnLi+}My{9t&zq+jRscYphrfBwJx*=*cjqu1jYFlhGM*e8 zJIw2q(%w7%903A2=Kw%yP2MZ50nodi2mo>T$`cWhM^u1V*X^KsB6{zLNGXL%0RVZA zsI2X)s&>w?{-Cw#`?KvkMpc{2+D@UW>Xyj2?TYdC-T?qA<*fDIX{}GkJ;VVZd46N; z>u|6{Yy*x#Z~TfVxHaYJzVR$YzWY6HgodI@k@r>I^xYYNl&+LgRaJZMo$Zx20HBnz zwl}6iL{!RIrxZHpl-Ax^rU|!dOzmCoz1ODl-Z5s*_MSX~BJcp9mGRyVeF@W1Db4gm zr4UhTqm&``fk~nKp5A zmx#RgN^1<0mR01wKO0l?+$I9&tybE5ue9>s0|6=|@3pBEDo42X~bmUJW6Q*R9f@&u(nsqAc8R!09xC7XPvV= zCu0lFSt8P=a;`_fx@phnW0*nX0j0WbyY56lMptoUIag@|1kUxT;XYD>fa<#S&N**2 zS0?~~x@iHR?@ka6a;1!^8M608

UadjLXZyz7)Q&RGDc>$dMtM8M;f4UiVT4Ri}Hrl*m9SvirwXv=r*F@-D*PR3{Kxxg$3mSpemD0NF zPDWSG*=!adg4UI_Jz%&$O8{qNhA{#F0&R@5HfbP8B~#UXe?~y1wF(!75qVVFm|PvYdI^s8%ENK?QNd(!}1+l0gUt)lRQ#h zX@dyPT1M9BN*mL6r>JcNf+!+i*RAs|qEAGossX_EUEM6AQeJhRDXqOH zL_k!|IqxlbLWSNrmcPTG)3A1UII6m33Tkbfb6RU>tv06b&kA+lpOw<66cA}scjp5D zupCFF5P;b}4wIHbe<4gi@jI$vQdbOq9I zVKDM60T}}OjC?2nG{Ive6vC(@0xDJ4E$0xBQi`W5cYkoikk{H!(3zdH&RN@c+Emu| z@nfV~8|N&^wv&cKOdJi$WMJOI7A~T4&a#A6sECMw6lx;RW8|FW7LBf0+d-wZ)~q)3 zQtG<1*4o;B&{a^e!sJ*p3>|T{H>MIM4r};8-m#P%c!IOWRIKw4>yb$7iXDsIJ5$x( zxw>wgced}9QoN3=?X<4?{u~VlQ#CA$htL!PhR<(MDPt-`74+Ld?jRytB>-q`N92vJ zP;2iTBHI2;gDw@9o}6Q0h_j1&5dcsja#RY0r4%`gC^pJ>q9}Dn6*sQIQA$efb+SN; zMk0GWg$g$UFD@~yjq^4tW?=v^l0t~X0S#t5&fADB8*u=@+N>w9wb5F$b{#D{5IrIC zi0Ew3Vo)jNY;UUiblk^)l|XXA3G;7?5)okhF2;yxw98N!Yq`NIjruT8Mh+dx%M5DN z8z7(r-DYJ>Z zHD<-X7@uLRg0XP;czcW-71f7LORf;*z}QwnV~hW=Z2*Y;3HU+!4K)e)D^H1qo}nFo zBC_+sd&kAKsl4~g)uyT%^1iBSZK}F%v^I!P*A27rcCj+X5K-GMSm-WR8)GVCD%Mt; zrUgXjdgk6lJ}3tS1m0V=v=P92>-w|z9*Fw>#G7{BTUN5YXH)r1A+AsxQ`ZZvGywGd z$(Y(y6$k=ys;bI4zgVog?g)WKA+&HH*RUxZ_S#X%$VgKhhgK>jL-jcNfvSV1rD9(2 z9wLJGPG}L%x!&0{eS0|5|NFnrC!tcPL?Xw8a>^-1WrdiL!$>SKBZpbel~7LQFlSQ^ z!`S4o(dO`u9OqCDTees=EM^wV`Sg2zzSs5pch|1%dAOhV{kR|Z$u;kAxAXF8rP%U( z?#bB}0~G{W@Of+?dp&{yN94m0l$)hZ++$zEIr&QyM^RH>pYB5#($1H?sPN&aQN4g# zzUHA^sQAFrm1kBuv;zn$_vJNJLCo7m&8_V)1mzjdN!|6Q-FLC~3Wq0 z5<5C%afSuPN#EM?Shen3`=I^d)(L0&z`_}X4?YU3^_6<$-c(hAqPmGETFMDVMTAsO)|sp<4Ry(Wl2J zzS$+~oRqbLBpx)|o}Sjui|w>CobR-wjZFm>-)~4nue9J)guXKB6Ju!*2tAT@zR2Dw zuXmZVG_Ad%b}I2CUt1qe)F~f%RP%^F`REf3bOx;5|8ilJJVbs}OvX9K0yWO%Nfmy6 ztljU2atq=<--shS*7J@Z!{G?H{m*f@g#o!6!|J(@P0cz-UYFNvVrf`h9toF=h3+oW zgg~^-TD%=dCiMQHY!Dm~JXLT()p>w&Eyp>)KsdS1x40_&y} zaom&-u<@X%8kY-lMRNS_cS|#d$hbUvW#C&7-9AWCMTTutzx^l@qHS6CXM=$Anb5x= z3p5SDc{?wDnUDdGnvGmd6nGCVKY%p6elTjuR39k2U`_3{E6=dSAtWH9%gae^8kMvA z%6HitE5j$y6&3SJJ#Y2bl|S{W0vy#w)A%Xe=b1y{E_NmvZIh(Xc@9J<1wm4 zXY^6cKTKw2vh9BK;sC9`E&Ry!&JpDJGd?54auPk%r0;PLLO)VkZ=2ig0O1vw3oTQm zzz9D#nYSBLfgT$v$wr7I8Y3GR^X`K9&Sk84nfXena-M~YN-8i5ZQLQmIbeiS-&ct* zIGjWxa`bBFNQ|~+Q}UPeid|m-J%PPexD0~pG=-LZ#QAW-FoV~{P;Z-1$nhs08Eq{F z=ZiGaD8J@UM3ODsp@g~XdR8{>mzE}pP0P4L9H$35{*PdOolOa+nBbq5uPS&5jX%8>9QMJ3GNzM4Q)UaO665 z2|;-vw>$BM>+Q+4|Khlm;<^H20V*@ndM>|q*%!g_?Ft_q59RybzU~AUnx$Nzp76S~ z`yI+mJwN*J4aL#&6URY24lCq}?lzby9(`WCt4-bT?ZK+HP`>-Y z+fVy6+UNEORVN3KD)TZ|3@UM_UtJbB7m>XYtU791Eb-fZpud3F3se}6e4eiY7Fc~8 z>K!|GteH!sHI@FF%NeD%aGSVqBA5h<;6>BT=bt-fE(wK{8HIAHv>CzUHp=FcXxoXg zjz|61MBhfafG5H=gX|#0sza~9VSkz{ab&)M(ARdqvk$`ULAsXV*XD^jpvuwNOvp>J zUrw`H=9!6hO5{?eZXK5#K%@1)l+sJ}axKYNo^XKl&mK_yJ3+xheGv78`ilHI{cR}=-k)iabMKOZynmVi%K?|yFD_rdn{ z-V(IPyQ4y({3K0U2&e*w`~LF6;smoXa>~~WA^LhUQnIW3yVrh)BIXf=p!%mL3a+RW zo#=S>l#>#s#m_by*Ymqzvscyq7W|P*b^zjd`9Tf$)vHxQ?|m!9@M-}A=k}{BM(p-J z?W;PyN9BQY;GXRLs8)IL-EGl=vYo)mvdc3K##?#O0+W6iG*{t&DIv-iaJLFaDK>NhI4VKT@LPnveX{a0CF5@N$zW8O~nDJFhOp<1~ z`0XBjFIBKU|3=?I2fNpQs=y$9ev%Gt?0x$OLCVTdLC{&P{{m8>sEhpB$G`GNJ0+n7 zRtFHmMYwy&YrMg-3o2&MajyA;xAFBujIkD+b4c*hgKvlh6{o$z&Ax`c{>Y9D-2D)i z!RF`J0d|m~kSZf5cPy8@Pe|@sp*2IY_$a?wX2lsiI=mb%=V|#B*q-_u%hgINj zY|j;3fdJPk_H5CKE0}pezr<8YDqG2n9}4fPAq*^68HIE7GH#vkZPYj%Xv6IVoKxwD zgxARNMc+zXTz>|^z`OL&9p&QWnys9hhC=TmX;9SSgb#FVd#@1IC;pwTUCiAKMNk<0 zB&A&M`H|O#`m*<(;E35UcZ3)2^e&^&l$fU^ubq9B8)vI*=$B;mn02&}Yjd(@`QC`` za84NLW?e_-{QIgW;5Cid-?_VGQ_Qs>#ps0F4pv=Tk7`JypaCiH(Q7d`WM|1ILaV>e z%t#*?7e3Zi%tbe?zOT4(^x&jXx_H3rV?X~mdH9^Isvz?Rg-VbewA~v=GIR!(A*0Xu z-^<>X3w`8UF-FW%!tYMhIG6EPe~f*NqWt*Y!X<;5>S!8?pZoZ8rK>UwpPXtipbv%& zEKh`|{Hi56%;BF-z!B^7U);mK)Hp@lenkVOO5qF&#ZF$46T1JJ7l_yp zLEn1b?oM$6j0nE&`j;pfIo68wfslnsUC4N$wQrI(@A$5_T?Hr-v}$Af-h^uDq3$uv z^Q4MUn-@{^-S*8e2w2J+HzhVJrYIW#yQ9djr13Bt&rpAL@8uHqfjrrZNk{T zB3vGpf35VZ6LW$a{O zuzv7DxjsC69x#Z=@ew4oD1Jrw`=vG!`#~H3-lq?h<(9`oUvJ+WaVj2)`hLq6ih4|! zPcQP$;s`W*S4P*DKStlM!?-|+k_gO)&7^y^NDje zeqT39NiZ)R=rU62ckHx-qE4Uv0s*dEj2RMYES*`Ix3%s4i6IF^6dEtaCD?|whVOme zF^eUfG1Hqv&5i64@|YF7|7ya3>kHPU9d$PDl!S+4Tal5_%d=Rcxk7s`lgI8NJLX%* zo6@pRi&gO$A;@d<=%KknSVs04F@29`7PuA@2Z#qAs^&mj{x4t!RD5G^@Px8i$WJHu z+Vj^u0}mV-iV-nzJ;-}Bm2XhEYdOa0T3J`7T`>A!{%2L2wa{6!Qqzqi;`m-Mf%**z9?b{XJnd57c&7-ts%R0Tn zwP9vxWf9GUA1CizR`5eybY(ud;mhk>IZ;Bs3`44CoHuG@ja zy#O78*icu`390$5@2GnO3?cE?B822HgYj7CSWD$Bje_Y=I0BQ!F=Kh*r(`Z_Xo|4d z1^Y3~i2WU(T=Sf`3H!c07ka6Q99Bm$4_qYaoK!8v`XuRev0J<)HpV?53y<}~0Co3+ zR7o(X@iJ2e(=Azm+`Yf?8~Tv$fP`<<*-l4X`&c3*k-D!yd)}}`QK#@3zgI_ z42&YFsEA)b*497nJ&WpV{;07i&S7)^UI0_Y(2o9yb-;Dx4Neqmmr;s5Ew5e^XT4O_<* zk5t4)iqQWFt9>4GK3EIVapJkc5tZ?)yoJV_Bj0{W4%C66m-8EZp7tB?BM)Aa=T~VW zA&`ynbVbqUlLa~_Q>$_Ng$6i#_$?Z@>DWh}8h5@=EGKrXM_hY?-<5i>-d;$O9kHvN zkUOz_{pK@~1MW{{6_3s~lV~BFVw9D4oeREzsP=JvUfgWx`OR@PtFoIe5^^`9_1an= z_~qA~J^H@h=JnZ{UC$w-Ppj&`#aSn+0_0Tb{fhHNIVg5_IKF?aPxsQ_*!|@h)0d>= z-oHm5RU|*J*P?|~?Vva({QXrCzAOmDa&m0FQ%s@{%J%-554+gYFBB?t(#lAyaI!-a zD0F(?%XP}$dA_jc%jX-ZHG;kGAAQ&IkBQZA(i}TLx^-Um!RgyI!r%UcywBoiu3~UD?8Z;HW_!fs&)%PZ?23cl#9jD%@O|>GpI_r% ztb`iZ@|tOUV2@&0LC*6ZMdDzEBBc#f(A&up>)(hfrx=!qiZX}PbNtdl=BBB%g11h17C@VEp0iT)iM29S3a@(?=E-v zJ$6rB&NZ{$VT18rhaNV!z!G6~pO*HL`1P7|rWfT(Gj1RarDt&$g*^J!f?WtYG854! z?nripNrJ)JXKfznQd8av?E!4lTej4&9z75DnEIkv2SL;+bDunaBuWu{rtw2l%E=}oRVH#s>x9bI<|JYU##(s zt=QYf9F>W451&7`Ua&)Qn;U=$oC=j-p|gI%k*Jh&vbz$N;{L|o$#A?NlX9lJ%JWuM z7)u(h1%ewV!*H4HuW@AeyL}AI8-Jdo2u#SFeOIz3#;jd(t9zm0HG1FPhb*OU*AGYW zn(;VV=n=Dst4X?sPn)W)=f8fjP&#xn^vu-{ANc=g+aODA;a&i(aryO+>FJ}PEF=d< zXkI~AgfaJgTMMoya3nqPs@H?Ja+n^`U%tO@`l537K%3aBzQ~bIN$`c;XRcszV`h#7 zztDy_guI-Sx-M-we(M6tbf!0ZHsV?~?%c&wyJY`b=pGEN=3=2$z)dZ_%fr=dIo=DG z6T&AA-GaxKmnsZLHZDv#J0I}f2(MH99pChz zN+iB)YOynvUSK`2Oj`mP0$x~zqDYZl>S<&@k~J$ZE2|PP#jk|0ezWAzutqn6A4QO= z+{E~?483gzfihcem(G&)3sV@`E$pu<0Bk)0QXNNfu+Rta0^XSOx0Qm5*{MKwlTl$x zr=5_U?%n0fg2z2iba`DH z{->ybjgkT{jeqjaGK-Mfw-i|>uEU#s_8qTVz05oiqPnsb`ss(B7iInnX*lrH1iwMI z!JjO6gKEw8H~}zwV}c2k*O_v&Nzr)eE_ycZT473J2Mz!ynugLSP+myQ?1o_N*^^Uu zJyc{r5>NwjA4Rj)1pzfbfV$UOiRaD#85UYX7Bm(JA8s^9uD`cL^zG0m3e+44`G=xV z2YzM`il??KI97eItW6_^44wEF-hEHu3h^8;MIvooy527D+)V_H_|<+0+dE=gcQI{c zqVKidiRm8Vs?b?kxhr2DrBI&+>r6_PPV?fiIUQ&csY;>YYnHr4hS4IVA7 z=To#=$(T3k{R;~l{mWL$s-~fb##W=0JOcB^3rdcZ&`B zKpeMu5&BY_#_WbGyiARN(ToDp-OTqtiZlUsv-)D;9{p{l8BD|f?3cwDvI^Q30rm@7 zL4X}#(+2`KUJILySRJ#k{{w8-dK&g4C{k~$yT`G9M6 z;AiLy;kZ&}Os$TEZkFI1(x_rAJ(xZ+`W3bH^;3%Cy!BY`?` zqjQFp8G+6JA;&}RNosXJY2G^=-;$TND{cN}l%CWkm_a5>Y*AjFi zSyYz;Z=hB;*(tTPw?K|?wjL3Lgc{r$KHK#Ss}xw8u@Gq>45jZ6ysSDUWkNaIuD4`( zfmpVeK|n;ZMBDB#3W1wsbJjYt->X$ykkgA3ib}j^ zvFcssijfYG*o6rFhdDcvdu`}MTb=zjT2?tDPMye-uk#d|D4VRZ9KZ6mFgl=d;{hE# zgyWKB55~K{8&+7m=J{VS-@xScI$1~Qu z@5x&MP)J}KRSN~Rwimu?iFB6z9TplAXk?O*MpoC&YZi~Q$=3m3m3i)bN$kVT>tPuw z>`ZeV;g}?85~6u*o=Z;Kh4k3n6~H6}oYl1$!l^K^nUtH47pK=cMCAqry>eGNQBZKE zgVgb-F8-4H2L&a4z6>WoVO!?=!i2&xH`#ht!y`9Yp`9{uEr`>Hq#7)>S>nAa`qRqR z1BUyrAanij-#(2+aHpB(D^L8s4;JK(X}t{1lMG9QSXooF+p-3on|(BycGi&%QwGC1=nQ&hP$ zEm)@GP03u1ee#tOm)no7AAg#0_~AXa_DTB`wr~vE7aigvcWI_mUYV(vT840@BTLS( zL2+M|7ry>JKl7g+SXsX%Pxy`#+{k0PFB{~2s0l>m=`pM>op<#3O}3)1XhAHk;gzt1 z0iefM%e~EP9i8b!%}&Wy9B5Fm9+fYve3w~EwV3WbIi_y~=!d&r&;A@JwbQ+5o|`)W zavKn(Y;6$uo>A#nE@~&15Jy5RnL0zFZ`sMx5*MlR#gFU8sb^J7gCWyVVkAUy6*}k5 z(83?GrXu5O1GoJ51M~h5S1l$LKaibe@5KF+ww~ZQ{y;=c+wDv7H&_wFF6>l1qE#MV zU0z(up7fm+E}x3;RlMu)rKReI|1W(?xf16Y0n<7nNgS!XOq?T^*L>4BN8+Ze{mfjT zWp$BOZ9$iu4+S3o*Ay3!q%!zxZt5pa+9L%+3!B$8-l(k%_&N1fYu|l0O#YgL8|j7T z-$$Ct_98z!yeyk3%$%B_R=>GlYVlIj;18#~e7C=@y(^8cmX_WdfGKEKve2b`;BU03 zQVkPyF`QAzHzWd@1$GJb5l>?EWOcL_gMQ2Ahdq^xl z-d$X^bW`>Z#ntaSPVKD2@$brA0ddNSHGPfsAa8t{XV5jHUUBrA@U!E`eVp+S<_Ly! zmr1{Z;pURnVYggaE4?C6`kLuegJ%G>2XXw;)4BTikH6qYyM|$5N*<4Z1JRd~G%bfE zSq(9e-sMOZ$x`gqP+O+RJ=V$)jZYzQ4OpTHhD;uRaZ{zskq!~-bmC$wB1}HgGR;qQ zRd_{oAsN^JXtlSGfpC;$run(OA5I5pUv-!KpV6RQxdk65B^OJZ9Gtd|B(vAaxHgT& zh*r3>$0lHI>4H8zaR>TTzVyAgmy=gBVe@BLOA%6_1w(5&)2^~XEoH5wBAiOQ#w^7( z!89kvck})^BF*)(qRBx8Jve^RumPu{ed$FZ_cZ|l9ZdfSg`BFr;kJKG{<_+}s(;m< zNDNyK;<(q{4jpT&l8{b7#Jm6P)4R|U5X`)q!tK>_8@^h*+huyi!n2N`^2YD(K09>I zLVLi)M2<4Ad#|fUUp`?VAQ*}!c*#%NIl%HuKr`5il7^~`MMG7gIuj5oa-NT_- z?iml@$(m3)(UL870h~YSqMxElFMD;o#M&>3wE|`8ypS2l^S!fROGisr$?Uz!I>X9h z-7OM|5(7@^nje#>_1OixLq|$=RoH!oIIfy14cAWQi?+)uKY`MRK}$Df;sP*kQ>SQQ zRa;DKs?DwnBhL_g{nPapK1JPX2wM^NdB3TWF5@dIhDhL&F^ZullNJ)?z#g{WXwR@! z2}(&_NMTwEk)*jev&fq?>(_iuRxkSv8`v{XM6mS;we|)C&Zi+p>XH$G3y98$N8jC+ z;z=>dbyF=H9VD-jU=P9yx&jy@Xtd;-h7wHS^rL&SpY5f3NcK`5x!90;wv?mBq;lzG z7!6ctU6?F$YvH1BJ5Hs`{0?~Iwldm_qmh({B~(T@erv>-{KFGzj@XNIaH)<_fA&Xj zl~2R4wKW${khDK~ajV?Bw_?zr?a7hyIKW)Ob8=)4ZUFSk*rqN8miaoq;P+bdq_1wBU?cMMyBz;Uo!^W2C$IewrFV6jzimn?&n;(1i;Z>wy?s!~4W&vCCKyDVt5ztn9RH(ibN@cID z0U4erKZdauHO;t+lrn##mS=FzgpzWiqhcsba=(;&@^8E2y$y)s43U@jr!#w%tnz&m zQ=>y{?0T3f4g!cS+Fep&x6l=cVh9V&kDqm`(Ek2mHl(MHYymJH9t1xJj#EDrKf*Qqy z>e?d+*|sBfM^E@oWHr}yaMT|^QYv-LxMM4>gjBsYVmNF%;|2XV<2`sJd4}Ctv~=Y5 zhY^?A0nsN$o%h^CV}Bi87ntl21M{{kqs{%mYL2BNzy3y1b{1G$b36IJok4IT^`r*- zi}WYA?^sdOp%nKtQJr-;C=x;x(y zmC%`zr{)NUj?p^+QK*2Ay|-|Hr22(8ak~aty*CWUV2>!_isSw)Q6|=#!4MdFzj>U+ z*7&Z~Y7c2)wwe?eEA}i$Z2lO5K~L5Kf&X7&Mjbr>5HO0^Ch^HiR@PmEmJrZkjO;-w z?*4FwGy8xgq{D(C8pCa51kA0z;~#J*kA+?=@9nd?;s@K4?wyuj6(D&k@kbUii4>Au z`PsP?MKvl$=i0k;#{`v<{Oqj@3Y{ByWAceJ=4`aCaC?OOatEcq`2Ap8W5}!zkg6N~ zB{<(UaS#!OKjl4Awg(JeGHkgKmL{OP)GN|BX8lHI!}D~sw3|*{db@UL7^)FD`J?<@ z)JDC*l;8xMLyQ7vEiQkpD|{I6yd8g`;mPAmoq!rtW(fY)G)EGZ|1=4|nq3p>{P0LW zmHKWSphar!^$C2v`L8RH^i@;O1I`X>+w;XTW=;lMU%M7=rM=g-GZU8( zd0^vjpF^$TwZgTvZq5$cGW}o1ViDY#zQn-VgA`}%FFNQTq3BpPxpS2>T+G&^Q#F&) zfDF|cO0;C$`N08Lj?{@KT}Cn{w`~~pmXf#HHS*tz##2P~{(yr2e=Yz7A`;08fwG7M zwo@q~s|=?y_mD!c(A{9O%k(TLgv#C@lHknPkbFY}{xWJ*&!$Z(?t}NNR;x;BF4?|! z-LyPIJ+X#-6A+7=45B5IR{P>DXN|+YnKqwdT{zD-v4d6Ai@f~kohy6r za#j#s2TDI0gLG+73+xI=*i_k&HLvNLeyvb@L86REB7jT|8hK#OwOH#=0O6V*gGH}Q ztVRuAb%J*-EzZf+xd`TdtVD_{W(m^SVUQeDq|DwIG=}7bsSwDi&?p4+qVR>Bi1pRK zcOCJ-AU&vqlYRghLYp2lS5`lBrb8zmvYbPQ+B^Z^|LMcd?e z1~@s4uKg2#sa4A*gNE^(P>3S{iM?O1L|#4trD{0L#RE@vO|h@gvq;?Z*?cHj<(ZpqLm;a#Nkn^F+drFOnRXvwsT53)ppvbJ z7`_UrsGs18vO^G&be-goA7q@N$D~~r?0l=0WG77o<`{-?I2C)(Et&l<&^WZS-m*Aj zfS}0Q3#EfrhXTg2gc#YhcSW{jxPi&R-r9FxqPH}zBDMcDbEQ1)rMgw(MnKemOxc4> zk|5G8ZI*;!>R5`GMY0;8XF4pPcWDw=gh_mmG0`UNBuP%%>FsE5RMOK zjt~G0JGEg7b#RwF8na~RFS$-8kVye9gMxb)Xs_JcbW2Dkl;t(nfo%T3XA+LKKOp>a zmuyoyz2D%d$_U5+;;4jA+9*no)o!*n(!r05ZgJd$X^T1KKt8Qos%nv0H&X z%9We48BgmBO>Zj9=%Sh$Mq^DRN`i@jpRE9CHV?;T;#3+oZi4Bx zr?38P*GP~tiB=8z#nT9eHZWO{D?FAX9QI=>EEHF1JMG^)5S1kWiq^$LUrF%>}(`*2q zsNfaSz7vs>3XFiWJY%B>&S|$>0n;qg3CO8N;gG7RjMFRbIlrZYD33(klQ_4P9WP9Y z0zLy$tP_&67A5woh9A!eU^VZghMZM)fSty2a;Q_9DF8#`kv5+&Hy4IQJCgYQZyiH2GGfKUk%!H!R@1>J~m*AS**-WCq=Tr=xAW9GPLbfjQzHq=$T zEQO2BE8wi9a7SR?FJ)6s=sS5tXk-7?)SBdJzF?Ebmi`hUtjt zR!Dd`x3=#}X)xJHd<{7#{p8Y~dQJO7N?kk%1%1p#oMYhye+&{w>2|e2Wv*(9Eww|`% z-NgaTCWBN51x6>j`^M%1t#q7W5T;H*8i>g4UM?BNMs%*4W0dhz{V@M~T>-^PqbXor z2-Kk$XJ}xM;&94=9dAKSbuHPes}Mwf71^$IF7AVx*5E3XzHTb3uU;adoTv?pP)+#V z!mph>zSjmaZ7LRLlLoc6$#~_iX7of#0bkjtGKxy(uFX8YT=8N~?xT0vq3hfN(SJX* zvlZ|C2)hY()YZn1j+}A*XX$73k?D+Imj>q)m+7{~x)3qzrxI_tyX-B0JY4oR@^I<& zc*xR-yWQ~9Z!WUlp#z)9k*iY>6V{%DZe_oy{vX)r1&N(u|6dRPZv6Bz*{E#Yblc&% z+~^UaYrE*v`d93kYg&BrF{l`9xo{iCtG6yq>+Q6HVK&RSjYEcK z8ajs==)ImHK+~9;Tmqt04MUO)sX`5^E2WJJ4>1m0*48aUI?c8L~;H|0O z!Cr{gO=0K2*@M}+gA<9;`gB%gRN-1}*!I^;H#SQp zj14>jzxm+Vo(_|ot?{nf?s--;`%EjL(vTu)@=o%;m>H--FjEJ3+Pa`ITpucag#!?Y zz;av`b>eKuW9MqQ6)JTapcsv7GA7h-u{J7~D=H%zqWO(}jxLp24j_dYfJhFM=84KM zNDE1+6|qj0vabPy3eryz{K_lb++uCI)p#b``B0+ydRC5}c|7C6>RM-lj2R?{x6D6h zy!FHBOj)=`lI?oLX7J9oVkGP2T4}{yID*_<*M5J}tB0QBC(AETm;qb699hDMQvej*6w zoiMRaH;f|$!~E8*4bLFJmKjGS{2p;qc%cYKKpt;U-X6a=Aw8juj5LlqTx@p^)PU-B z;sKa~A#E%^*0I-}t^>&#Z{A4dNF91jEqYv9B~Sbp^Yhp6WgW@}b>@81P;g!N>c2z{ zP**YrkG@v{I{wB7_$`q(`GhogNp()VW*tt3WT~)|naG(Re+wMmK6g2FCnlv*(PDBUrKIsQwqVj|jRrhKxa!ZQpVQ25I@tSsfc>v zawU&9zO*Q>>+k4-iWfyfMj$N)5nr8jEk}v?TH2K;OhH<|>8aWEs0VZyI4gd`zgI5( zD58o8kes+)F78ePYHcaoPb5u9^PpVLjis&2JNDkvNn=9%j9ODM9puT5H&u<7695%J z*#cngSl8I ze`049xI%@0Ur|s@!9?Wd9&hGVOYkIJZ|qCJBJXn4saF-g2T#1JVe563@!K^P4AY@+ zZGVnH&fhKLs<3?d@>cFi{%Dm&xVS>+N*(u;3IUL$Az|*u#GUIU2%rutXAN-JL-GTT zdjE`f46ztO0B5(bG_z`v;0VXF8xdg6SdVCt21vaOV0k4=k!a=8b|ri-TnuX}Szs+H zXe|0+2HbLJ3(+35ssTCxuT*gWS?sx*pbN>_=YD)|s#o7*3dME6$7NGK{P8SxriPG+ zEUoi>qju|r+sGpIVj{HPJ8Zj6!rHC1Ut$(a5gBmu#$Vcgw(%O-tP`8;X0j-8;G?gN z8skhz$_}V@MAT$`PtFt85|mD&Y!%eo6rMBj#(S=9eiZhuVqOaR&tpt2bZq{#%qU3p zq=JDXz$OjTrhbj<+RD!HjY0eI!^34OGSPCo{*VwQvvXv7@*a$)7D!$q$i^i-xGV#~ z3~35QlcRUowcTi1Kz=arKbDf6uv96NC(K(RI|ha4P#YUX-JY7^bZzGN*3@QsMoM}z zCltZ#v~WVlCXHuyEX|MWM$&s{3AK&byqk1lBx}t5-H+i;(ca&d_MYJE)WJg(4&AOURlbW9p8Rx zEKRh>EEFr*gQgs5D+LRYYb}=G+cqwcQcbM)8P+Nid}dIOaOSoJlL#6!q(-o^cz#tx z#PCJ$Fk_i1U5%D%bXsV1ZMEO7?UtpZ64<_Nu!{tP%QAaMME=} zB31J$%>v|FBW%Q>^uB->N(q#f^tC6oC*GInFz1&8y?f@qweU%l$W#uL9@g2NudIK_ zMjRkEF4xoIY+M|sfHCkU-_QTuGng%yDbGkquU89P^RZ+~4*D~~T3ZbYFl`zlFRv2_ z1bCvMgA*?#zP4MTA`wEWfa8e^krwywL(Bor)$mArx9Cginvy}lN+dVzmhvbOkya9l z>1lS47*oBFjxsKMi!mUqB&C-SaPE>tdVYEK28?HqU3>h{fzn;+OW#t8t9&RrDd~cl zMyAXF$K&S#B$(PZ%JaLdDN<^8<(!w4IbiybU=)Hf&V#Ari1s9L00NGpYjv!Kl=m!Z|fy~?|De&C3QvB~H>tY2Q|$`%&knfFD{s&;NxFNJ>~G-Qm&H;!Icx74+5 zQJ9$yzUE{ww}RpbY*bGw<{46YjUQU%2S++getJbt;!Btlsu(=c|JeqxE;0WqYwvL} z8&GJcyhYeGqf7K4s>(P4fv&ZvO%+^r{(1*GwGqW6wa5TNb0;0?NQ`FM;*F^47Vd9( z8xYa*f`VOs31|453fz#jitP>>lvL*BVHXTf>^04FV=GnQ+K|aIdjT&Gh6+D)vs|zy zX&yi)rP<0_rL_PMdaNW*ZIDbF2W}n%{MbIg?}R~~VJv>vs~xepsvH?r;g_!0Ut1}& zHy~f!+%KsRCNbtbxEgh~!x7W-Hn2G#=D=;?Ci6LCNI!-Yi=OsmB2_kShO9nFIPQ7q z<$q3SdGFy7D81*{dZ#}F3-5x80NYthN z3u$lwp<&;2k|WH-JF)~8D#D*|sErs~AW7>qyUW5>{L^Gs{u$B58_Kqmvt-O$0K4My ztL=Y-71oL>O^89523)3Pf%)9S(UQ~z>*_+Cu3P@vf9#lJ%wQbHvWEGN)A)3f_QY|o z67P30*1@r~#c)~wDABlbpzPxBU)yJL++`~Qb(zfzK#GRT89|-G=;+m)d(@TW-&Y5# z)12?Ck5Fg4DHK6ut9S0FHQk2SRkoH89)Dh-me<;{5D5cDi9hbm^G%X@m1?U(ah;s% zT!Gof+LBc6Dtpy(6@)K1NkLXaqfg)NaQ+j%&ZW^c(0Z@`uE9+ah+zhOpj zAbO0e)JpgriiBdIFEthoFIfb` zjh3ugyXZn6|+Xim%#fQyWgJy`q&InIw- zTValWcA|{UqitcS66Qb%)#M+P4&;S6tkI{{`y!uziVmYxIOr4q(I?y&^4AVEq}{)i z`zL7c!-Q(W#o{XR(6sH25#XdgNWsM(#p#&D7i)RZP%BpdI>`dkZRU z*j4=MSo9`9Q=oR19e)Ki?o6|FO>(xWD)_f=MS<$+)^HXQ3PD4e=jJDRbY9jTD1YUR zD|*-T0yI{u)}~=U{Py1J!_;m zI2ES6rHqdkRTx+$q1QW{@;G#P3)qpnrFN-a0cnrvck@ez&-ma+HNFqe1T;|cc9h~3 z*H$xXJ>p(;Y}U4@I00O);pfgE`l?y!%w+H(Nr6oBW#2s6>OIoldq>5r#1^OyRFVjv^p+7z-%p0 zyRK-PP3i++EHs`=dz$T5iLKrV?Ebg5&=mN0*dcPN(ugbFEP1pA`Cq2n@^UTb#nNRG z)Gw=Ff|5JvbcyOU;1wQKe0h0K)=}aVa%qln3dN7g$L8sK<=C$a%KUf!SZ{;jJPtp4 z=D@G*r_~wPq-9_ME@u^KB4zC1U)u%JzI0;eYFAl&`}o$v|KQ_%L>MS7*-R|MzShUr zw~I2d7V*#UvSq{ZFfiz3=&6o3w6NYX9jtW5-(=10;bBhuuND=mlGS8pYkpzK#)h6n zbyg60%n2@-Bezlp>)^S57|c0RUNeLQXKEx!-xj3-vH$Vyhv?bd>6w{d?YbtJJ#*G? z3u82@dbP%V6>wV7#gq*c5_-T?LwGqj;%ZBwJOF5wEgP(@3ymW-12A4T8Bc($hP!FW zwxGja2H(|M=R{X*o9=i6aI3`w17*vPI^BJE*C2%x+G4`FBprniacG~g@(9~l<$ZcC{o8_oI?rqAckOXIoZ<*oN>L8ZYVMlvG&4BOBs>9}7{SFF_2 z^7b_hfeh)ZR>G+^V*H9twrP8w*ZhRGylIta(?0Vw`e(f zXGE%`hu^q7P{CX_obDfSC52Jh3;A`%M6r|G-o_1mkT2ja=VsR1ADGyM*P zgt|c9W2se2S_a?sEC$QU#n$cQs>9MJg zFVX3o48_R5aq$K}xwp?$wl((Z?z*{L`Mlu;8)af4q0f+V+T`HNpJDoNJRp%q|2wgX zQKUjgYM;7pXNLK)TQxMYTHs*zTo{16JR=ka2|&IPL1cw*G-zlPWu?m`A&h~E9kq?> zs;T;(p=U&0Mn0s_+8ummt3yu_Bc^f&C;BIHwLzES&e7e6VH!8Acm1etU2{36TI$y& zi1i0b8G5pqH+)0}A~wIt^!ztb1`unj{nqs~nuxj0YKt2k$koF%10Fti>G<9k{JX=@ zyWZaTS+f0&A{vf_h>?Bg<{=qY@u4J*Xn*UV)7rr+3W}*k*2)Unxg05$==Aq*3p2ng zA$a@rpyxtwd5`MgH9cTZar3m2hEr9wh~Ybq?n#PXPPH65@=`H;ctN)X=q{56C#nTX z#aW~!6JttRQage{j#7{Fm;tq_RS#oAAG3m{@!gIgn(M{?IRgYK6 zo>}$)K{~t=lbI8jc=jt|c=bhfr}$yeVcWF?t)>hw`uH|M?MTI!(UEf*p0Ge6nB$$+ zTX3G#718>;$t=K;0Md*kS@#@XU(n6ql53B}CJ`UN6)ea!mBFA-1L^XY5)2cLTW}up zG#-rQME)TUti-@H5a;$t?*EiI;0=_WQ~e4ZGcEMfv1iuI){X%!(gaFboSdR=SWD~N ze*yY33RcZb>H1=m$Q9~}OiPcz(85;v8C7m2=K3ig$-#!+{ifM436F@iYh~=>tLG=f zR1@TcFLZrFLc=@t7^$Zt$i5jwlA1ut%5z6}=5cR7Ryr~w;?7VqN2=?a2mIOS$PL^D3rZ zsD(eEMt7gso^#td^9cJ)+8w<4|C+noI2wHBpRLtBnBufP|bp(|%!-UEmGUaLtDyc9uekk`|=GGr` zm+v3W`8el2=Y5~&d0+T`A0N)HMM8D}hEJX!5}g9~HuW9P=WOhWbZy`N%M$`=eb}0! zUwHc9}_`a{%sA4<(O&_a{^2$>okdgEN z{;!Ugb^Qu(fxdg&O2s zLo;FnA<&I96IXIWaQ6Ju4}x4;k6^z7JVbAyH_F?GvPyIY$%a&ljx4^fGXP5=Kt-|qtpQnn)NGj;N0@IU1;h`jIMQ;0Pp{PN~(HFOmy`U?4 zj0n8&4(XhJtEA$SN)LmAO^qVYC_7{~PkVZxpC2Wla?Dnx`ZaIr#)X{RZ-B9c*~EP* z;5A;nnsOf}Y`N_boRjrj<;=97g>biaFA{s8#9s~uQgah(gvY-V(DV*RK|S&mFVNgXm^HRDbnhw{jFDSG>-OzN)z+L61usEesm7vxXB;N z-d>x+s&>C@b4i7=%Q)f@g}s3|3f1?`4ZrH6<_nK|E%2nNl$IV8XG9m;^1-QWUkeTW z0srStTSVz8(Q6^^g6!t!!oq9KMbwmBznv|OCO1(uzbH_m5RS%97#VfH7p*^vjVh`4RK>(~h#aNfAKksW> z1vwU?oz^`!ZMZpeBoJ0-tr)e3$>M%HX8guKB@$Jl2Cjc=$hx4OskOyl@b~r|JO?(Z zx>#Vp?B2F(c#PY;Z+v0u`6A_6UO*hnt4|@W;&iQHe48NGrDrB`w~Y#oJI>?6*ts!? zz&BH03gKsrtEkRpG0uSyCEsWA6`~j7pZD(tk7Lsit21KAv;oSPYRQWn@$=#YI--8E zh+}ru#io`3VVKO6M5`jdz+%3m`))|zt8hW6N9Wiqr+|gsv=)f+sOV*f2CBJIeq;>n zLzvOo5|V^juR1l$F-w9xvdx;ktTC8Ip!Zhm!q`eYrrbDd5;XR`!FQFST=N+5r~L-q z`xt)NB+J+-Ky>Hq2iw$lai8+F=IKztBH3&Xdc9@>Dx zYELO~uEv{MPVAq&@?g+3k-V8qy*M-|BAnQYafs&zPneuG#Bk#t-1=J`Zv#0?bDkUP zFo&-(W2KHL$o+m$G6v?tH5c0AhwGKEGhVsS!ESs^08W=%y!-}eI?HD)UuaU+csl?m zUEd?3Tbbf3_&}ec)EMmTkpHt}XjHgso)YjL_`L11g{D_Rxh`i&46#?HVq39cpB_d0y zC_407oqch~s)ay|vv;umz3QU%Nrl=(i3CWWk^nWh+7`F9S~C6*B!h6zL%HQj5SGo| ze?mClDh!auA28x0*WDR!B?!w7sZ=(o!HcDLeGvj_>6Nt^6Ky1XAD{YEh@`+M3tgT6 z4cY=oKL0?o|8t`Vg$(%ZCGIFoq#~Vxr5s&iom5Dp%FK`$OH06eIrB|r3AXyjB&0mk+{I@dmfmEbr?(ttrSyI%e%)UK0jIDg;V&I^`xoh<{`vG6BI@7T4qa4)v@FGna7r4M7zI%IFTeDP#8+l~ W18E?>lg8$cYac#ncc9e5>+Ek%!WA?C literal 0 HcmV?d00001 diff --git a/out/pcb3d-top.png b/out/pcb3d-top.png new file mode 100644 index 0000000000000000000000000000000000000000..018a1f675b16505344b7eabfd5aa5835f29fe84d GIT binary patch literal 866296 zcmc$Fg;$he)AuS8(j8LL(jc8Hp-3#XEZqo5cXucaBFz$luuF$@hjc0n(%s!%@A5qF z_x=kX=Wy7=nQP`ZziY0Ux#zk!LRDD~2lEvs006*||0t^t0H6~B04S3f&yoKL)J>y6 z{(0&6QO5-U!2SL7`>fZY;1dAw8Xzw#rQw;eH?Q-JW7===^6~ML+hvPON(Xi_1wP-> z$2_L*x^KpuLm4>wF$Zz=ewAXlIEB)D)cH=m5p`~?UMmx9EB}qy|JNH<4$*4asl;Ft zF7hw0^+Eu=;}%?mJSZoo7%%hM8`_65QC%z-?LF2-0)QDVzkYcamhFs`42WOfFZH}v z@mMS?849qNyqAby6#`v33eO;p*{%>Hbc%oH|ukaPG*R~ZGmH96V)Z2$n%qGWs!E#=fzNxss0slBBR)S4FuZSX zOrMEZt?__cr>08plv?zZSt=?y$Oa}9-u)D4c!%i9i+yVZwhjP#UI z@GFo(f9MC=i3z@LSbIMkeJoM|8m1V${(9=eKoDf%F4)f~*HJ;=s#9~R!;_}O zP~Oj*5+!22{)J$-)WVy85SjHA#m?vPs{Ub$5~}+9gQvIXj{B6mYTax!h@u>qY#K2= zB_Jc)ZE81;InZV9$ilVAh(#QVL1L40?K*{_q9W%hYO7Z|yWa(CuBLs1X24$Q@ijcR z`&9v9G#*(U>(tpBKHcA*JW;fp3_xn0|ALxL-q>@X6EvsdQH}od3(!#SGRVOqyl$7pu)cQOU7J`ru`ou^dsE6Sw zGM?Vdod~I&R#Pz~sfpOQDBZ}N2zi&Zf~|oj_`CbX)JsB${;O+NWjSU6#!$GWdcFdB z!C)<-4Cw*;@6IhJri+mSwOnD8q#zf^b3o5ZNmbG^(?~n9e@5^If{spHf|}s*wVP&H zN*suy6&q`{AF01dE@I_{^>g@=?z6<5@ha$F=H$P@k*j?w=pp|Nr*Wy-Z6>4#{3x9m zE=UWpYT3d;>46wz=m2_Y8@3Ty|HxGg_}82ipF`rn8>*~9w0p8x5XCw+hS>;Gzt{&q zQwmgG1AQETZKN;>#Wid4A74?x6FWz)o?J9%MjV3Xb9}_uQd*{mdxYH)=EPmqj;Me? z#R+5-AK(ccgaz8tk~KC+e{+S=H-h`OVU?`Ee>^i$^+wXqrIz+N=M{5M6;1m{<)-$rI=Nr0-;i301`_13y1ngFiN{ylCtlu8 zD;T1iF4=b-o=TJ&Q{^!D&Ex(gi!#oLl*P{&2QY`fu2EfsqF!IM^o)vf*fiz9-I?!wmBt` zEOl7$En68GSxxW$6InqFg4FaqjmVQ%8>arka!%W^_IW$*-&j%QH8@yKzsBu};#cTV zQ?Aq%29Q-%eE3$s7_VZ0wD{(E(q z4w1&yiQrt36(e#Jrs{$wFn4?ipQ5J*J5&>@~(w#Y7$`x)m7$l9tyxUir9_3&x1K~ zt0*8tzgRVBR?fDODLP~HH|`F>x)4%qekmFSV2e|iJj0rN&abeOq{&j%!fp>Vx-wlicO#-H>BcRuM$cJzM+_z?MFyp#c$N%g!$k|3*0 zdjC9fs@f;I_z55C7a<9%lLaT;XMjjqh8uy9ML&+FZl%TTImN?ht*zD8c^#ah2$q_cgg50z(#=mcAc zKg>UAi~bHJkM-yk4gmn_$(gAyL+)IqpVVdCL$r_1PQpRl;gy$2c+@}FV4)%NOe53) zfT%2)HE;_G#asy5dIle5TRTsYwQc16uw-LQ>lX1HN{H(&By0l!OyMTW>=O^eiKJ5} z1UeCrf;ub)!Fg<%=v8vVXYe5O3pJz`1-=%@j}y>6Gflw zF-PU4dX1qX)`=wgO%<2`%Ee<3#1q^4RZwZQ#8V!{hinfszL1XN{Poq9T+rBh?!cFU ztO4YvV1lm)HYT(Nm;!>&s8(wnxsY8XD4x_VSfjxAg^LH%S$!JL0viSrHrnOw{3o6<4Dcrekl+w%cL0Df#%C#mh@)yp@JKCJOx3C{LjTBBx{~$h&3OfsD|jaz5PQs8 z;EUWfr8T&v*@pizh6;Dk(HRv{Nm*eREP8*kU9u5Fq1csb39Nd7)W?z986ZfQ`yIry zu!HMzG0I!G!v+*I_k6ZN;%b$F(8T^f=zZYaZVmV^XfJlcZ5Yjajugq(GC?-j5J3bOHHkR0Jq_$gTkp* zeRGct*(EL+;Wb(DjYIwlH);q20PTb)i0HIiCI<0&Dx?Q$pVX3wpl?r}giwef4Z_ul zLP#NzJ{3OQ{Yc2CkqMTOFQ+7$8cbaV5@U z2Gm!wQgk4rWb?;2Oo60Tg=Z)L0I`)q2lzD7op97$6sGZ;3|S4X(F{MzM1vw;{_Dxo z{UXga&KS!+frWGgl|S2b4=u|J2VmZo+(9~4VG8~pTvG|x%=v~1d2q^iMKTDpQn+Si zRS!agdf}ki!EGp(GVY&s!`4ur@I|n(X)O09HKGCl@A%X15|66ig~Hj@PHiZ3NpR=| znciws`s^ras6}7`3y3+9XL00h%w6K^!gn%JEofhB5jEKGeb>uM){c&uDKPwJsDj3o zRQ_kA)e6|lHKezwuax%$#EI{8(Z07Gz7=E=e$wZfs2ZUlS)jpz)W=nFc9+Oe$d3}y z@-;HpXmF(Xvrr}L^#7^blgiges{50ZxryONugC`ayYB5ZG;K793r+FBhkk$kv}c$* za9ML24Qmpl!h6=nYor_e$gYM##9**Y;d5~%D_tk^luoZ2kcsW|hk7wmYAW6N6x@jz zLv~a_>PC|nv&wa3(C-XS%BZ@*xzF*~z965Y1F6~gflO*_ILq4ctL+dWwK1sc`zv_rA`=SHn-jNl z1M!wF*e6V=u_b4YXC!!pAYb^I((f*A_h=PBVo8Z(q<$g4`Gh445}#Ip%SBThNS;)% zV?_6db0oNrAph;NXd<%msD>ebGh-BEssU?BWI!YRdCTT&AOTTMsbpP#atBL8*$Go5 zSA#ildAXDSlMM~^2T8!!+#h#|p9}f*nwCdD{&8rDVO-` zMFqoZ>rEF~;qamu|CQQ5Q6I~#(P!^W6t)i;#5omM&8JBQd<^C_mC-9%34S9jy)*}B z5XQbSfB8ctq`vFSJ0}Y0yE!@bAZQ%LQ0J13@{<`b&z^ss=vw$D6h8Rmm6jbmB?jd2 zFyN^%r9(fi6!alLwoo20KaFg71}BpZJi{(|ru14^u?qnD3ItLl%T{WU1^s2Jv%5Qa zwp=vO69vEj0v_DLV?lknBz@E9?x@B#@j{vX9G?z8vH@{gDHTtXVF)o zMAyrZr84kOq0#!P;1K*5^_Bb$MJPeWtjN~a3BuxtyK^!FQtREJ3 z!C5Ieo$iCT*u^o5!_O~6tHwlYQcZ8g)|NhQHFe)#?zF8z#P@BJKA zAv1o8risTS*u+FU!n3{nDrDkU^r54A&^ss1%!!PX_V5hvPOdn!m+C=pW2&wb1zU3U z_iDFMbF!MGY`x_ZXj*vPIS2c2S=P~s*UnDU5L9o*ymWRdx%n1Qdh9~|0zyISh=LpG6)(hy7mTRhhV);HNlwV(k)HtZ{Q1lHSFGx`2!GVhf!jZ1VV z%g*A{cuZe>wGik14|=d$CNf)+Rc?#Igq1$o>VI>hQdGbtf3;ryLiG*As_h;9d(^LH z;(V7+v`nC?6fW1`L(2ra2^fB_{fb_4RCjKF_buUhD$I-Q`hmAe>aR%@*3zPS| z_b!SZd=m-8#QCRO&!9QQ&2rvCV5V3+F#xdE)$}p1pnW8oaR_;cx<2ldx)2|+b-^st z=6Jqi7r&MPCKFRu5M7V2V!sHCsRnB#u*MbyQB(F0`88f(@-82_I*)o$cfnXd_Tuti zA>VRn6(ez`-sY+Uwlr=ZJgq!MT5<#`_(Ddjwr1FS8Og+%L?lovw|Nn-w7;7qnb(IU zD4^7uUF)xQp#&PP3&B3B1?|&|ce`V^j@`UFr;)RrNu4|T&RG2Vw?uLx#h+p#I60gO zu&0oa%M~NYBDjE7Yk3q;dJLvRGqUpgvF{o*#h?C9IN|>m5FwF}*It-U&yej$#54xk zk>CA7R>d!=3mJaj5usBW%mPb{{cL@XaZKiDuCWEgfS&Ck49HjL3E(IHUh+G_0z&Mj zo||`D+;6X^T%~_2e=qrkee9@ih72gN9N}Jo@p!C3kCiNHqtKFZ)Qci~l(Nb!C5f|a zXIs&nV-_z>sjr?KtUN!6Wb*+$Ov5A#YhC4mtT=r^2ROo0PmBlJl;9;OE3;5SmCau( zWI?{s(I{eK*GE^4zE$W1Y;j- zs~fj><0m}_jC=^ibhT~2j81n&H{wOh7`Zfwd@ojmlnha)FIC4rey48G4hvU!27v0N zcV|m>>-#U|qER@2>O#tSLQ2ZAl*&HCiI6xxE961GnNTY#U`_Q6fWIPM0xDX;x~d4W z&$6h3{C%D_&LSFx%z~ByY$|T_mD`MH1$nxt6#pvossr6JJYbIW&j4J9iKOFasPr1f zb}~3BVKq|qfl|quDlqj*a5#vCSDk5!t-Au3(G4{ts+t&3fn{0y1CxNQB5RpRzdDoD z)H8n~L0-f5xYFu+6%t$;jJ>6;uGOydPgqPi005YEwZJOE&w0K{u^{o2nczLBob;A` z(#t3R6O>mFX!b zN7=+iKAnv8tC)u;BqEQ4`pElPD>`N#%eEn1P7XoN04l|1NTd|i$AOpO|0KzTEdhX4 zw-I9h98?l*!HOeS{Mn#Ea`pG;@M>L7RzXf*e#OrJ6~}+V>}vNXm~9>JBnW~=C3&)2$c)lw4YpcA36?gK(v~@rV>f2Fh!1m#bc=opYrrNW_p4Ar1EdNcF z$CoUGvKq3G;JyditA*=?xTa<^hC2O1C%oPOx1E#~t;{|@;Mq&Rv&yH1lXH3cn^9)M z(V897&kG}u#-bIM-P`tRGw>CMJQv3dC__CX@&X+K)OL{!VKyL<-#9EZ@%=1sre z8$JGBberGyXHrI-YDI{og>%i^xn4W;XQ$k$q?ZfQ=9h2=co@vyO3~b)tCY9dm5U!= zO~}zk0c{`d_V49r#JnFa9vI>T79Fqd>`0~q)+&E*+DG+u{EVZP^k}-)lT9D(#j%p4 zt@C}D+iyWGoDDep&j&HEo zEnq*sH#Vz{ns2%qoyJQ^7WvulsL#xawicLN&yw9ny*kq(M*{^0%*tNSdMUlf&2DRX z>@zzSrjZP|U5f9OT|2s-9R@}N86{;;?}ugPd>$@3kUr{EMDPRGwytNHo$oy!eQs%Q z`WjU5QPhT*&afFgy%+C>Z!P_-#w)EVf9gvkBkD?9T`@Hs$0mypIV*7iF#+$-+iv=I zRV4l0U{wkRy8_bwZhzMY(SUUf4!6iKC`sUyybWvHl}wT#U{RzLbgZJisXDx$Vz~_1 z8eO;xdF+mKh~hiWz8>|TL}Ami6op145cAi;wmq6pHy8H8B#)P9@3Kf1N%;_B0sGuL z32}$TL$Z)hVYiRt?>xJOMjvKXs2*-o${*t&E#mH689(^l>;L|dZRl0G?dh*&8=Fx~ z`~uc~@h$l|;7{8yTFYuAV8L%azx<){@k(m53?d4Z&yPCjlKkGJIvZan6e4l4&0Tg? zTYfju=6SRRFDu%M7~vn*8=d7{o8)88WhPa!Cb~sgJnaBpM^v>!AZ^D(WJWjH4^!wG z2QF`C5ov;zg==foh|EJf$-25BN&kxxp@hqW*0URxJ5IloW54(`h5VhbO{Xf`ZRZwJ zpX{Aa9e`4s+u_l>0}VOLl654N+vJmi)H5VSd~5os)9aOa-*^b1DWaQb06$uZIm}RUoM9!-)r*SCOt04Nxo4pegVNU<*lx5?Gf0K)wob8lbW5$)5^MDVGp>8 zGa{PSa#PmNpHPClKb7j(+N_?nQ&yyCa7__?YOr*gT9(s zFQhDzHR%3Bt;zZ&lr`L~b-6LWNu2i?;LV6do1W0)e2bmM#YEe~=0-=e4u*vu24_Y= zpVImPpOJV2J7l8pW>liJTsJ>ii&JDRU2a=%nM_I zL;FVmCby=w9I@|ApER&MpQ18N&qvU3yY{FTAxuC}@@b92D*usbPvdg;+3 zFxB49otnbe>NFj0ia6e%6G-xrRKf{j{lkFFrhu=T!Pnb=4C~X=(x}rWEG$e(feH3I z<8tAzJOYVCybq?$fQAsrdG`J0VhcPfd9qp}YR;bjnckI?rEE&b1`Vo=wZtU zqvICex(Yu|)*F`Gnjcq6=tR$b-?b=yvASC6eAB#UD z7J<+8{hoZ7i1NLyXnIRSymN7YwL9q_?6oh2;TI)_m?|l1PW9ccLYyZ7;>yp9E-f!WoM7_`nMaf%1w3ai?Lit`w;Z= z`mUhNFMKvZS6vVK_WO%b&hH3?wHnLT`ufsT9gO9ufF1M}JjqZ7ESIR$Gbj@%<*}TP zxnb6od!E|*mp+2#J~x;77H$v0=m;h| zrq9uVAXavsneBK*3sqUOEg9O-O_D>s4`q&c)7O(#k-_@kcL8h{zq1EG1p?fvA5WEh zV&u!_n{I!>_6hh-JHnRmT7W0ZZ#=UP@oTU6%C5%q*Fp0U|8%3(8m!uXWKS5IA*2p# zqFAe2Sw0JyfErRhD*a`Gt^PH{1hjv|;YD&-?sq`jlR7SR+V%U`_E$=ibYXZO#|OP( ze_pR+MU~o;M-`f7VeU3SxD1N+G{~%IB)lQFqFRtVp0u+1G`}9YekqUKQW? z#QLqQ9IDRVcU#aSQ^p+jAZTy69LP?e%N34RX;C_%=`6%NtmZ;5mwlVIaY`3j{xI=4 zjZSN-kZFea!=F+693h!iQxrb6Tz+run~|!}cGIQO{YNl$f-Y1aOQecs{($T+Kcr1P zv&}V3YrOjnFFpBZCtOZJ>Mi@mXu@Kzba&N^7qB-dPv=#5Ik;_IY)Hjy)C{w~t0ZZT zlhby_NwXH?(<+hIJr;1$Htw>u%;wOK+H};6Xf>9b#|}&+pm^E#7K?7~I*Hr!P$qno z`{Tz_n50UW(b=NA#kO1_n4}PR$r>~JX?gH*R0aLe&yc?z+AXtTCp3*ZK#6ynN(vy370&fz@U!SY>p_ z9v~U|Eg44u8urZ${L<^dUF9CeI{G#OCob6xVf4tJojQ5iSmvj6ke4*gIX~pb_;SHC zt958-TuD|MW7PkG*3*dFbXQyj$mK9|2n*Mqdt|<)PYNe`Np}aOy{|fLyr{YK>W4Tu zeQyoz?tEr8eVC?~VSJk3Bzrqw?YKfVOs@>R|XgdSl%&Sn>zwONHn zl_>C7ahHoUo`VoM1mLQHy)P^@DfrSD9*=(?_gm_WL7()|q3FdSU-In{Q`oP72L}<* z@YKlth~}%VRl?3pqlf0~$=0&ftV?D|x3-=s(Q+P2q1xG)?F4JxV9wZ>Abx-M7Y0*qTD={u4}l}Rt|-& z3gZ`T-#I;1PZq6!!{pkRer#MOQ^%*%CbqgG@r>7O7(uKR3UXGg`FO|~`I34$eQZl~ z1m8-EIv7hb&ub-lrN8w6ePYc+Igik5_4G0O%h4Hf$Brb=#Ufu2ICKbA&YOY|PA!SQ z#^18%nkG#T)@6-(QkJEn^!Dgtk(S`H)*6cI*qk6nYGK#!GQ+2`rb~h6a!jExs1O?b z-&_PcIxOnvA43nfBaQbO^J#-nn#YSuaqbdT{h5lvUvm&7%x4_Mq;OlGO%taSp2Wj3 ztHL8p5g6;#_3@%Uxp2*EW#c!! zh(zVfRZpjUWw5*DTcW0W;DeSmjM<7alnRorW2Xrn_p*$2T$y2^#km3SwxTGt&*#ub%vT3uL3{1zCw{OT^~jGq zdic1+P<3;#+~JUUt@VCw{?f1ha_r&vqdzU4w;JiegA0koIG#b9gJAjZj;zzeHhlxX zt4yJTP-Csu?aRxv_DjPnmfPE;Y`?3SB>sYzmxkV}`_~;YaWpNrV>NN-C-oNYS7Shk zn#Xz$rB69E^%sv$^zsI+MZY%9q=D^+-)(V=A+r;E`#5ho_d>pz zx(6#37}&wlwM_voD186A49DP8JitGlSy@yHiXvp0yuIji0CnFh+5 zCdb3^Z*_#H`%LI3)aB#qwwao#F;?a&EQm2ND%Ltp0Rn;JF%HTfm2m#GCJoetZ}!B= zq%8sh)Du=&$wCk(=2I3RQ@{z)?jwRX|F>`m%!AXtg?fI<9c0fZNR2QzN9`dz;=hDb;vwO60R}1$*tlrD*aYJc;AD&s{b1FgG>3eXv%OY6gQ$FXjF26a4 zPTSaKrGRDOVdQ&n9H1%j2kdKgupeM=T7!36MVaK{bNHxEwcmU`GaDv%{=*c^=XGEd za3h0fD3nl4+xjR8ksv0B_gG7EXlADO!Mlsy*+KX5)Q7fRsFXM(SA@}C8&k=pP1h9P ztYAIvEwp&iRu-lWFE;tOUeZFRYi@CIY3lvouYFB@(XevIUf$y8aBxX`z$D-M${C~K zYkh@PdS1C=Ljl=o^4ni!W=$78)hN?fB_hR3CQQSLjL7aG*UE0@V^D@b zZFvIRF+Md{VBY}x72H7o3K&m@m1Io!0)k_$tEa$*i1xJmB9Y=(Q&jl7`dnY*eOFnp z`3sGiD6|(ilJ%mg9>WGXBgv-c}(Wl|HiE$g7TM;ihLPsVrrrT+?WV+mP5pdLAFYUD2J2nNRp5sIYW7VYc`ltu_cNaU%FP3d01 zz3*E?2CTL$?@X8vn*)TieR!QlBEpMA;YHq_8kfi6DW8~IaUI%DTaA=j1Zaa@tSufE z*!{2_4D0=DGz;a2lE*mpapN|QTjxg3`C-2TuHzmTCfNBl&$bFK7KAQ|P8LQt4IzpY ziLsL+tvR{W&TyqtKm~s zd$D5kGykRQHLZfRTQs-GW#&Ock?vduySo$Y{%}?FWz>DZ%&V%D%DHF7Nd-G36KO8n z*4%_(aMcngN2Af{3@nNS?F0W9I3|o~A$YH*CRs@0fuV7c&DKEbS@%UxmR>jri{c}h zh9Oa1esG>Kk0W({zWUI6n)Y^xmLxg1(BYz(sCY(kU-N|=vQf$@^Q&m>#KGE-srL{j zBRCAfTmEcFOEbLadwXBxOnTF35`hYxtjVWT(tCLQ0;@^8eKP!bv`2w~_Os)RebSNR^Ho zfB9mQM?o(0FQHw{) z>BEcTShWeCuyeh`=Hgc=Qi(0oB}EyRKO@zcmlKmzhWDP5sI<0kioDX127fK-%|DGj z8jBt7;JVaLB1yLyK47!N$xqItN;}QGAm^FT7Pehw#NsoO$}{~F(K0quW%JSPm?Cd6 z!+(rGbfRIREt~k)$7QOPB}?8r;DxOmO?+Ek^AD`__!H!xld5@QT6PkFo4+JO@ zfZpz5NYcRB(PSIPWB;Z86-x{UcaIgO?tb-U@ScDmb?>@ajZ_O`HxquU=}u#@3MO^C zee?N9%dLa{xn#2*ZI4zTWW7v(8YrGV=_Y(=WE7fqKLEV_>u{SKW--!oZy(8h?pK=8 z^tj`!@{zf9sR@o&nZ*y^MM85g-t_sk*V?viwU1YT9FB37~A1s zb5Vv1Q?wLTQlp^R;l(_Q|68td{5A_yixpWAXX?-?qm#S`Mr0lC&OXz($ncSrbiGw~ z-O?P~9KloGs)VWjdBNZ*<@X$QqSgv>OdK_~xsy&tX0IJwaXoLTT?{86+*sAA-26bbRpsGq_^)A=E$+sr88Q+8x6&b-k3 zb`N5pK%lO1{A|QD{jz|^2tMJ}o(J`iF>e5-a_SbZdKQKZT7FqtR8lMQmwxf65YpRu zwX2-rLM=VDxF|N2Z6GoQg(yRI8kMzv`;1;5*VCW$=pFXj*st?jvRYUuzn9$+dN?Q5 z)YnI3&eo}W8oPT6BR3|h&)2Xm;PA7dkJkCGf0jzExSQt_>^}6Gx8skyei$7^1JqPQ zJ3?(^`>zL-4#a)fzv_gAxf$GA49`vlZd8wc!Z8S!+Zic^kEK_aiUH(pS+Getx)bSG zJW9U^<0wn93n4LMd@Lsl)0eia^V&6ZSDry*Ewt?#+B+<&Lj7 zhE`8tPIP~#zNRxwP?;Nb8>p;l#&6<5cgDima}HCfEB1JfrDFEXkgq zq|&McSai&kTDQ=6JNF78baYcr?$oEUd?IY-_V>@9^?4R5m(<9jV6hVd6c>%e^Lye- zTvRl@cxLXBkf>bVoPaAlJhl1eMr;AO;JUdC(k-n@Ql&b%5WGEnxFy~iz2LohPoDTe zxw}w9S=Lq)vf$C$T-f4tX=4F1tx6l52JL)|BKm#_yfMOM`P)-ChV9p;J*6SbxG*8% zKaeMQOfTj9&T4x=V=d&lB{ZxT_jMa43(C9M92SSWtjOYJ%z8l9^_w|0=JpqCY1F^&o(>nL zqgpg6kKHC;v=J3*7~T!7X5K0FV3@yQLTs&Dxk}2ng=QKkbQ@EGVC{cgb(0f?1A{8+ z7Or~8Bp-KcE~6wFVg|b4X>`WSZJ#?znOqrIHzfBs)g%0 zTf=#Xx{ppqJ+v+LRjX;MNluDAm@jQuU;9j_MsTz+-LUB~C#ad27t6?R!$tC{(LVX3 zq8_#0V1|aDt5K`tt>QvhNXvlF;;ZfazJDSvBL+>6%eb_>FvbPs^hf*P0_0?;THJ+d zDR@V2^J{3xu>M@bo(+^8$r^A&3L!XVuIYa0r9u2~txSSxd=WiL;@z@*6gK}$)`BBZuC`m z^ZKr{=i^o+l+oIF$1_t#9AVd%4*iw_K3H|gEAbyH6ZGfXk(IF)&NOoOLaYMyWHD5* zRJ*L<)GTmn9)9p1MwTD};PTm}^CRS-V0*%Qo! zOmWR!(bRtuLvgDz;gt9sFK8{V-7o9+!xHm*4a7IER3{G~k9fEyNrPMN&W$h@(6J<6 zRMnS84Qp0X;)##-%INVxbi~Khd0!ATxu42p0#PARaGa377OR;u3^Q zq#1p6;uTKfdH|m9_R1e0kDNnDSYqHcQ1ib-6f4eU7IggVEUvDaoHvmv!1+e@L{2}4 zo7btSdFDFl-9%ii^4=HOl7(E!Li?K=OV~^bs?WZ}D&AFVXUM zgV!Lqfl^KWk6|u)5M40WLhr*=iyBw?ebo@-JOeX~ldi{Ip*sTr}aAXaD@uzFF6yi>*1!qmzCD8Zgzhd z0KLyZ_^PBbGYXJITr%BG)%nrhYsy$ys5~Cwan(4(g;+V@9@~Ffud9Fw@Sf~u4?py< zDcwgno3h)6D{Q3DCO~9zdMpygvQR?Ng}Tha%VSVtm#!r&VQbWM`ux{%ns?Pte0A-) z7m3&Is?>JL3WmN+k4#!nmB_vo91biC!xP5)Zn)UY_f^V&mKjAecg<;iw;o0ZTbnci zss8>PwMF4uKcSnB$X6`R820Ilo{ibC5~i98-&k?yw?4zxbt!l5N0}y%Sy!$s)05Pz zk^+DIE}d+V7C1GZ4($*n32wPr(b}2WM8^WDQcCxgTFH2sn=%)r<0%|T7JRID&z3Yh zDC(z#WpuS?gxew1@BDGU$E!w4ES}G8d8Dc?qsPZy4}*?#{57*kv0V{yNcDKfyl%LV zU&Y7Gu3&Au6}@>FeFMqK3FTO187cRkhU^+l;d2WeVrb&h$qp3%jSu09O7##`zhQqK zwa0IFj-ouPbznwdO--q+#{yASZfZK>YLthtL;j{&uV=WVlgU(g{w(sU@WL?UNL{O| zO82m?b;D@1Rvli~ENnd^3Mfti#o&b;v(uw(FTK=%D@c9G#gU7FPmr4HXaLWb*2Of| zU+`Z|N{rhX+f4ys_W1l}z+Vne+H_K_ z!xjNF(jm@Q+{0{e5QC-jTuw8Yq7;pToatYh#?}wXN zhu?`Uqv{T{1f-K2si6xa^?EW{-?&X{!t2h`Xv)1np`|Mt7nw~W#YL%5g+|3BJa=Mg z>Jr>S#&oY6XWgA96zHcyr!X-R7Ioltp(M9vv;ZdW!{X-@zvn3tpx&0#o`5}q^Vv_B zd-{0O65OVM=uv2S%M^cTw`LE1x^LNT!^yC)Fet_-IuYv7&wz+6FYpowT=K)W>y8^^ zOh_hU-#RkkX0q%j4DOzg93JD;tj^W~TM$Z5K}BqW*U59a(m|`Au9ayKon-zO+&;7x zNnnsi5kbUmF{}#&p}Dy_91_7s>z&~{i~F*#NVL>V%DYZ)vM($!TzzatKA3+vt)WWc$V-4+{K1|T~pkj|0@oD?1ElH^3TYb3o@13NFS#mWqN1H;eoMfHZ^BcAaE>E3=W+< zFgu9dXgeX1%@l^;LfrC;E;1Cs)7>kIb>q=irRxGRw-P^d^zoJ9inP60meC*}KXf?5 zWpFUJ>o?(0Eb^j{j$;^c_`2S3;hKIscqOA~%WW91@}msmA$Aw-Y6IM>^_=2Dt&hL0 zlIRfge1V;NbM#W^!5p9-9{=dvK(ho8f{NaO&Ycd!D8jS9(GB!bO=q1?)nysR1cX znJxWEiM-e?g{RA3|8tG2ZDYB&bLzY@wHqGc7To6(fq8NHNfo9Nu3m7X-vl8RU@ z6dk1CY{~4F^tIF|Pl$L-)M$OTzenLspaO3QnKX(M4hC7xFv5#|O;!(VGD?&p2q$$x zyNufUEGCsaABCVbB&89ANdtODSJ(O6WA2ejJ=w|npLT^}Kyu<2G>^|dgtQZ*?&P#+ zeDM2=7FdfXe)ZSlrFeWZz%X{uUi=Cw7SNSA(qq`OUR)K?ovJi(?v#epkpW8-L_S}VDS!< znjXtIzFi^Aj(XUx=L$>HaZxO&=jyQ<{nVb`stYoyj2M!Y@zL6l9KE_joWMl5_jd~g z2ce>FMOy52i@Ksebo%+ZIc!Y+Ui(;INTTtIK%8r3ZMdQf%9r$xEgXcf^OHmJ2u>BN0HVC{PjP6~v;&KO5)-68R>X(Dnzs}sE3R*Zh zJ@+hbgUFsggf;5N8-q&&+ods#t~=wx@SQ&vi453!caAsYH&jsD22N9Yw2`L3k>4+b zAe)2q!|jJMCGNS_iLN*MnEmmEky|m!T4?X;hW2U#&hy`|XUu$_xoPjRuB_;LBi2eX zzQT#G<88C?X0&V6rDL@dMkwpMKtn)+uqX_|tt&RVo+)gY{adqu{VU(Vj(%gfS-a1} zPZ5}h(37?Dscj{Kdv`;Gil(z{E;}M4P<6WgfudRjDsKu#Zn_`Hymosfy*4h-$g@n( zKG5XY)^6|i!p!EG7=Rg{cZ>Upry?Y06$Ni!*HeYF<7& zl|__suO7pZ&$bB};-Yn)E5};B!+7qCZ4HukE$+WueagC9idKqBuEi!qS{~XNGL@6- z@H^YCR~Ant`SIvXM?@xEH%YgbCo?A^W?cBH_)V0ViO=vnu?~ zr(r-I<=;(Q1apy1vgq)xE*b%?54KD(MNQSdh$f>5mVnnE`Okuij9q7cf=4~*e~rh^ z%=}o^(zi+WM+hHk;AKEXwAP{0`AqsuBVSVHj@WbD^veFdtxgepHSEs6zd}D!=;9r) z9@{4N&t3o&_AYs`go0>zw6TGGJo0eQvO@gsd$(>+M!xOQp!e{UYl)cIkQ{*>e_HOF z>Xhg0sKW5!E-wffkrI*GKC`^Im$^T(<7MPVOh=cPNlzNCRfmL)>;t__{=8?*aKLf! za$NMSpxnl<7G1x%wBQ{*qWh3`OsSD6x@j+d1fsULZ_QX<)cSw#0tg`Ji(`fjD-X3l zIjI~dr|DePz#r@r5_(9DtHuwhLNo~MNb|dXbg4JE>=sU0dz*gs-;Grr?u46KycIh3 z`sG{YUDh|&o06+Y+dWg5u9DY(O^Ccw7wx(w5b&UnRJ`5Tr|=!MI_+uQQ2M1l?{ctF zlHRb<1sdhz0xxx2$LoG_(t4xUDCu5pFf3h_-lWXLZ_peiC%|`_JcAqv$&%IZa$Vzh zt(_rnVU6zhTbSVboQgars^+C2BNjpGbxHE;4jIZIR?NRDK7~iWP}+%`uVUE0RvH zlm#Uv8?&;W78kT&U&<(14l9zHuVoq9ds2C2rOnf-a=dW{Ct-AHM&rIS-7Fcb(v~I zZ+%1&c+?@b$fvGqMy?}j*tA5|(!9;|0#^Y|j1r%Uo)gWPA1f77CCiVsa|pJdR5J07=LUtbE{*svhN5pyYxnvORr(|ifUdNR2T0>i z^nXSF2hK3&q~)CLo^59%Zd~sK(nCo@_E`W-rRUXu-?m2f~<=(Az zY5I6x$TUWErfOnh`7MfZRh>5TuT(^w96KmYYMqkGU=k$V8OlUcwkm2Gcgy9TylQ^% z^j1%$dQiD*v#R#4_Q9t%X&=8& zv3+@F%;>B-4T_3Qd6`m>?wd@3Z6YuQFihk9h4|L%FE+#5w8m$;QIa;FS>a+&{H@nt z()@^K7JD1JTE6nw4`~lXtI7rMMI@$jO`mD}#v31a=tLvhHk>k4w$%0Mef&E(x3nr? zA6~e9%Yq$T-3!5M4wUmMkg46Z^EF0axP8mau3p(QvtXjCF|vve5+CuSzxj7_Kd1r9 zYv6{aL%iKQy7Zl5OG{uhwDUf?CXr`E>A9dPs@WuaTIGJ5{GH=zUJ#5Y4NbnwU2Cq>2s5VR2We z6z6ujQN4@nzNm=1Xe87_I+o6cZht7YZ?wtjnby1|)Ci32N`5z2X?3-c~P_ z#d1&pAR?^`&PIR6>;4Bms_In4Ip>;%=bFs4zgOt0xvh24&lok2d4YYtA~S79;+i6Y zMNBLb=Z5d#*TG^QalUnxnY{J-i*a)+=kj*8TXE%^zU}+^*qCN%!PZVr?VtJKyPctL zufOs&5sM4XTCfm8rP>^kn9^Rq|Dlm(SCe68PyO%@F75}_OtjCu@J#9^sQ|rF%Ydz$KhtJGxRyh#~!QxV?A%xg=8kZ*6$xQI2r``Vk?8+X6nbd~0HX4CCiLa-iojD-+heeo-G?f3Vp5KOdL86Y(v3k@8@ zmd+vza`L2I*%=*7YD>wf$nwjv4#G;!e`^!l#X`EGInB)pZ!igFK22KB3v0IGTxO>EpBzYum|6JB z7k+#;YtO9}=i+_sB{q-c8z*(O%@#uVSD*jCybqEB^t8UM<{A1X@=d?B%NEyI0C(^F z3p4W}h)C760p}nnH6st?$ly48;nq`mSyMah9Tq$JU(GFuY7uWay|h#R`6aZH#PkUj zr?RKoe6{I<4QBJ^PVS5425eFKgBNE9#NTuAjBzS6)oaVEYUC!`bT6hlhsCXL=xmFY z9yNZ-iKtw0I;&izi}g^_#)RgsP>Y7&RXfMm<*=fhjOzq@w+aL7e3K8e7u?*4tRsr5paF|7^M`<07Y>U#s)1 zTT20hQ)?oU<#c4{)fGZ);4?FEaUBCu$?LH?=Vr6&Tfg%Ub+`;`PAIIZG$xpuTl8Yj zT~)dGzwYk`6@r+>r{YtlotBe~fzQp4d^f+i2PrRX8uI*mlM_?!_1N1k&39Ug$y(>$ z294(HNM~*H^VDfA`*#Q*055(Nr3WFIE%i zejinCQ2=rOIH#3!CgPla({K8BI$s<>ME3V*ao@)v#cc6M)!qEyw+JJY;fa@sr+*|b zY`XZuVj0s@FCNX|jrSw+d0~+JQ#-!kG_%uH)u|p-)dyzP%sH2Df|Muhr_IfMj=3jx z9NOu06Pk*u%-S>Jb4J`OgW<*E&FdH0cb7Bc7Z<7gn?;CBAXR}aATR}{hG29! z-hK0>)LPg|FGNiHS=BU-+EW@B%=?gImw2sKRlV`xw}?pBm+mw%KaSR$JHj^|PZut~ z4inY(4tJHa9EUjPWbuLIl=*@ieAa`9VEcPj{14Ui8O!mPs=IdoM=trO$NupPw{N9( z-_R_Zu=EJ3dgH-InWaBWW+C_xtbI$N=4-86LLL8S=}+A(B-$i(U1nn@BF)WtL{yxp zlbO>i&bg+WTH<$^G`DfL+;F3Yuxv7b%iBEshTF+gyn4YpV znxj)uRVOM^iG<~VHf~j(q@O!~O=1IZYs1ELIO0{h5}p70mplKl9saxye-?IVq`xOe z7MqTAFXJ>cnPN2h4@wA3fgK|r9lmS#KP-8Ud~-)2NqI*vV^lNy+LwPWgdk!~Jvf#4 zpJt}o@qFDVgrNCmN+~AD^YYE)k#GP0ZXZrAQUBftC+gIhm};7yFLB+|(924!cb@%{ zs#KLr%NR5p?=;VowQiRgO*a#=Fq@?ayY?x(Q5EM@ojT_p{^sxOX}Mcmf|-T4UVbs8 z)O3-PuKG8!$Wl$L7D=s+F*RFEs_*2)&xz>L-H=p8XZiYaa@)K}h<}=f({TlL@oBpF zev7KAckcS~jQAv8?YFEPt>e^T+iBB%=~P5-xJ3`FwIgba^TppX%f1clg7&z?NZ*FR;fHn~rYh;tZW#^2T_|VO;vJF zahi5N%q+a}{4d2dH1j5irMcnhp}T){6V=p878A(kUMbG0sJ`{;SG#`5Go5vgH2>M$ z`?^_zG3KT5dpcazRPs-^W>s4;AIwbB@kz7wT_?X)+jF@?2w(W@Uk)M6Di_Q`2;PUh zvaN`yi#Oipo>0RRXXxMh@&6-)ut-aD8{@INvd1M)<0rLsGp1nT<6P{}>T~SyQ0s~R z%xg9KW+Pk4olk!xFR|OS!Hy=jOY`0r%bv)6s`s_;3boiLacxsOYHoyO5*9P~yWgm^ zdO)SBa-dqpp5IpgYb*pG%$vkTWps!85W-iV`CM46m!PUz#Z>}QJy^#p zCgimmVjdh_v@XHwMVe?tbPcgN4P4neFvK(?_ij?D&hbAXZzNtbKeE zc4uK_?kA6btBA-AO>L(shEp*SZ&nAZ;~mOcn=qQhG|KCa#JDa#>%I2sANeT6kkkh| zGMmfd-dugWf=;`+c~3}n&$+8<>44ZopBhVRGoQO(7j4LEG%^oS&P<)ip2%xodb+pA z>F^@S)wU2L`n@@V*mOjD6X&7H6hpU_>LM@&Iz~b|1@FB1QoR399&^jm4d(kf;tzfN z-;HfXan5E4lINbA)8S2;{IwI>{#%_om8;GjI5$hP#upnC*II2)%(te2wzKW& zPwO5_s-o)BZT4hem$u(>gJ*nuA|{nV(kh}|Z`ASwi>MQ)QaSxVx+zyvIo34%)@Gr( zNmVX15$pJ^o4(~$A)JU)t)IW$@1u40@{GY$A;$8GebBM#Sau@T(9NZdl0bh5Oo6c= zA)TP6r8LjZlsFYUCklN{)qm}U&#P(-Fi)gndi{Y%77;*~G}vPLu(eyTL~o{B5ACw< zo3#4sm3P0jN%2`05kXu@kf zw!Udc&$_i(YFs~{YMs}XJC;MR&?M<1K`dBW{&pODe_7n?@$YW$3bo=9yZT98{UGet z@PB^}H#QyqzQfu&Ycd7;Kwt_C7Hyj0!MP+guC z-hD-ySf2I1h-l(t&1Th&2R_>QnxPk9X0PA|Mp>7k*7jED3AvEhlx?F zTeczh%2y)u9_|Wt`eSz4c8U@V{1yH{W78q;GHi$@Qw-F$A{fR|z`96Cu|hK<)s$Gd zW*N;sAG8?~r-E!gqbk?#f4K81ef!OCNb8o(&D{KalX|^=|3d?q4{jlZuYCT;y$|_p zja{G3c8aP#^zq-hPQts z|3`LyNN`dJ;qDVZxpY4$5y_F9v=^g1c@@cVyqLsWFg3|(w|%A}CjvVWQ8AUdNoapr zMNGVjx47JD({GudRhQe;ySQ|7ym(ZqdzE`|RyoZ#hH83AXl9yG)xM zuen`5-W5u(I=Ant%DL7xK`fPo`Pcxvd{?NE9R?B2>kv4RYReDZ%_}{ITICq*FVexq zrVNt`(!nK+dO#nxRag8L2UZ~@#YWQ9@22ZdwW^%Uw{m~yjpzG#%=G_Fj@b<5bGXrY zW~(BznUmuaZ>6;u;6P3m3nAYor`b0mvbZUex{D^5XI&g(ro1<+miBrx)wgwH?#s(5 zx&6kkKAC!ILr~RV!TXTEN1N%q!#F5tm|3`U>kB^Jx@&1c(>%BP%(HjV>S$sTGt;KQ z(~h5;U0F=jPuG^KBECIwJWdHWt4-?I0GnGMM-|oOt7rbN_)b`*x}RIQqb9f(iIenW zKq>vTb>^!_T5q*dy;4;-XVuJUx+hn@%K2vLhI|NuS$rd>ndUzls-hBql~yV*J@NSV zAHS0y)5%Z4&JFXI>9AtcVeTVb2!Sbvh`n}Aec};Q>D?k>}Nk6g108;%(L&$ zzkgD@jngDZ`jh`Za{qktTK^i*;FJY8v8gh-9&_X5QXo+3=ugYU^zNs1tRn z*Hl~YRY{&pVDZfuFB0OhSS(7=Jm1Togw4IGa<{3|xC+O$$}L~T7I%e;E8fO~(kiU6 z-4#ltxvo0V!>ufDdi?QeJ4MR?hY3T8O$WGZa8cbfnF8HvPp<5%O<-X(uUvut5R#&6 zi&>D(bm=(OGqZQzxYO5Tw%5@UGm9OsT2=1)2l|+rtxZhooHh?Ec~COkz61~qmVL~J^!J%Y=j$rOXr1g6Jy5}vkm*kgaj^@0hwJaSU> zDy}ZtzH_>&<{`J<_`t{dc*M%3+g3MCP%*L16FFUOP3p`WuReF>U{CU5ooP$NhIdYB z+&PxJFVno%+_IIM@j7D`e&bi4Ov_Z}oHRu$DxzX0AN%(2ljbuWJfeu0s_ySql9N-H z7hcRu-0IB-AEo1Tcv>x`&3g^4x%tNr*ituP^Z#j1eh5~lg)A3ejfLLRkyj^9^}waO zB&EAS<)>B04r`NqVN8@x?(ud1E+h=RhrjgvBml*W;VA_$Gbv>v@Ysm z@q*4Q{j_*q-)Qx~w!br^N%|IhU{9=;Fs5rl^Q+8>?CpGGNKTOrXFxIA^#%-ON1zKd znV#bRXYb9UExoFG(K+Y(_BmCRYLiMTFK`i2u0bLaKpKr2@OlLTL?YcC>K#`f3W`rq z?r``-1g?6oLx<9+*ueGOF`l9q0fmGlC~4?K5fYk;0rUZZG*zie^_85nzqRIkf6TS! znqPCO&Z#<;syel2D*NoczSYdte5?7b-;0=A^#B0sN5AacdHZFTUA}YY?H6Bs$?o0v>U{m;i!V{nKAy`i zyZnwjZh!paFTdlC+eJYA1AtO;hMobyM-BiQzq%B)ar^d*Ot)RT?nMMmLgP{Ycii#W z$3Omw0C2}0x9`~Th~2yI@t*)-UjV>q_=xS>FWS5J{@uIp-Ld16?b|QX-~iyms{hA7 z{&MvR0FQtC6P?d{FT3oCJ9mC|$Bs)he)Y3s$0Y#bN?S^MYuCLRKcdV=?wPDrS(82XOwMrW^SsL8)l&x+ zgg`MRJ%nDcK@>r|6>4=~ghFQPkT(m%vD!Rb%_L7y@W`P(iKW~GB}7!HR-y_&;?ftb zYKkHPd++{7!pi4j5xtyABvYllk=W|52~9;~0051_JTSBBpzdCiUJ_otUOA|S zqsmuG(GpKBmAY(mk1SoEgoXN(Kl$5>F1mP`$muIy@x!XBWkkRD>a(XB^YJ5{$xO~3 zS;HOmOwJ0)_Wru#9U;cC;bWCVnucNm00;q@g%At9@f4Y~lzqFu%*-(*yRMxOli~#K z;`q}oa%kUus-cddHdr(F;mcJ_R764`zYsP;C?X=#W1sk3r2r2DF9$^(_>oWl{r_O* zb@PqZK4n^~=oxAxKtQ5X)EH)Rf}&@rZ~L!5&df#t9Gt!%3)pKq4nK|6gr$g#(hpBY za<5j=0R%({NP>if$fc=gDmSn|E091~Y3bQ)>v%rSTq%78q7XuZbRNPH*dYX54G(T>?piz`r9K@QVT(qv@dPj{>5MX$+DNAwXAyC_c^ksfAJT8+O3(H z%;fBo*`fQHJp9tNb|RveJ~5}nDP_olnThgH6%&Vm0J358Ma=41x^gU&EMq2CL~=aY ziYDb#=P2bOm_UdKENm%ulU_2#jv*J9$C6V{Ded~&CqN_+F$+Mw0?W?n50X1h9#6U! zma2{v2!+{Jwg|f2TL$8SUU9yMzG}O?ue1A+tXb^;C^WKSiJD@!Er> zm{Lm2_2jeGh&N`Lxrg0)oUPK&1d(!UT!tILTcaKN9{HwXtt^Tf6E`d{us#9`K!AMU9qMPETmwM4g$e4F^-%bzr$$RcY=|~D zMC5EexDGtp#Zb8hoVsUKSK!T?9{i(UKi%q7IqCW5Z2r1N*NSpHXXq!Z&kflZ9vBlw9L<%%%SRNG6vxhDAkX#Q48kN$A zGPu@a_BgDM&F8)64UPvQ_u}*gr4(16FK|$1uk`GxuCZ+wcB%sz zR?ZZaFP#+KcvZ5RksUELm{W`?r4$oo$X1XDW2(E7J0APO>2#V>BBDZatl8|2x+hZ? zb!aWcR6tBsl=;h_`@58qG(ivAB4&iws&D%vpE#XPvz7>2GOD)nHjF$n7`{7gpa8Y$ z;J#fVJZUD(TgsO0Tb{nqB`cSSpWbKfC&P-DiIKt{PrS10!#o58Ke}oo^eXm zBfx}>v%*neS`iS=G-OC_`$v1rRp^T!UO@t2M3FPWylGEPns#dKYbIyCoG#kw!ws9B zZ5_x=jv+I^^!W0Qcl@^hDPuIu9vKsU!x}&U03ZNKL_t&lC@%sl&ZzE&6-pmw%`P6_ z?1dEvzxHC*1Nc5R=pv-UM+$CwRM!U?p7#$S#2Af9>XFBx%TU!`8HziM??d%mdIgTf zQh~*@xVVUjyLaDr@x_-McXFfFX@}l?x@H7GNim=Q{Aa%NJD;*Di`bdWz-R~xj{s3x#ha={oZF+>{4a#C!h4Vi$b7kuLhwI3gP9i`bi>s*0Y{Z zNFVv=^*TuDu?$3f<};uF>R10NksaU8RK#npdAfGtS6+FQh}?YhwO3s6LpR^_ftXTk zTV{UE%N|ceA!N`k6$~bz#5O+fc~3!v@BjYiee9#xU-``Ef9zv7gb)JJmCt540!ed|X)_`O#=`<7d;d&V<zx>O0g2=5O`Jk>_J|W=dCF@$5&h|ChPBP;B;y z_9ap>n^Jn&k3Q$hE3f+KM{l_D%Bv751PY#Agt?wlhxtj zmV%rjKm*;kM$OBX)j$M^0x@4?e+u+)m+xtRsETdDeEpzbSFuRSwID3QnVF$u#93Dd zx?U08)M@}8*m>IpXTUjqFDH$ct;YK~lQTe03vzm_SNg8I+Eq^MEbKsLvV@#?U7l0T z;6*R`9{nN%MkhFE&wln`z8-GagDE|D+QT<{vH56wkY!IdCA9Xi-C?wr9J7Q_&8-eq zH8Ja`Jv~SBE``GB?HhQsRHVa`HP55v>>UjtPANU~&_g2foaemwwXc1n-c!1?;Le@5 zzu^t9>H*gF#T!o$k%ff?W`6dwf8-Z`@r`EjU?wv;LuA$-XL3e~2#E08-u9cf+;W|f z0J*?(DW$e;V~lOve$Qp+Km7@pgwQliuz3h#_W!%@+6msil# z^{ZcBurq$^TYux`o3Hibg@_b@HnwfsPNxF!Uw`xob90k&00aFl9W%fAuXc*$XHAws z$~q)+DFQRU^{u~g%PrTce?4fPbI2*hq?rW3pSbEV7o5Kdbmfg;har^X_|GXO3CCpOw7OcuAMq?6C#CRnl?@N(?5OxjvfC8A~MU{-ufFi-*T;}g+f&w z0RfmfrWj*PF|}=sF+SAamtH}@o*b&duTDnHgSF> z5wW4LZqjU-Otv*)LkQ~#=ZPi(n>lI%Qtn{R@P?PW3lZVSvE?@LV&bE1`-O)V7N^sg z6ca{5B%&sSrU{eKTsUbiXu{?u%+J%L$+ckA`_`YAaEh8s=!wCs94c-y@m!($%L!W30mh@xUlJ3TF>THV;@V z7})nwn9|C}V$c%4@y7R*q8+AwG8-E$xT?Z)ocnX}U~7yqN9Kmqn?C&hH^2E!_uY3- zjP2D|KkdUGet))H$!0ROz?f>-lKGPJ8#Q1G1!u{CMmb@xV4?-dcn5%hF!Rkfec&x` z`EN0%UAyjm;SWFk=9@miNiA-&G2vJwDOA_S7*k@stGR-hl9%!M;3u=B7?Xj!G3L}o zSmf4Qu6x_tesk#&?KrjZwzvJ}HP?K1E=Mv0Z&^Ne#|2_hY+yb$^}j{m9O1% zFqJAPwop?_>86`LaP`$s{oLpNImUSP)ldEKhyOOERPW<1F=_VHGJ}?I8)LR_OPEr@ zOaY3?0uYfe-+jbPubSdF-~54Vu6f4&_uqG7H8GQulw1$_0-ww&HoKr=5b1KG0{}=O zky$UVcZP{qLtXUWun?({2m{f423{k!M!oc*EPkIZ zGlvj7i0KoxRMaw>>83wr?3_M0xYN||Hc!1DJHJ27seSL!NTHyRQqWAxDL6x}9tHNr zVqrBGA%tGK=FQywDhmKU_`&x)|M@Q&fUTXMKCJod88>iC$1I=!{AZr{#P6D&)1S#{ zky)#o$=M*vZFJ`?3r@#fshzMwmw<{Vk{dgu?nLH(x3m8TaMMj6xap=3pks^@As`is ztH0hwMC9xDFSx5%uo95~06@h+)(;}$&;R`ERy1c5fjxV6-+Ie+ zeh90BE~4^YSyaym04cFzh=>p)Hw_h>01>5bx_UlusoDha`q#e_pjJfTnAT&+3ZZi1 z6jO{55VvfaU$<@!5eX;>V=j_fUka9LEEz*8-jb%gxDZWvT0zidfrfBkya#ur2Oh(rw&))T|+>@{1PJ~03jj<#I1o2V`cGL z!@^t<*~n}GQp zE!)xy&e~g?wooaHSn9`2zAXl z?^jvMtW(tm0Dv=Q3Q)0DNt{0S2BNrekMXjS9OFsv?pp^gt(dSeY1+co)6Ir9ZuCi-$EBXnAFAO{aG)O1aC8Cy~>HE|{|NCA*0H%CG!%$ypgI3u^dyRC|*Tz86yP1^@=FgP9onr#v)MwK(b2Kf#qn< zs>xZ&A^{MBJ$-^e6`c*qsu<6;=Ma<-wa)KSoY#9%biH+c3 zP!n%L)bKcPV50u?^iK~j`Xyoyc9g+-sfQSQ0Yw8v=mc>Hfodu`ygT$>?qF>3^J7L&5dFuI>+2Zw7=O$Ar{pD z4z7a-4o*uFo=OCP2m}rsUMxU`3bgem)8KH%-WNq1J%(s&KUtbvYSb9>X^#}Vv!o5X1P8@>6QaX7 zEnP!+&6{-ZrZQbpmnttS^mGJx8_bRm9NNsz%$kt@r=?zyIq_ zBXR$#Xp#09CE_4&eY&`~Xw00H(w%pHp&ZSe$xKdMW=rmwtYPu!U*TZZe!D_Z!koCg z1r^l59IywFkv|M!!-nqsb%u`-crL4S!R9#|Nfidu5Gj+rpg882)8l={FV!pEJuq5E zqr$IJ=zs_jA92AP5u{Yk-5N=*WEsjzJdaidcyt3~E|Hb?6?NaS)P>PM!7u;Cfly+h zL_yN5vGCpl50)C~j(`QN)@#Z`AY8g%6DxV)Cp~s^okwK^MKV@i5mzYWRyUTH3bm>y zqF#y~;6Ma5ZuqE+)-iJv0st@zVcj2vlK%g`>8oYB)!(UAZ+G8)*DznR{`?e)PQ(7; z_uf>RVrMFM{HfTO53&CMSRe|dI(zku_hqH9e91^7A|NznmqA}1ZT?B5Wr+$8*SB-E z^;lgQK&bWxpl*rfTcN^+&@`1&F6E5}5J(^`fYNNi!Nlb)T$S8+-%J8RT;GIkO*7H+ z4u!zX1-yQ#M7?=NrvaCSpF%ms@6k^S+smm! zsFpAD<{@2Q2-xMN4q;I5-qY598Ypa-Y_C);POqLWWkaU=;GR9ZZH4G*KD{~5@G`4m z$rxs;769^c`|O)L&Ez!8Y-v4{H7|%@M=xyFp*?B-?u7*jZGds8Cmf@LwRjCU)6PGS zL4EkFyIWRZvNDvG9YFyQ*t%)X)Xo6tFlj_`fL)I;%3@s3jD&96)L*?Ysg>+8~e2z6?=RQP@XYhxN)Dp-66I_=6hv2wZ6 z391;@L|ZSqeIR8(OJz0Jx1sLuoY^wyF74Gr#PbNnJY;0rwo9ZHiF!`I@)Ay6p0)SD z2I;&o+;PdUX`4+4l+B_JA^EJRk1R;JA0~jg)2+;j$w?rmvtD?O$f+1lo8x;~w#>%+ zwIwHrna)Jzjc@!p=n^DV#2rXCNK_nS(L#x%o#NPC@IC*dkmaauUV&kvQmCmz%(0gX z`;;!`+Y9B?SB8I`6#mENPXW`I&1p z;~@ki? zQs|LJbpwFHz6AHA8>Kkup;=InvWmLFaHy*Y#9$1Rg3ui}wCJFd4p>pK!n{E!AgI;? z%Gw_UvO!Z9Gk^dQvPd9jg#c7X)vBPHQqV|^UoD1ug6+x$%Q@z9J!N5l38YK~Ln53^ zn#cUR^Fz}hVob@Oq{T88-*Ve-VU`d83emO;DP~o*rgI4*0w7@^1YoNjJ(!EWn-Dq4Rii291Z#9i5CoG#B!Mp2vJL=(S()u@2j@V;#$_si zZV#_yI_;}&i5c8Xjw|`iE#&Mzh&YZZ_PZ44UZ6S8-k0%ST*Fkf}0qBV(y;Mp>#yq6>_Lb=~s ztxTgDB(eQD$z3{ZK+FdLKu{1+0DwgjOUsf-7*NeaFl!qWjSJ7B3PD5^ZNLFw|IXXC zUG}8Yt<#F7oIyE}pl27%J(H#6B-+5ZtPb0Bwnw_Q)DbBXKyg z*RTg%dYye*o_K4hrPtgqpC^+^(=_@?L`~CR0Yep9?LoS-#0^&-mX$)zO)0f)+qSI| zPT%&nH|15~OlC4BGq%x8X3~Y8e9!8x2FdTpae%>|mjb=j6WTZs=nlWI43 zG|gnvDECuQ#ZrWM0-0f^Qt}<-GK4^sYXQpOZRTAG17QdliU)l@X~Nb`>k$10bNS45 zB`M!bl^MR7P+X$!RJ*3=od6NRIH-YWZqhvFky|m>l|!m>Y5<)^>wsg|-T>fV|Lx8d zS4YX# z%KOIre&MFdz7(H=s6M&V%#3dlsou^K2&guA00fxF+V(s9M36Gnt$kCWZz%%IY;SoNPQAL@YKglme z4}~H+s|H92ATmD}QeuFdC1(gdhqPim7G~P53kxo83Ce z?a9b5A_Xmyl3cxYs|L2p&!DRDc|nMvX$o%Zn}w@T5xDEVgZ?3O_l#9O@{tdoK#lY( zXf{|ZLv$MUnb-cfvz$;iAjIa4W79BnpG!xs;-E3%N^3P3wXi+wE(bu*+tUBUVi=@9 z0kA8spx~maLt<44vYBD-&X^4X9*Gr39-Y`k^<-zb+N-HaO$-S0NE4!9RQ6Jf2!f!O z*Gnw7%`q6!w!*7jot7$s-cuJxPY3{D9x-c`cWbhtm6jz6Ct*>lXSOSqL#=RtnGVrd=`v3(60h@8k^9`~nR@RZ9enJ|v-iE1 zqEFO<+nrZdJ~+m%U8;D8^{eG+RM|YePAR1nW4!j-_gsDTOA*8Dl{uGXR{JxV$*~0y zb=ND8Q^_Dj1dziI#)7S7SN$&pNr`F{PRML$(ts#XqCp_RYM8~w4rP6X-uZ3-$b=`} zcW_bq>uXK4gV2FnjG~>@Bm!nVv#-}N3kx%EId5Y2H%%W+5gER+>xdMHnLG(JggW4z zkbGh1ZBEZlPC+T9f^D4}WXvXne0;<}ggggOl4iz#5j`;KFjL4dH%RRrF~c7PZNThN zbXO7qk$^L@Q41$R{PNvLO!-Re?E>aGOSS6r)l@`!QbeuoX0&c9xOCfTZ{Bq^BX2muKJ z1xwI^;`I`9iS&NhEIiNK1rbDGQg5Xbfqa#_=<#snU1o+O=+qo1hyfvWh>@0+vDV}J zs-A|&ZOlGY*FSc%=*!u_Wzl^SLVdwm2ymWoL!cNbWwbteDxnitAtg#ITv+v-%U_~EDm9cVLJ-#zB0z-BPGI4y zm(nV2t@noKOmrG0`2I3S<;kzUCZ$*XbuBZVf44~lf(!&Ow1_G8wqypFuBP05_g#afR7d>N9^R*-u%_aMYHG6w+u5{AXXm4+rA6gq zMt80p9nI68dJkS|14d%oNIjeIoke7eD`k7rYpNW*eC2n#{V&napHal2?%#fdUX= zN{E=(_+qR^hnu3Jaw0&K@_tykA_V~mF%yHvEq<=`(CSF=T^xG6J>!2ZM2l48*0OdlOh>PUwfqBWpy$?QpV%o0<+)p0UMO$ge?vUg39 zImUSNXLjkF$5ClJ$(>S}L8wEy_Y0py-DOU z-dQ14)YQM#C~QNF>M-03O`4d&7l0j!{}?i|FvZ z(m*rhbj`>KHGnE72%FB547quV2>KiXDbQ=1kI0q8KRRGq=%>){%9;t%UTa)w18CZW%9VB&!CTd7~9aZ z#%vmT|33RZ64jyU7`j3L03ZNKL_t((n&H(?!|a>pqkySpO`~et))yuhx#5QQy!N$k z3PBJ@8q7@Ap3FMQnapHmQU;9DC6nTg%H@Hw5Y9wPBG=!eQdCJ%NXQ3Rv%dmoj3x(5M-iE{ii~Q!h}00wmYt=TL_iBQq^a1it=_uXdIbXs`;Zwkh9UmAR#=(PR?L z-l$QQa zTq)7+;qk-c=!{+i3koV^naVkRJ)O;lU@_-+-zI^_QWeT8JUaUYfJ%A>6a@L^h7Ps_ z$k8_DMUu!O^SmBc*DKwbL%`GktwR>=c`AepT{IH`9jLfUQp&L6fu(EE4!85OgaAPn z;R!G)!BZHZh1v<^o}m~=VC0xM5++1W!a*2-SiJSYigezMDmL})Y7h5%7ednntWLC{;o1Rblhyq_X@`=ofz&|7&OM3H?GU`Ar$!$K`4 zqz!?NGDbCBiaD1e5}8}(NZ5!Zfp*F?WlTa;F|rC`4j{truP(aUX~ms_88A1o#$SL+ z@bzR-xWx^)mytynBq5Xo?;^}8FA%iMK+K*j%apifViHCOTLVo9TPS@E3gk2Umh;-# zlcK-0`{RJm;Da*sthH5^{{y z)J)EBIcGb@napG;qeLs~fHMwOgjo=QQ!>M&Wr3C-1AxDRe#^!QGsl>S#KWc{l2QZ! z<(7ufIVG*@%*=WmDqQrcdb++@^6_vM@dHyH4!-n_!;mj5YOnyigSBN|lov4AVW!NS zm~{-w)&~dxnMG675X$jH%!h;_=P{rH4N?U$AC(n=lv3H`Q`OK9zd0%>SB@iGfBn0Q zfzn(OqJI!TbW<>2b;1nHyyN_JDWwot@*!T4g1Mx`d-gp@L;yq~fa=K%Ue7H3MSH0N z1)B^w20-}yzwNu|!t;wc$y^mS{wft0-Nlc?iBpwsvP9Sf0uZ$fRH_mjkI?2DrevN% zs5#SP0SY3!ci(rx1s7`7byY)a#=XhfNe(Ly3uf9u-g}NG7~@#zS`;3rD_x1&<=`^i z+I`($=4q`MSlxr_My1~li)#;H%!y7juTxqSoL9cJYc)Pc)=70zK+pN}(?1b~`|@XI zF*5PdG*`gY{6a{^>U6ppMW!r`;&4giP!t535T?@mxk7e7%e&hFPsQb=kN#(vu^djf z-&nf~>7a2%W0y@SKXZ>&IwO(DoFodgA}wy(}LdVlDl%QHDE9iQ=`>0*g;6aJ@xUw;TZ=fuwA$HHysd zc*H=rvtDMj({o%-fOfh9*mSlsTw5{&OjjW9eCO{d4(O?iFg6{>LS6Jfr3;J>p+FB2 z+U(p8v-doEQl~}5%uUl+{2tRB-l1fGpkBD-kx)y*XG$SOyE@4Opnj^=(`PC3UOjYD zG1DH1=`FaKhBkHTMFIfo-zQ-Ky(a#4EQ_|6dasJbA;lJCSZ-bvBsD_>2Af`g{kz}& ziNB_DYeYH9hlGVzbfT}BjJ?mJj-&2Yg}7|T2dg9W+=rBqZq~XhO-rv!e;UNl zU0jZ@RhP0>hJBY~xOXS-0~u|5$M)ItY-@$(J3_B96*Vi&ZPsqjQn!bnI-5 zzCz>bb|Z4gmn&qi*&rvKyvbP9y`^Tq;%cn68^|5BB7X>2_sAlgQsP8Nk6piGGMS() z#6=_^MlFVCpKIi!D`ygfC7T<|Y$U(2Z%i7&CJV z36VwMfddQMx5ZeH2ZlIX2kq$ci43n|wqf^bSvSYfI% zYZBnZ_wPGOgh-8@mhZ-y+h!@%x?)nL6>Ezzqu7D6>Hr{|bWRWgfuOawuim}i>Y`Xw zz<#0tBJ$Fo`k{UCD6)MUIK50#lJi&igmkp(^KBFM&!Ti!6)GVT!P?ovb5>WoH61Rn!%_8@~F=4nSVW zqrZR%ODSnd5Avc&kkZ##lK_Y!$TC5mA}-`|LjmBUl9H%t72AvP&}UgEf^V$m=&|O~ zDD#^)I&<^=hKTs8AD0?&=S@QZX@L{80Ik4R-u0*7{_58sr&7;OSvhvL8XPvCH z_LmErp5v(5nv<1P=dAX7pr?o4*xkbpgQG{FIOIN(u27YVhQ8;DNrVt$jD~4iJm%AWdcy_g!IzRJrJB2DU&*a@9hMI+ z4!5;Lec5`L72HXGkD~Snr@o@CI*u_5^zr+QE6J40g5SI;a*Bxahv3MD7(!^8#$6&I z1cFGY(;zp!9*642Pz@RLoTtHqb)5IyTTQ8xw{>NnyOTPQp%UJ`=Q#FjFjO(*X}$TA z5+x2C>vGN<{j^`5>zuiRTKILHfktDvhDW4EN7m8frNv#F<4D2-&;D4yzN0L| zXZQDLV>qtU5;2atk0xUerSEe*i1fYmJTIBN^dHqXs=RHP!3a|BJJ&j77UmRV(jGFU z7-I^7(p+kqM!_8su&Y)8ArL_m2togDSU!k&>)LQK^Q|2kRTvLuzua#{9Kq!unB~STmYh!1R>0?n-B)9`(LE(RfIuM z3RqGhDdU++27m|=LI40lAjA-8^QLu^h5`wZ!fB`$u~{I-^ogB&CzB>4Z;06fEM($t zNfB5irMNhq<`WWSuqj0cidm;p71)G?>pIo!#NJkoL%aFOq-h$O1PGxn@+Bt?bCU)U zQR@J)K!X)(E49&?JZRAgE7Y9Z69t+;LxkJ5Y?zxfsg`$fZjUB%y)cs-(-?g z450~T7*{e5Z-ZgtG@Z6O4JasUAff;ONC=FDDD2-W@8n0fV-H5&rQ6t-_6Z<*<&Gh1 zK2;lGOBEF--3UogjA_`2J2u`H>CPNF9ea1z!Tk1SnA*-*IL6e**tYGoZ6!tk_|EB^ zOeTg7TBluR2qQglXISm3UOk8d5CuRavK&ba0PPWI9%6!m)OArVsPFx_U@rw5>?GS~ zWzL}fH1U0He4vf2hnXM`yIS%|B(W?YBY*%V!A2k?nFMiIz5;e#xVGSGGS;emF2wz; zG5Aalay=~Oku*w-d8`mgERki(yeQHFP-m(jzBgr%Da)b63^3g%f$V^O-uv$0!cLE# z?qcQ8Ya|68&0j>AWijy)yPKaAU0MPR*a|!Zu=(FV{#ePJ<+5_x=?pntJF=qMKR#?agUr^P zte{3`wGRM0ciygKMh}&Wqb2shQ2U|`P=AWwqjDO#NBRs}h5pc0q8q{mz^|zw%DN5Jqs?UNC>kG%k{9AM3AsQyH`F zipRWhtEcS{!0j$9q4(*FIvZRQWKN)W6C+B7!u6i0Y?~i__q{|vKJF}IkH%+Ak zT~Q}+Qa#4VJZ`Bu->S&cG4~dJOZyr`A)cSO(hjsYZ$7riRVbPh34iQG;BuolX83_x z$Dg5M_xtIZ&#pq@oYhhw!~72DI=J+QQck;<1GOooB%B!S946+4DT^+SGu9_08~`K` zeEg2X|8VDFqHG3G4S+IB8#yM~wDCNpVJS>U4#r|;!hD7nTq7~X6joCMmqZu{CzC)p zf5+X^FWvWzxk=MBM2IYkV?c}?6K~mc9%pb&u^L3keK?DdT@hnaU{gJ@j*7Vo20{)2 zfDzz zikbpoPLNm-B@}2$Iwb>;Nm9zI4Izj$2=cKz4t?^AMuKB6M&7(>gC1@z z95<~MQZ|wYR+{+c zGd>|HP7Z)8f6)wL)_@t<>LT3*o@Xh0FA^i92xgoCKv008V1z60l+p5lpwq&_D+B-$ zISL#YSY$#Hl0l|u=&zJ=MxV=WjTkCkkz}i^ItXf@g0k8tofRq3DqQ^Yvnlo7W)sYnv56|`K-Wro-*8Xu|)3yGNoNKbO>YUZS z%H4P0W#Fbq38hPH^l%$=kvlIQBDA001gFwDeZWzC&_i-PKOU*{K%ru|mT2#Eyv0V{ zyOPX<&#y-hvEax(K(pt~qVlLBcdu0PIr71K(!>kW`<(T+wkY(ANj3F*o5ZD;)5ZC3$|=Kbo2;?Ojbg~Z5y{7IC4+`wr$)3Ap4IT zvgEdI+w@24jewXb<-A${J`Pt;lSa8THJXIDU-=b3UkC>d9|S-F*|urRfx`#4Zrox$ z&Hlp&HIzd#~inA z+^p0P?`Q?+!|p$F&?}%W=1p_zv+VWJP!^&0eBj8TZ5y`;$ndFs_jRFP6e`+U%6X(o zi)<|gb^fNU`;SzGhgD;mXo~xf9NN~+J^%>FwvAg3962O)4p>iqjmD;qS`M`|wACFx z2$*Yd|KWq4=e*6eG%LFOrRnw_)#`Ae%!9sb)?h5fVGLf+(KtJ;t2{N&-cP5=jrr^) z(F-*iTfcb^elF$SYt`UhpI+X3euhQu6&uDmEY)FlpzPOd+qlI5(*1`IipbWDoA)0& zz=eh;BFrhK#3^mwuxanXeKAH(Y14U|dJLy80dK}3jPt_gFK5E?=N#lf0D zMA$}dBeyZ7B*_`;mW)xBaaQpc9mTQ-rLE~0A&5Xo01zNkTqjMKn+tQ3&?t%tz`{yn zODt{VwoTElEMdqbTaBEVQNczOK)V6PatdVt)ulZm5JJPAu>Bhd|?n740HM92bb7y>p8H9@JY zTC^Y{i_nEExY(m5k=+Hw)C(R@@Vf&Wzb==pU*?0IhxcF|o z*MX~;`+4iuA6>ZvNFm z$YgC`kOdHZ>lrbDM4=!+zPfXP<5SabdJ(p!U$my|wv9Eufy7i96_tfa6 zZjXkA(=?6Q{X9lFc!ifr-QpaZBh^O+m|A&yNAEJUPGFCExmKTc^;1eI#(3n&k+y9Y z78Vv37L=Atg`Q)l^5@T6ci}f(5-2p2rfC{A{T4zn*mMa~m7bkZ-E)fq05>1%+wphP zVsr4(!ZF>4j!O+Ir*`@GQaY|A>x14QFR1hHrIf(F^H7JedHP6g z_UFsp1y@~d;V>7Yj!t<1N3j@L#SOAC5<}ln>f*4Q-9a(vGN|V`Sl2!5vQ$f+O^`># zfo|Xi4oA>YWJ=VEW-6km^_f!$VY>Io-26Pa@Sw0MDSHS2AP`L^WUNmSAw&uY05QsR z8rzss;tZS>oQ~^(e}FP#>M#sWJy0Bikd%;$hzNmb($M_;L~%?c1d&uw#+W!oUTjm0 z*#M!XSb$>iX;yHMi;4)wjw3`QXaY?pVg3AMG6?|CwuzaWhMEu(%fezC4Ko!RS>uCxMW4|C#V)d8KNDGjKkS8x>~Ai{=7*{lYeHbfM1 zfi?|InkFVb`r!1Tg@R_N30-#80sZ<{ap!&@r11UcN%K7TjWJ=rjfaT~Y*+qmp zyin|RwqolN)QVu?l%}y=Y}>_Y8)F2-?>l_-(FYGBBByeJSwS7UkuOiYX171z6gc z+P0mhsDUxF%}IMI41`D+aJ^ttDk2~PVj&6l%73JsJIZvIAHIhicOS0%x=D;qrNNdG zHd>W3Jnz{rdCD)o0df4YiZv`N0Z(TW!!;$3demg8M=Q7zY9?p1EVr{e$9lzO373oD zJ;-RdWKX1PITXqQX4JOnQZe)}Q%i1i&@v>{!<{e~I8_YlwM?l`4c@bk+QTt@Ie5iP zjh^y7H7r_%8ha=Gk1-xw%;w)m?v?3zuoaORRq$D};HHCrWa*CL%T^%fUz4b}ow&O{ z{XJA7vT)=fVM&lEA?vQV9!)i%%%gFB-Qcma?#x#ZMuu%URWQ#mR&_bG6Nk|TvXtZa z#PE3WO6O1a>ByR^W7=w2nsovX6FWvq$BqemE3)G$(evCduzm%vbX4faw{&gTnM|J~ z>U^~qnu7 zBD-+4et?z>6vzO#S}9jl8Z9G2{7mp}IT zz7_!lUUtn(0N`g|{>q;P*!eGa{Px@5TpGE09dxvHnlV1!{q7roYx@NkXld@*yXP~v z-}V=O^KQ*@ebYpq{2fpH>HqTbTEqYV@GoDy6GYzfjz5I5b5SUIVkx}(r(X5#mp<-+ zeGk0v+Q0qu7jFOWzw)LF&cDEdzx5q|SbUZyturr?K={ag2WW1NlePUCai@fQ0rm!~ z(NRYeL?fKKw;+i@hJvIZWo_H&8-+*AMkSY%)qs>-3JWK0BTr*&Q$i2|^4kpnoCE|A zg%$%(5t{^ojr{5-&Gl!QJeJNd567h`OJ}0s%c;YbHP)y>dDT-baohdvQ9h-13BUlN zFEz`QrA=wt#+ah^VT$AdfCwZJWWkVMWl;hyfpu=>5b(U#BQBZm(dHFWDMBtmFm!c&w8v^Kpb>yW#x<7o+|PS0Q$+yF-8$- z+xGC`MgR4K001BWNkl~|h@&#V>3Uk7aHQyIJNJ!M8ub-72w9sH3U1vS%mR{KR+(8BHQ_7uqdULyOEya{l)c+z9#Rrbg&ClyWZzy>| zQcI(GTp~mwY8q;qpm0DFf|C1EN=d&kn>7`24A6?pcB&5i!c?Ksa<>!e8Sp?fnS_Zl zDl__sqGw2BO8G8&O)qmajdNO)D%EP>pe<_=kpimWOg;JDG@)t2qzNHVzT%z`L}JXj z)0`?54a$1EN2&z?VScb(_{u|jB}D*G1E^FkBLd7LSBw#20^tcxw$E*zpPOGlH{VdO z>Qknj0)%EdQjM-Qfz(I>K(b4v$n;-X{rTiNQagUmMX|~frx}5(EOyC zOqvi#^G^tBkhG2I!H1^PHd@Jx-Yu3yt?7=m?(Pev`O1XW5JJF76XqsO2n6N$HM7K+ zG?r7`KK zL`2;Czyt68o4@|Y+drM>4@-3A>i^H*{%0Th@ZbIU`~J5Mf`9(@KNDAd`mWu7|NX!C z#$Oh32$;Y--~4vp1NdkW@y;*Z`Q|_U1E08te&?It-m98Ua1lg&=l}YjpZ@%9Ae>T~ zPTPg)bg^w?iXns_`^K)h$%L6x%x_4Aya2#`Xc;XjT|d2Z_W_Us5@Bc%q5u@Q(N&?3 zq&tJMKf~lp_g{vRqP{RojJy!jG{(eC01f4iiVz#brXeH}L~4ivq5v|0b{*tYGPt^< z8un6mB~`-q3ayg2HDt$WY~XS@UKx-orktA&06^vkvj|HY<3iiUl(2Npkh^FM`Qkqm z!q6Z_04U~8T?f*|P2K#-^pje<{TY~Vv``vil*HV|7-LEz6=lq%_>gl9nSiZr};L{dG?lRq5rT z=Z+5ewhC4DtFlUAFv}lSjW2dn)mt@PD!7zo<)OX%H*Mc)VM7Rj*w`Az-Tv9j=D?|+ zN}ey3Rr-<>^G+Oo&f9Su(P7N}7?|dY{14s7)*VYFbW+j-hCmA{qfZ7hb)N!2e(0y- zC!20bx>I+hX${jaI-Ig;-BO;#)y)WesfvLSFgktJ9{j_+z+`7f9m*iSJZWTG~%Bw2oE+h3O1wQL$4g4x6FZj)|=B`)3ow@)qD~r7o zvYrYz*5vwiEdyLsT#k$XUKop~G}(+KvLT8h`7$Erf~F!Au0BwW36xW*2OD*D3WyjJ zg_sBl5CB@Jhw<6qtK0|cvfO~Xdf-)T$RI~bLKHz{5n^OQVj@B&5rG1_fmMmHv@yeD zzQ!fzx9Ctm@+Tn{zN;!Bkg~x6W|VxrRhkAOA^?Pfr4$n}+6WQUj4GpEtAvONkrKy@_yM7w z`p+;cWCWQrdAb&*<}};fBw(>pRf2?eB}cVJn))d z`z2o*J)M8-g)aqwANmjf@&A3_Um@U+z3`=)kstk;pU^q&GoN!(9<&=KJ+ci#08;y6(J5SiAj>^@c9gsu z<;Tw2cjk`Qby-PL;#O^tu$_5Afc!s$puT$A4<*P}HxZ%3k+AOrs89w9i=ROEJoJWr zKNLHp)yGsL>CKlqgQBnqL$T}9dvECGyFlM60;L2`iaxhpuMozA4l3^rZEvfQ5TobM8kC2x4ctNfVZXJj6` zTnfh&ksdo$mVhaxpm+v?$l!YV9xU5KV9_l+pnxepwxQ0wM?NaU6QOWUxqE$+F5x_q z=r<(M-4kV}`^~3$ODQ!?Gn}}44?g?er*vUoap0-XmyZn`EbEb3O~_DPf2O_{+%LN9 zV7{r^YaXNMFcBTycWBf0txCJnqOO;RjICaJUzQSG)%8kYHsd+AE*AG_vd`t<+%+E+e#`#+D?<1;RQ>L+ji)XGvk#UkDB*qcQf`?9l&tuR5YjzZz> zE{Fj73qGGj6E(_`W8~a)7Y0+DC_Lodc z4d;#$ew=xINd^aw=)$_c&@HkG8Q9X)?ola!1u6gu0)l1`w38hV{9>lNLp?M#*{!R4HchbxeVd+I@{m_cnJE<^QH68T|wW zT`hV)MJWxU_K6kBsfVO%epaPF*F?W|*Vh2x!tECxeDLsZ{o(H-;-fCQe0`<@|iEX`kGIC`ky*r4#1~Bdm8`<$ibtBKlF*4pM3d~zU5oK<)3 z{^VW%&(ogvG!c2~cRcA+w|@#!f|MjBVMfF!+`q3`zaEhgQrVIk6v|3VRsco}8I*^q z+5wP^3Fb@ZYRA->5tvRQrPJ{Rp5Ha74OL&C2?Cjkw9Ze|! zeaFN)0n^Uyxm2Cpz-(w;b;6SCevq6?2lA=UUK4TKgaS~G1pu(blonHr%q$Wh_s*SQ zWvqdeORbNAmfoa0I(7Yk)4yPo-StCJT7VO`iKnqmDV58opma8+C{XGIq~f{a4htcm z)T^5Gf2=?1`!BVv)WVgX3)pEEE1%kH>&&W7ZE6f=8Ux)EAk^+iSkzQ65?*z~7xeXq zb6Xr>eSE*ioMFx6?3Nj9x>jXbecUlxDE`zAd7$~nd&U{tG z1xL0(NC<>Yf-GZJcrP2tOWwPuyMBLEcXf52b6;K(KyY3Y?z{J#KHb&T-PKi}Q(djA z)~>ahz0y($K(Me#(Acbvn!{2!`?|XHIIpY=GA`*>Xx8a?3!3@jXUg2jp3+v6Bt&$j zE84tgE^qTO92PE^C;%Qei0um6YOW%B3mVlQkdo6^*Q$vD3dwq2I!FG-eu>-pqpB%C zvn}=k|Ecb~$7*J&vR2o?ge=ufdC5>$-C+6)8xz-G_B{YN;q;Rc;P@ksIc(0Mj+`y+ zwB2?`#2dbI&0|kI{-7OTbZW zum8?9MD&hF5B%zPF5)sNIOrkbp@?Ugk6(86m2(%)(`>x{vTFcf?&OH|)0HN#(8H+bNS+8)N_R)t~^ zyD_Xa9M_xcazMrbgOM!okPM{YhTCzEAV)bsQ}~#$NazTPg2O@{{lowO$N};)h`xWF^alos%bv;BpEsq{ zDh1VM3BWI|zK;VYl@<1=o7kP zpKr4y7ZKzc8?HAR4K957>KDF##kWRnzr(xVcF<8r9@*8^b;hY5I}<;C+0|FfUHE{g zQV4L@{J9@J`4mKa`(FFq_t5<3UwC26m@!kQO!b?J2pqXT{BiL+4|?Z>9VeK=XemVm zQzuO>iUK^Y=bru3bGz-fn<4Gi=(o7iaFdCXC5ZEZZZGAX%DFb4B7l*jJS zev&xKvH^gXAu<`%?c}S{kJOL>@TJN?A|i0kl|IgiV>#l8&|~gC`mi5v0O%kd=Okz@ z2wKLuRTY_>O%hUV6V$be)X{-fq+<0a5$}n3ah7Dx4%o6;+R|D;aA=H|KC)gCFWr6; z2Dwy6pR89gGz|qomr;iT>#8sO%~@xy>o0AST}=_%&=7GH1Xd&>&j5nADFE`{xITNe zK52bIg>*ejg9z1Cxe01$D&&+%W%a`>!lQqR;-(Q04Wk6MD5XB~%3nuFa!UT(P>~S*=|u=GnXv@Q9{w9NPA@$WxcH#wO5v}nBd9!*56 z*Q|c>4^I+NS(XPLc;M-$oHqB2dEh6$XD^7(D=7?aF}&j$Uqsfq-`{C+dHVhP~8 zpT}8RS6YJNdwvmBPJIaj!G6%_Q3<*P&m4w<00U8mixp<;O|OEk2Eq_A!}tAFH9kBA z>EKtx6(KD%6qWZvBqAB2`6y|bR8gB*ty`n@Eg#ev&o&}8X`%6*40r?z2?^QFDTNM+ zQ75Eg?>KMxy|B z+eAXufeiD8Xc>Mtcn}dGiQ95m6hd{?s-3l)`ujUNIx?8dcsMW&tY|B1M>Os#KWq>Y z2$S>SYZFlu>>vO16qOv?6albk6m1;5(-`ZVvv#1_bj>Cpbi3`_+AW&Gl5L|#D>Xy) zw6G(5F!|vb3bMuXBK)soDYy{qwg|yf#ott=AV*n45T88mg1|e~1-?q4dd$>?0Jwo@lO=N-TJ{k*&1I&1bmd+s%J=FFpyIO@KK<_j5M41&AmmRpWG>ZsFB zK9#Pa=a&4>wB2`~IAH?%c}xfxW6&czA0r^FUAu1ds8KuaI04a!q~kPm`V0Wvu&FO0 z7y$^Me(sqA-+o|sR~JtUOAZmcySf=P<8~QD12ARcBm~;7tsQ}Y=!wT4@3)r2$2-4q zCya_M#V)jlp_5uriO3W@6xl(qs*XYW0ymkdaW#ezH(D1U;v@WIul6PfZVq^uBQiul zWc+9X77ausuBOtK%2E?2ZAIQ@W0=%sQ5zI-O63sfG0w+SUrYE{!CN_o1!JbAbpxf3 z9gQ5+f*a>w(D;@b17xe*mdOcn!!H{c59L$rQQ|{0NUrd^G3QEa2RIlsKpThQ4rp!E z^u2XNfiMUK2tDWsTf@Xv5h-H^I3b@*4!2fmo0;i$Y~g{9d>er=CQuVoox zp91x7*vJS2pYh?0BNH5T*hFUqFStqpB+6A}y#I~ffDD=(MuoSU22s59mCYIuTTyJw zOq)?I#MG#gH56$^mG4T;_8kPC|A@GF@#3#v`E39&hzA{X5F+lh(@u>>-I@6PfGRoqM>V*D)jL%=RP-Vp{Z@QMx6YD72ul(kv-Cf;$ z>$*#?M#Nb&X0~c-&dF9V6thxsbMuU>c2e3I+rF@*B@AUygh5~PV9fwd!KlIO7#bs6 z)wJ0s2Pv-FpqD2_vTJJ$c3!+UM5+>VT_ik+nDoac`DB4$)22;rjmC%(Bcu{a%kxo4 z#pSZb8xRXCy-Z>XOh=824wp_b*t+lpnHg_1c1EW3O1Jn9U-iZa9_@{h3q1|+NnTfPX`XttSl;~e!h`#L*2C7Tck!8bEt5CJ%da?Mo$k;oSr z0Tkk%mey|ExN%@$pfG0pkt6wtB;i2n=;S$~I>9qTkb;5+3f4asbkP^gW1x zfa^E)-L+uuoe$i*!-(xxuV0ro2#qm6zw_7ArcHaxTi$Zo$)~PfwHg4rySk72>kr;| z>&;x~sek(sBI;e$%MIoKfAXLIe)0)_+cj?7`JX-Sv*&-F2=;!{o^#%PFaZ4Kj^8qy zxL-c_f8PIZKl=}MGk$FG6YJKk8#QXwmp^yjgAYG+$h!|_O1}KL^M3NvTjwo$@Dm?B z695qC;;X)600x=^25|N2RhNGMinqVmw|iT|>vaMo0zfK-;-ywB-yF(;^2bKc>NEqz zQHpVD)U8R$w3dqsHzgwAGtB~O%5#jLRZ@_E<7$BOuNDNkuePjmO}#PKdJ%ZdvL#pe z<%G(h)U@zL*Ck~|Ks)XjaMmNI*14uFo29kZ5`ZyY2@Y?m;#+4Tem@3zcc!8;yPh;K z^oVLk#U^3ox^(@eEp6!>0fHqkL;&pb8{dU{3(3Vo<1!K7lY1Oj>eT%R>Z%lwbTlTJ zF&*hz8k2Nl8k8vM|6nfwhh&XH3%lNXj4}VKPl6gG4ZEHGuT8_S>0eSB#!O%0v}DP1 z{3TP31YQ&{G|M1x8wSTrxhR;LfM^U*YPc|(Ln#ic;a7gIo}DtU$&i?;a#0>v`al92 zaw6sWD)eVat8S^ZNFLGHsJ11fWKC^WoyM0l%v7Vm5(E|%mQ4YS&RVL0PHs+Z#MrE{ znnd6*%Y+aW`3y|698C`!GZpPqOD+k5Rm=d$dCbW37dnx32ONbSdll^ne~q1 z27koF9DCVJvsXcCrIX|eGi#?VyEtX?6aZMTU;(=rzxR;C0HAasqAma$1c3ST=M&L} z{*CMU*308>+jAfO(kx3vw5?w!Wag2c5k%f+XXC&oOy7=hhOd0#O8~HX^=jwb{(J6= zh|fIp%;Kk?I&99Ny}iBG#X!AjiV#yBZ!(QOak!`ei~5f8?*?zQXfahwgroXn^fs4X zCXI7w#YSu>vZqd24#3(L9?Z3w^h#;k0cD8M))GKc$wR6r#*z`rqTeHN*4?dOYx)SP+$U(4=`}+EvbDIhamcTjbRfp)Dv(5q{IkMKe z<^YsUu(m|cD~2ih`uaLLIugMWJd-$d0fPfEWJWpw625rU!$X-4wOMCEuqbOZ3#q^hkC+d^xQCV)t z(W)2Maw9|uWr=8b6U zJo=~)jvG7n@~>YUaC<_;8-My^p37HWd)1z^-txnr-eODv2nCpp&4I68eBp^l9XD;- zwD-L8-Ol;&2;;_$JN0ira%yPQ3%xzo*@DObH4r9tcQ=fA!@Bjw_S<9D!oZ0-?xgG7 zP$U~4)gGh{rCYD-OR5x0gMO2>HjXI=&bg)yfkmRu86H7!MBw*aAP}JoI4DtdEwB8b z>{dknD^Juc5t)`%U0Q2@lKKcumO}$eXZuUrv{qj9xbQBE1tZT7!{;m!Z)&PKwqmu~ z7BpkXA^#`3I{-NA2CVHb%hIy84y3%^nI4u(ew%<3J}TfkF0qsnRKuZAh59WSgnPnF z-PP%+Zl(Nr5f1v@Aoy6h$e!?K|6y0tLDQDFoxW1~+;DpN(=crM7n3$G+J>dymZqz( z{<=oxI8o{rDygd3i|U#AHp+ES#uw(y+-tS>$={Dp6T}){HGCK4V6mmawbx? z)t$m|_WCI)ho3@qgj98*t)h^eG+U~ft8_}qq&zpXULb{>W_LA9rPUZ<;@XbJ6spde zp!qKi5sv_!Uz=6(bIr=0v6IJp<~wJs)veYrr>K@(Er@o_+)|tS1^;DLO5>)(3%5cT z~Nodbrn& zPo>E+HNI2PySnWkpx7HO`<{$XBKpi1&jEnB3+LT`#zzqG#19;ch%2988OA|vW4DAg zExuQCRp)G7GlS6;v7cyeC018Z;gyj_5sTD6qPCwA84Q-1>#8px7*`oGm=>#^rubR4 zDbw0(nULg2`B2kcfeA7~5*x5KLq>8WMI(WmcR!$5yl62qcmjU3%woEy3 z8In!wK1!S;2CN)Wvn*X-U!y35-4OhEP^~MJ*Ic*m1|!@@G7Vew`}_O(%@)7nYBrne z*RSvB=m^fNsCUpyo#9V~>54U>LO}rh-GPAt@vQ{_=Ujh(KktX|EKDj5tx{i;##UFC zN>}hUQX(Sfz*^rG3^EdNR751O&e~=ZtOMu30Tpp|BIf{Lu7Js@a~rD)4i`-ER_jpoA-ml`uQ*GMca=X<;cZp0p8;p7yv>a^LNXn_7D;xE?Ttc zO>cS=1-MyO9KIsKg*^EXr5q6fU}5mt=a*b{!?oLYZnxK4_S|XePCO4rbVO7k001BW zNklWKhX72AH zIM_b0XyLp?4>H>wks(K5*7dEw_}a@4JMiE)?y~E{iyr>n)9Fd%>-Lg!2v-nFt^^8=s)CdwV1DEmc{1q%rJS0$fR(X#g1M^qgi zGzC9y9XUs3KuyErh#{Cml-meM1TNxr31-)-VXae(MP$$t1}~e^Z_-{~8yp|tM%J?P zfxOCPRhGDkCU_VCBG?vHSBV^i@syDTfhhm!ELrPHYfH;968uXuDV1?k3c)wP1;s8K z9y0$ZAA&8lil|*=Em5~X*r{vLd1{6MLD-a`$7=|?k7&9MKW6wOsG+2xxt$IhV1GGj z7&iUONW+-v%at;80E0tf<~3Ob3Q56ynYX6)pd@*#AJACAO1LP-D@Cu>^aP!|eh+*0 zli@$vx}KRoRKZ&Xpd=!VnO2)D%6IJ&+v=jUNK;bvpUrC2Ts6j&WvSVv51|rzq-3gK z)*0woOF31rRH%%KsvB=LX+w^iIj~_9Uw7fSUkwlhBKYA5w&^vx4gyJ@(+&BHODUwr zmt^7$QI|oOHDeYL{b|V(O08Bj=JCfLn=yUHzh3a=$DV!C7!!AT0^M}kwM68IesuGX zetze#3!Z~RB3gMGC?ciHcYVXI06;{KKK9tGSu@wHUi0LWPhR_@>o*K+)U$HR&Q^x)KfD7O=9t?K9$`!&^ImE=a5c14-JuiEW{jP zp{IZ?B^$jTXdoz}h)~;~$r_}gtH5XpYU%{Lr0~VB7tS@CO@U4QU-Y+*P<$@=2SZLO;{JNcA*G7)4b*0{~IEUaHud{*9Q( z%?z`vZzNT2ZB~oP#>OCp*AfsBzSv%haWtHB);a6glN}6mLzG|93Jm%shA-50>1JqY znayLKG<^k7TV2<6@IY~Q*8&BKySux)yOrWnG{xQB-L1I0YjG{^?)-V)`M)!hJ4x=n zGnpjk?6cQedu?ZB=P90L$0`aZfVfqJ(`E`0@v4GOFN(fslP8;6Y?E6C&BOA*=9`iub&vm=-qrs(Ojm1TDCH1`qk=%Sg#JJ{x zX;!^$Lot7!2Wx@2V1K_mj1tb#F|UQl@~rM8CeY`hZ5X zq#XnkaoU&KhbgX%IYc=%RBsc8QY>4l9)Vl*ynEmJ_jX0W%xC|O%_)WtAT-s$iGaKD z6`6t}yIY``5AT=KN=-&;uis^(6&VnK+&S!C4@)Bx*&S&W4vCk2Q~IYB9||kj9)v_L zdX%ZVwl@Fw+07pMUyso2?633loqs`c8XJ=7%0c6N2Lvh#QgZd~F+oHHJTudp$8fp^ZtP#pX$Tu*xU_4&<&m0g=Kai;b&u zU#&$86vm^a@F7s2&JniJln?ypU~q?+srvt^XXQ5{MAtL;M;?1^)m9v1(-8jTecy@$ z&$#6us~t-JO|UGDYCQ?M7j1SITBId9ZuX^O)`+jj2=U|O78bTi-w*=7rZ1MdTC^|2 zjaJ$gB8*A9)d68yGVAT|hJRR;S2Ct)$6qUj(Gvxqo$;bM|Io~mFQ2;7&=*N^QR)i^8cq8Ym65y@DhndsG>bV@0>KxUi)po#1j8Ej5?deA ziY0X&O|6QcjxD}ne18ura%dj1fb3jueE_QOUdIr`mI-T1zbl9a& zVN(=EpwM0YzZ29OrF{EhPO~XpJYDUttd`ksy+t^q4LJhuB$iXD(qi6cU14s>pyKo9 zSF%g)C&b&jHUHZRg3rC-yswc+VoyscT^wEBZwB67_axm{$7YmfM%4&XC!cj!7|&|I zv_snKmxdH4+S(l?U0cQOspuQo5+tyF5Y8%eVc1w8H_e|r8n3^g z0*;bKP(;dU0lCREodP_EFL}qts(u69fFDPd4Ld(>lmuU5i-fR>>6p{&VKiy??2@Pi z_7tX$uN2rAhDWr0T-Au_GS`x;4&dSDYhF}6LT&bzO<&bFyS=^*j^B*fPFitUONmR{2_tLe#E2(6Q`cC7)B9T)KxfbIHQ?q0ZNdJN0x{CAxDx>*kof(kUP3{f zAslLo#~qhrBfKy%z1PKlZGk|+|9}lKqwD9q1?6h(z}nDV2vcTWj8#cEhul|c3yZpu zs)=@tehwD>I3bV1vtO&NIq~HY^$$n-T@R?Q5i|B&fOl46vmK zp%Teiu2B*cx4Vgw*DA4Aku)4PQ{D){vLl_47%&t+T7(h-i!azj zX1%mnPL$0UJ)-2lMQs|%$Qb6n2LTD%?8X+U{b`;Z8iOE!_Kl`T-+|c7mnca{CR?RN zG&wl@s^ET?gkj9)RJTV*J)icE$f^RO1*a{D4{XD}T)sARE&DcEnv~x-K_%g_D+m zCn-?{8TtobJ4!<)1SK@&>ivB?Ai&jOHeVbuL*V|7q(mY6rn#ll-pBpXa*FRYP?pIo z^qkZC5)70>_PpO-Y}J;Hjo^J@_S z9(g5}&-LaEUD>`Df8cp9JbEDD2ssXC%2bQ}?&l&%2}i_)UN8SlJ@cy z&@%XLmMN{;l(o3*_N)?^ov}i$-tLE1w`S<1e0wOOjbA%sMd61g`X|=ntuGFv@+$`` zm2_#i=J%rf)vWv+zyPO@a6-?vq6Be;82SW{xzBlcjqSt_8hJ8qxTNT6RDy2~8q>u- zW>zw)SBY<^PR7IRbs%JR;aIDT8n+Q?TvR5?ctrSKQq;*DLH}v~N4}!~_jT~L!yqVd z{oyZmj3C_2DwA*bpMAnDZt5^Civwy55c+q-jFy5%gklSFJb}w zqd*4K?F}{YzFuP}cHOma36qoJZ*}gen$HJ9kTXkwON#sA^6siA7ji7Fh+cG)zHVR! zQ)?sBihMB0Vn{mp$cNV#mZ@K{$M#7fCP0BkR4!~zI3)sbGy!UuBWI|6~rHWVBCQj*~Ra5nAa`$UOI^1pbLHzebzm+z4`})huG(hb(Cue4k~> zG`Rk6B1_COTfB@>l}x#&C`J{HDSC>5nWFKShm?sov~oA~$-Ig6vDh)2I?Ne4`w5^| z1xqiY!esf7~dgwBp$cd3V#Z>zUmD3RsvI;BpG&A7Gu~l0HnfedZheYP zQ%%`XF7K3D8EzH}K+un$6x4=t7?^51y=Vu6pJ>~HejkR@Rd>qr+U))wv;AP)B`lXY zEG`J}V|l~f&D{;E93RBPE2;OgF>X_EjW8Im+uD9LD;^_w?O|w0g0}JU>DSiiZmx`p zJo4-Hz0;j+8^xg`x}v#Ikv|~A&tv{<#oMc=7Z;i`ABkXzRaej4gq&QmsQ`FUd;7KQ z8@8V@X?(p3Y*18af{cz(yHjG09@~(f(~9^2@lO!6)4jal(`J$8RHi_`tayMo_B!V- z98t{Y4$Am)htZ6;EZ)FWb96Q-Cn*ZZ>&o{?0J@L4{6bqdS3P=yFW9Y$8T> zpIb1l|;h1_bL7x*uB{g#3g4ioR0u$4FIjjmmd`HH`srM&0;#mYJ{pP z=qi}~5r?^q`q!rDkuFwu+^Cg%5;l-@Q?vq`g`=gqf8#|Fh20X zd>$E2zF%&w`_s4(YOnQU%li zO1KZM!|B>bhm8RoVYs&0)Zcy5FfB5*IDZJp4NFZ#hL~T}Ef*@qFv&|#LO6Qr>+-b* zw_QR(X3i*X$L!+eP{RM}zuxH-TUhi=nY5}K=#fR}P>3o|zL@!$3IS-(1jLUq-Cj19 zimoVsn_4ef3;SOsL0}F!#adY#E#)QE+X@|ywfMx>CS0?Z$IPW6 zfr;~~eP}AOE|?!vO-)U_E_oQZ_=rYER08pHEUAG`^cLk9+s8cQUAN=oEiBG7#( zIEwt9!ccTtEhSMubw6CN2X2DfONt+jM0Dkh=&jP+d{CvJ3-wN!zt+7%CQ#$pvkD=L zsB~glgJ^W;$AC&6F30Y-r<;94hn>viqNcNK$m7h;2`|6Jz}3ZSM?g+J3E{=bOZJZE9HQd{X=HdhcMKLCNv9g}Ic>6x@@WS-c#gk< zSF!kKlkQbl^(MkaFo&qcf7@$o&T3F@dC4&&@2?gK2-Ys9Jn@CSiJFVZdjG9<-A9Cg z;FkOr%2xGv;hr(v6ur-i<~J0o58h1wx{8cB7aF(I5r7X5FQ5`Fji}RaAR(IXqBD$8LM#G@ zDSDnS!I93GI0|DwCiYaP*(Yu4Rzz_+dT$u2=kVhr;pQor$o&j|SzO4F`;#8@5!=RO zcoX&8v^__^E*PZ1b0P|0w%#pF{%Jde2$L6|`6S%4R1g0pOpaXpApQn63H`aV5oio` zz#q@|E4O9eH8i;^M>JFXdkD;Q*~^WXtG*R}zLXlwvAD*{r!_n6=bf`G0J%qtrq4{* zX#TElH5$WW1RtLwb7>{LDbb8dll@nE#YZ7Sh2orSucQpT65@c`SeTuGZfU||W+%hA zazRVWk_qBYI%d`KZg}Z%p^m)nTeW1q3WmtklmzdzFI^6Ai>)3G+O>jb*K2WFL%Gd4 z9?3fw>O?wA0@DSHJ<0>&)_YVtL=SPh;+|!eYkdc5@3p`0T|2TD4Y|UWX&Xh$T6Md$ zN7}~b(@-B~zI&GM>X!c9hAbqF)l@sm0c6P~OV;?|H}C$_EhBsQX#D;3r6>a4Dcm6O zok)nF3LYLr2WUv-U)vd|;Owx_SSo1&h7F%6J)$5AYM<+6&3DNZvt9d~DS2S91{ zmW+c}+`8>g_Jd|yu#A1OmP{6)(jV1ZtKaQh;Vv~#-E@PD5YYo!HW%5p@&u(S!k`t) z*i)$TU6=mIFC}B@%cp$}0=JbOc%p+)@*udkX&zAaH^rE4DLD0&M3H3owVpqSRrQd! z+Uu7t0mnu5#88%b&2Jmd!%VdN7-d-4@K|p@P#sm}ru}OeCHFFJSld&R;DsS(H7lDw z>@M2iSbBO>P14&`-b3h?J?p(#BhjJC>G5o;($9Ll-Z7WJQY}Z;357@HrqM-UNn0J> z?nLE$u=?7!P(zCabHq`PK%NT-6J^YTvI5t))_QI15Nk*9uw}xJ>Pt;J^`ePBHs~sb z15!tw8+5h7p@Cn+!c;@_g|l~pxIE9It(^X?8i={gLH8w$XJ-kxX)2e{FJV!_Y7`qw z?6dKM`*PJxI2L`+|3*U*z$c@1`3*V=T_s2;2qJZBT`s;-3Oaze3FQ+lSU;NjB6eTM z5mz{;^ae_N!0?)8DbX+`*S7032|^4N!thwXFmqU}$4^!S27rnu#w^~~RQ_ThoACpE zh`QzE#VC_5H0)aGI4Bv1tUDW}dn|Q=nOcTbQ#UjgIbI_zL81^xn#Bb~H(?7Mu(@f# zBG?GX1mnVhiBtj6p^0+!3V~Oq940PW$>50&sDEJnh3iWhi7D6i=ATCc=e@^O{3u4( zdU&qC6fyiS;6E*DtqM+&H?QAqK>TLo;9<7cuK;DlT;FLj`bl z`K2Spwf>rgGX+bnZQ0}sNb@bUkr5FQ?;ib6-)d^K+aD?wvx(Yb3NM@I3g?duLa>xA z(3OGED92-6Y3cot>1N}wQHb3=5-OMOrqtoCoql7>QNeQDzbPckzbL{WL3}a&Th>gA z@Zm7?x!00SJyDPs#<=N2PCJ_QT&9?L^~?0pd(BVCX)ngXYyWyUU?j*10H_^yX#bpq zr-2aKfeH|V6nG7BjpjW`)n>KCH@)aOyeJw^KEHY41W`Zit48pyuA&IOU4em>>bn4= znYXj$mZ)`y*UraH0>H>DMGPN#+!h*G7#0xlklH-l>bwW+$&E`1Y55qglI-{d9z1lq zUY?WS3iY66WkEqf0gM1+Q3P9mrUhnbS65eaX^Fjee`jsh$`&XCxCQ7~XggtNLyjsF zub~0WpnhW?NHI)TSxbqC``|my&lp1P{5fv!FX1?@LEG eOqy;tNFQy3pt#Mao@jCC(S#F%t_*0RU?u&!kx(qypAVnl= zdU)>74J}CT+P4PQ2)C%s6Ds&m{TEC%=8OXEw8lF3kKMap@o2+jl(bSMpfG@lLf^0Y zR5xlB#l)4_GUPX7mQH$g7GmxlJAJiwgI!>KcRP?7lo(5}zhdzWjw}zfq9V(+@Yot@ z6jKXTBI^epNV~E6C8^O#;#6d4+zT#BlUPvQ%LvN2>4|73;}H&V-_xn=^7rDbkTVvf zr58>xB%(tYFM#4GB%_FrNSKEjTmBpD_(-PVbp;Yo+kfKX(`R&E<#tatJDaW8`wY1N0&seNYQ+|cKd`H&9Ynd!%&t^a3F zX9$bm(}J6mZ%bIk`*#!U|I-3ErgMKmrIt^JE-Qr#$j;6-{5bgu@HqHmNu!v37dEK) z?O3}Pm1HFGkP|xK+Y^+0Ix`C*??wfm8;}lG70m1~$5;@@bk!y_wT4F{M z5`+CqzNJwQBOP>CY46z&H}&)KrmmzaNf!pnl;3Rvlm3wkPr`jD<#Xl{QssPBFZ8m} z-BR^D31_>**L%YhId>QF7qQ_t^rEu&1gLY(%&v_os#Sg}38m2scY%>?*LGFtxjDk> z)@Ko`8mRx=gU0e#h}n{v0ixaz2`fQ)5466anP$5sW<^p!;iy11%e)T z&XOeFb7>_&mi3ct_D&iifARq|+`K%TrK+}*mrJ78Wy$mI&yUy3?$07k1HaSr&lsUT z;Q$Kvr=2j~!~ArMT1TUKc22{`r(GHaz6)qTDwp+~<@9GD;&LCZYU; zu^mURo1A8^=~3SNSJZN0p2FP|!2@Mv-BzGV1YNb>isI8;I*V?b7 z=ZX~|3f?vp0$OT&Gv$XzMyHc@tuI6z3u_vaa(i>o(tFlmZ!J;~gVw z;i&No=ytx^I>+&wOGjf_);eN+(X%{^{a9nXBoUCL{~S1K@goqyJ$5aaF;ki~B0|M- z$3|qpqG4reiJGf2k>NMNUn@3fMsrL0hm6>hr*H1I79Zx)P-D>@Se&(WTbM8u0K(^( z4*iHy{h0i@-#%)Hc~68wCeWyIIc?+VILlR9YvZItH|$J+nN%VPTb=SR>~wD+P<8vA z4S?%7QWX*axyAKJ4+azYAD&Ec^t@(~Qv6W>7rd_7m^aNU-Z_3_!+`BVRz78E2y*=8C@xAB8`L)dqz{z$OcGpZHPTu-+68=i; z)pNOufQ`FQdgN)#Unv@SpiY9`pW%L4FI_M}cmb|~nq!+uNi)MFQ7)3qjAsAgHj*@k zNQU!N^=6QT^Mb|_pkC&N0Rq04pQ*}grDN<@r<$ywV`?*K`e29Psp1-?0!9%)ima~^ zL#3IePf&<91#Li~Qk|l|V%ktbaTTr_8Oru?92WiCb8Mw#==j%NqR3DHf!}=1sAvH2 z-v8$I^8<_4I3!R}h+O2>YFJJBM zbCTfadrhmS;@h+;>Qeh(wn4b(E|Y%ah_u3VZOUnQWL8iR3UhHq`s> zHV?I13c40>6Yf0HKqnYs1u|72t6XB~=c?tQlr2Am1_Z?8VL7Z>vDHiE7OwL(gi7hJ zkSV_ie;l7g<1AaUS>Lqow4Iz)mgwA;A;2Z@bcTzJrArtSbUElW1qG+2L6u$nuul@^ z;%A^xwBsdyP2X#QjkFhsu$bjig&*p(tS(%ITWBld&ycqwd;_;frAhE;ee>IMl zLfiyufSwG2v;Yl05`|2wh;?TU-SmJpF+lBS_ABA-FO-`>M}6ntKq2yU9!~@SuAI5W zOu}#e)J&$6-P`V7OU^2T&KFrjet&QdHBdNAW~8JHC+WL5Pkr^j4CKJN1Y;JQnp8hu zBW?O2NPd#;)|-!Kx+2gc0F++Rl|WfM=3mJbQkiFY2!0vryGaGAkFqGCLg%_J@pyhx zp6U}7C`&B~!tBcis3`^Ds4eMrYvBe7lP-7t!+#sIpuwLH6{d>KSqZ`q9$C`1mcKao zn}5=11|5*)zWF@d{Q{qejY^uyxY>T-DFihnq-%P7l4L}5_bA#O!4T~PosbNikF+So zb8i}0L{${~c1p@Vp(Gqz^`*-5R#QH$=Z+_+4P~dXq>xeU*j@NLoT&H@8id^Ljib0X zlSQ5|VQ=OsywjOhuGFICei~)O%>2V9`~%b*N*zgo2{CEXw%xW0L7$1=ng;$+Zc9(9 zEAKuXJ7JvFT5TPT<`5yjtK9^k0B=VnpGzAX{Q0iIAoiQ~(c=SMKcAyaQHSSaMTW3l z#8h^)jnAvMi=1fQCw{nT-8<4Jg@?YKm}vo5;BG=hju^@AS{xL)q!OU#(Xnf1fA8bq z!}F2*FcCHX{+)mXoH1A@P$RKrAlc^ly_9iwnse9^jedE$>dU=HPR^r@{|y2eai;i= z3@#a%l$g1g5wR0-hft;mtat+BKJh%i>#giA*dRBhYl)`0y8Rf?zuJDKv6>N6<4G|t zHkgibeuelVRuaAyK7{-N3^DHCYx_}ctzR}{H|+u~QAPI@0TtpaTxHn*@kccg7X-=s z`lt;$v*96Jyi1beI{W#8uL#z9Rd6FwcZY_oji(U%{ve~njaDI4-A0c>%In)JUy6H5 zuuuY)y?>ky0U@F(|3#E1S%a+!&6d`Nr6VVwEN7l8X?2W9r?+?_NZ>r|s|vc*Rp1?}3w7yrkx1wu{h zOFIegzXC8?ec653)!y}i#QKoxko7)0$@#fV)B^7c7OZ%teJ*wT=nGcEi8k&3H;J!q z!MYD$u*spT$VuLlet){jd#HpACoM)p>`6$9KQJ%&{CN5bKE=-I>)*}) z=((K-)3#YD^2co#E;{4~@v#pWwl)9TD8qqPrPCD7GEd;|fdNsa)$DVkz+0vJna^@S z1V_ka|G2^azS3}Gz8ehR&ZO1pZo&pWtv91?zoO&%?{uFyie4I3A ztrTcUOA<3wB(&M78P!i+dIY>b&p4T$LV-yCvE7H4)6X=BR*Xl|)1Th7Xb)SiIjn+S zJhVMllPBys?pf^JOiy8ZmVpvdtfb?X^;PVzm71GZXSKr4K%nxIdDYdz zdz!qN3Thq$G$v2J4x#I}TUJ5c6jMkWI&vBpx@GamNkUvCE=Pg@{x8}RyMtVwoS1L0a+Lw8^Czk%|;ug<*7u4%sJ7<<>SA$uggyt0Xe8csSI>)%Cwbz z{vrUiXruCG9F;SbvBY6zxDkr)L$$6IjmoJEWWd5YA8`}XfSap-kPWpz^k9mQ%0ubc zL()y##7lkj8))m&!suWP!9JHu$>u9|9IW4tje|Y}_eSt6fu*%b)~oHve4tEQM_X_; zft9T-eYl9mG@iHI;fV+DS|q;(-=C+^waaIs9OgdKVBvlF6m5J~7dFyLz4q`X!Jh&| z6+cfcDYS2Ir{FI5kIvzyQU&%RRRxFEpB`G6vOo9x!6YAenrHIetaQJY)abbwyQhSy zJ=I>?{WVJ_BN1+J+UZ+quvGl(EHcFsIGyeJFlR2i1zsP>z21Hg{{}+*_Z<4EH){C{ zbyFE(>s}Wx9n5@18TP@*_mS#7&7rt6u9<<|4!GmG1`HxLG|H^bU-1Cq6sOdPU9%qGDL{Uu3x>hY<(E4gs8 zGjJ<>Vl**^O)L^tTu@${r2oM_6d_sfX@nwXB9k41@IQ;V4A_^Njva43NO&RXyM)iy z`vRIAm(Pw@cIaZfDKo;WCEt)IIkW4Oe3wikfu_=fYe{0?eVmq!<^ajc0C9`T3LPu7uJY$O44 zB#6IEuTe%U_=7_WLx{y zdPI&41GYb#{z(UQ^vUtl%^^UwNDI|#fxz8}@H?Try{Cxg*SlOqZX zZMa{&Hs=~$zn+C-dvKJ(J|n7|GGD6u$V-Jbbvyt7*-ygxfx`;Fp;l-?oZ3`tlw{{t_;+66(IJ%#=g|R1!*caMBWKL7h ztCA0AaE2@d>0TxAp>l#Y1+uA44uKw%US(uT&%{$Ysp`FHp}BtRsaiV9C-Ig=q@WO< zr<)pHwPthTzG>{IuO_E9{lDIy9O!jw^Ji7=?wQVY7*`LWfp8-M9{`hCi0ynEwj z#MS@k=m-EP(BcR0^$D0hvumAm?XZi56W#`%S^DhIUL_1A-`be4wBh5}_w z7etK$D^!n^^L$#$pZ&?ke7cD`5Y@Q~ax*x2@!ekf83ldcEB6<0;NrS=YFet_TY*MJ zVGQ@P8Z0*Dp?`gvg|$X^NTdE7oydagup6TZ0jYf+u}plHGwbaB7g@KLFai0r3!W=; zn2OWBUk<1F-_1VG`?RS=82#xY<8WKWjP;6IVM(X-pL*6&TYiPv6wCrbh#>=n-h{{cWFFCI2j>mBLV`Jir+OsXzcl~HP z!s|PlR=o#w@+)Z;jT3Vfo8w5=K!PK0LTbgdx4J#W_T86p2(;ROJ^(&5Q2ZCDm=-j0 z9r_;18uI(d%_=N3i*%4&7n;u@t`AAEmIW2r%Ee}K5ntBK@2kbU3EcdCa7JH$Va?tf z1?ms2f1q3V`6cO+{V3T+WV#R#YDY{ROEGh3n6I*jgEuBt-}ej6w&ZV@B0uI7Zjj4@ z6C<@i2Ag6(Hi|R_Z~hh-cAx3i^SrXiIjy6S_*`a+GPS>qy^^G(oD@4k&y^^AN&=o% z&9}^w@!3XPqGJODJYNL$uCgL}ehml41=di$_tROfvp-(IVKd$}%mNjUF-o!_KwE7m zyk%a$0Y47H1ihwxT@U#?ggj22Wywij+EH>IW;$N8Jz|}C2@K(_c zj6E_!I@^pPl7H!I6Ze}#(@s$|g$e+|qN2VTD^`34a6c= zu3{n{q;Hq?Y^FgzhNd9tO7+mkHXez5#%O7?52aQ=B1y8p-xeD0gT*=jtue;sI0pUS z?JHE>_@&~8(%HT(NCf8mbvyzytzLwRAE>rGi|7XP?Oh+ z=$_~kHE%)FbX!SI*5b;wht^BKBdY)b?iD5kbVf*BJJ62;&&+HYQPI)oEo)s>RaLZz zfY8Y-mQL^acHp+6Yzp+aWF-$I^Z4=|`7Rieq}NXY8QqN#fkuY8VRX8RB@y(Ri!FDL zs_Q5up@hVBhvfhD*mrlmgAqsl28&61_fIgp^?;rZ&Zz->9|L>y{hrAD{QUa*`st(6 z(gBbmU?ip<>%VfYZ<)s3E8bh}Mh4p*-urQqOO9HK(a2oe0OTC6B^-gyt+P(+&yXeYdKQ1HEz&ptU1Z5wd4*$tLX zv#*&iw(u8{?t^7fmz1=Wth_T{Y_ch{b#q3|K%?irAIw{be=i(k3V*9rTgvjbrCFaD+fOeUn2nVdyGw(VsoJk1|T5QGpUn>jpPs znhZSzA}reotivHe0(IP_P^;soM&yqr7qrTe!qNx>6F8H&jnT~pEh2?{S-SAiolBl~ z#y@(gG`SC{FSveKto*q z6%jJ$H)1dJo}-hF3+o4xrcJJ}+A$QhBq?;HlJYL^Q!CpiK%Uw%5(gk`uSc#rcB+bB`F*jaNsn`QvWIhVbntcRRoC+2nJ3prZ+Pjtlr=)mUO%l5u}h zSDWo_>UF}i(ZCMaU?%ki4zkST*a6V1HLs+e(XR|X^-!DaIs{95?#H<_l z9XX4Ai$2XQXEL0>{TnY>t=-Krut?q+d27wV)Nuu=%moMp#c;gL$5VB;zH?Yi34Wgb z(VOLe+&E>I568C=a^D7H-+deW_AMKS9j8Wq7)~<~aN&vx!naYE20nvPTdx-=HSdd# z{!t)sRt{l&(Fd2B?YmW`=2lu8JBTO&KiW zX!1dag$}Zc)nT@Kwn;KxUcL+j(Q8x!8SknTfJmWQmBeb}bnn@!+`*@6bjh&KlJ|J= zH$^FLxYs^&+J}hqtlFn-^G_1_yO9h1OT)vL!An{pObGAaa z-a|i?YomKTsK}oKdPNb?-jxSRH3a;yb`9y$w!zJ-E~Vnry$YwEe>ccOcj?^78;48G z&d#!!w4+h*NY;W5_7<4s^LkU+d;ic{i$ryyD@_mKM_D(vxcvZ+pUq7z4U#m}+map~ zFX*}0oC{DL^*1LL`7GiELXke9eZdBIP;_^Y0A*d>3=+Qppb@klBZ6wJquJB3;m07L z?xT}59dKT%Q{GS4IBxD6RxU}Ajk%We)8cF^__Zp5P7Yl8hKXPHXnvE7^Z;d#>8Heo zFJ90~5v)5YXXHNHmqJ}RQ$!7QT$rFho{vy2LauRlLK+c*z4M!4;+qONMB_x=6wi2k zm?_y4-80JJTS9iG5CABytoOcmx>T+I1wi8UIh)o)n%`;BjRi0`Iwl*lq5!mlxeh<8 z9g)K`n9sK&Qj?X>O_$ECw~Hbhp)eDotlJ{j-=(&CDPQIy#P8Zsyl~LocSdOvu2JWv z{aj!3hl%ZZ9yw{UzY8ruHv)mJZJk8IaaEL_@2kAflruqpsXb>GzwXVbaI7?i_NfNS zNOGL9lltAGe;8*PLOg6D8f%x^UnzZah=VM`MtbKZ+5_x^U@Ps>wH8${Q<*vnhG4yb zE5R)UZOx1=(YC7+LV&3_SGBLWIFvJAzhqpQ!2e~+2|T^jh`SD_WDHgQs6%BhW)|;H z1yiDeuK0=X{ra~jGX0yyHRWuPXg{S`d-oQJMm)KD0QfseDJUsuSJ&9DdMhB7NqZ$t2^ONf*gC( zUgO0Rq>72o&mG4MR(q3XMIMPoh4ewKc3O^WNJa^v`dLRl-j)W%M!3HTK^E&;tg1!fpdLV0c?ZQc@9+20i$VwqR< zrv9useEz#>Vye__xTq84>$2$QX-4@k9Em$Y1nx!qmseCofQUWs&@A5{7CF10C&6gx zPA2aT$dr`m7q~hL2f$#^p=G*6;`15z$j}-?*RgYB>+NOmG;kF|1Cm>N zENv=T$x=eFYeGO0Go<3=yGle^vhOXrikQvVfckXsN+I_+>12l&FCMmsaN}Zo;dl?= znHyqgqefD#EiGvxI`X@n_RUPaLhk}~epYl6do#+n6-4wkHZ{3NAK5wDIwnunGw6F@ z;89_bf2Cw$)=^?Z))MNd`?E@+lEReW9?gMoC8x|$<;E+Yb^?!WYq;~1j1cmdYlWA0 zL$oA}LD({Emtm^#5kGbR7pVBX)`fxDT%{wrjSO7qqR7Kw$Y+8>M4?pm-bqbTJQOe47T0ijY%@v?~|`1F#(guBJxrOhGg*jDg)8Mn`Qq;Pvz z%f?UFskS*DTe?gOi5v89Bh&aKGVfV883xIiV29y(86gLva#}&UjzS8(>niIZ{OtU%M7nWwN2DW93k#d0M0_xZg<6AZq>`CbDEefS+i`^*mMX zV0q_S;icH*ObnwW*z1NWLxTO@FtX#}77_@W6Su5&cygtT3}lJ@SAx;dM7!zt;G{OM zDUN`z{65K;$lQNyF83dwLb*6zX{^j~$2QZw3KnrXoE<^4{A%9X^#Ah~{^g?M_QGxv z<+SB-(pVpyg-jM&uE<(^pfAb;5I(XK^OI8@UY5##CYR`tHUm)E@!EWR#fg`TgoPMc zjC|Uhsb$GWogi@Lx#)&_-kjtp$5e8|^JU&6*Wux0RLbVFn5&Cqn2SGIS%cd_f^J{~n( zXeKlz6Xe%YTn+45cTI6Z;!j)*S)@Gh9Bx~@r3c`-+YL3Eg{R$p*~kzr5B1I z{G<^_W)S*?PPE)~HI8jWJ_?U%w}c80QJ2?D9CAdAx81ry@ecaS+%Sa`4{GFKt+4UA z)?At(OvA+xIU~BT4Dq^j67-JfL(PXE&6Qjh#FqIT05HCkVnVpSef2Xhf({PGc+Moi z3^DakI4z&ng9n_SpM$-Ul9(1BYu>+UF)kEe<~TjgadwSO*K~cXj&HeI%gWwHZ9O4t z+4oEB;Pw8LwDKK;jtyRJw+h#X2b`U+eo-9|4q&g)gaWj8niR$hy1oq)`y+mtOG7VY zlwN7cVaAXbFoR}MIys^EpZEu`xY}>Z8v2AZ`+qUg&%!%{O^Hsf5^J}W^ z9n{=&&*|>dJ*}7h_HTuqojsIvI;^j+FB`ujuN9lWFF!wjbe2nP(qbTK}e6Qlc zC*^g*9K|-`qecVd3HF`GWbfgx580_WY1I;lRdw zI1psii#l@-Jdx%{&Uyf_I;ymqM6`btTBt-@MPP7Zx2iB^A)z?Ph70^8}tshSPk zJW7nDGGJBs4(72I( z^wV{fgi;!asX=)R0u(ZA4633b2t>iwAy&FP0vsv*2pAwBXLbgO{Iy^M^CReHP!eh} zsKU{G)F0LW0GxU8MB-g03CvkYFCI~NVnxOy>EAyY85Vv*647B7M!<%kL>cm+kFuv* zNjs)hvacUbjbqU2I=!xevX^YTW50_z=!?(BVu&_kFs%Jsy;c7`D4iOrukiilYC;RC z!;QupL_0><%P(l+`s4GsVWJF6Yh=0Jl_T>#{?6b0DN*&fJ?7($WLerFY;Z}}mkD9U z-_Gc^1>AW1#dFkHGE8wi80s{fAO^$Ny+_THclmBJkT}C{v;D3XBnkOr78XtzyMR@S zajGDxj}Kj|2qI(QPl@Wpc*9o2d(FwWw^+2m@ZDJ@U^bYItYwrN?X{4wtGEgPEjxLk zz$gel8PV)Eat{!%K==9Hd5e%;W(?;v1v?zaE)FxD_wM&;vI2Rw`7>Ej?(6r*YEV07 z-7!w#gC{??nSx?G3zeG)A=s?k5kr+iI1ps&Lw{>{nS_37Y0Nh#1ob4IGnENJu;)lJ?hsR(`+^7(kx!wvgMEn(%uOH9(oL zpYq6AEVp$B4C_p+uSHiLwvUr$fP6yl)-NUT_kc}Ztajk#YeLwAEbMBkG&OQgXb82L zbEtKm0-cIA8as-+&?w1`Ufla>MJDx>UzuDLFl(;uWV!X*?$$ zjA1Ol4mCEb9xuoD^(=HabR^eDNjzR}p2K#N)5+8ZZ%CI8P-`#{y1w3?chc&L^%S!- z9E}^x`5w-o^QNkn_A&%=4EDU;9|~WctDBI)2EgvtNf3TqYuVz-(;>Z$E}Rdw)bJe7 zlEw;rx&waqeAZ=TWc=-UoKhm^8STi6gwS^6=p)RzRCpvHTHNw@#pf%|wI0MZuo2F;MGuF`*e-b$d*%1Z3kt|%ZeWDA;v zQIXHcG(OxtlgpP~K-GMUgUBQ@KUMI+6z+VH@^*Jn-nGmutq7s5&z=cOG}jJHjqErCj5FnL3Fs&u~>n6&qGK2 z8gjrJijQMfm8LFE-d~^=J=D6~MUl-3778LBunF?_&i<0K<8`~*tEU;c4P%!Vvbn&fq#jfq*$pBfjAIG|5O zCQVvMB|LZ#!*>@ag0$Uc1q7+rZJ*Eg%juj|Rf#CPgqaR#jNN=rbI@?|$&D0-a!QF5iD6r zk9CKU$A?Gy436t+7=qRHW|x<{BfXc0WWv|jSR4`A>;@lQD-z9Cdt$)DBarKNaoiTr z?epyGR-D#r5Ni!vUe zW1fqw5LiZ?U7eNmS~_ktH)Bkw9V-s*|B7X4yH=`X~6#m7N|MIl4XNrVD;7?PzNDOrsFGWOvN@hBJ$ZZ+9B!KwKaF4 z!~Pq9JE80oaJmmBTwq|UJXBiWGy2OdMcwLdJ*^%XA03=mm?3>wRa-ncu;{`Ux65+> z*4aNwY((YIJ;^BvfQ$pg1Fi?<+-J1++N1qs>vR`)Veqd_bqEs-FFowiL*?`=`{-{m zy3@sf>A@TL6&4qgel#Q>*m0_eZhpQGx#Pej+hQrHsH=L>h?LRvTs_*sld3O{4dlKl z-hG^)+}Zz2&oiVN^n(pZPza)zuNCd7`h6UEhE9z=#AVnkOds$?(@oHg4IY04byK19 z8}-YEYhN(tO(Ovxx{MsP&WK!hV&H^4fqJHlELDLYazy~`O4AgUy8{evyW1Rd^4?L1i!0NMIEvBIdO z?9QwjpiYkBU~b*&)w*N1cCyI9Fw9MDll}zG8Yn2FaEfcpkqeR!=T|WyI7os4 zG^uUI+D_dNx&b-ogWALrXYj8O0~~M4$l$sPs7nL4tdWhG;8YR@!GZ$xJ}}uo^dyb_ zpl&vaV;A9wJ9n^;snumm0>2+u?A3V469))?`y6-E{nfkuI|n)3eJte5{sA=%fx3X| z-!-B8{+XHG>yV9TGf>m+N>^v2r&?!o%Ux+U=EqnrV6T5A+YO|tvp+aYG#(h9+IjCo zj=trOho5fXy8{OtVVfM0)S`-*NynL0AWZvQ#)lEIyFJl?j~-F@xxV28d7twL8M1;3 z=i$5-8d_!a8Er*!v<&3F-I?S)W!w4QM^NI82yZN`xh%;0HJ5j7JsHxl{z=8a;*?up zm75lL>+IpVi~?yc)WuOZ{HrS}_5*PSfVRnM+^QBCMKp2|lCU02sbBYHq)5bq#P-(K z@1HvY@5Ky$5K#UC4PZCjv1vO$#2oM>U^&w>@d$ooq>T~_QzTYZjGNYL5JP!YHq@50 zOP90CsaE()ir*CycE7J0#adffL==z8{j%L`Jlf%R2#LD5jU54XwI%)a2!+}|S6XV^ z?9B!J%c?7?(-lD{Gi+rX~FR@d@5fg?lRR^)$~<@xC|wN~>v zGvo6wcgJn4zF4LR-KWbZNMrzXkQp-nJ2oJ%s>BN`ey0hV)yL>Q%AB4a;LyYLY56m* zu6iCNF8=(y=574Y__K@@nY35i_6|nieeP@9GjnEw;=H|@ygyJ(zBul}fNArt?F^e9 zx;lE9CU-_YO1?;nq*&YSLmg^=JxHB&e@Xy!_m9pb^!ulQ(krV(kU6j6{P>X~PXP%} z4O#Q4ZLu>12(WQ-yf2bsX5x34pl`8W@3e4kbi2%0l1ZhD*e~tH5!|>4h`xThE>mu14TI3uWj2F<<;g!&b+u!|rW~FSQHkNVMAO zv4GX>kUS9&^{0k&{}ia>O%1T#>^vK{>QOM~hOp0pb%{DWLQ8D-)tND;5 za+JC6SJBVAr0`5ND*w1Cff5s_IgYUkpZ;V3cyv@EFmv$Re*M{xusA5|=te7PG`{@B zBIa=uQUHlRHZd(zOp(dKh%jsbw14b!_FEAVp1$IM+c;@QvHm#c%%7~#k<{jT@r_5O znnlrq#9l0)K>)e1T4b6Hs|>MG0K5X{6ZNyt0wJUlv{&!$ZtN&_m25%PUuh#OUMwsBHAG z;W_+;@RsxVAM%lH*6KqT)@RaLN*fx`P_Nrga#*H04?r~7H>WUIFhNOb=6H6B#4%|> zW^w7WC?ieuVSl10?x__h)b026`!Np}25xs`cI$6VkAPLaO&EY^ty@`*uQ)zxmiwXu zEhdB4ZUD@x(?kwP7IC%Z^^Q7HxpSjJ5eZ*rAcTn&3n2>Vev=4|V${d0*ym-)JNm&j z0|)jpS{kZS1OtxpM(9RUCkNq!n)ND!NUFMC&>=H2qN$=i|6~j{C(mp=VMf^vEbC8A+7?RFNgK=nGkmG8;S7=fJhkR|M#i zJEY`~kY!5-j|R{!#zZM<7F$##f2ZPq+5_e~&)>>LfAbFvx2*Wx0PH!jQm-*` zB||R}0@!MU-rUsC(An77-Wb4&%_x;&?96^l(9bJJ!NHZMR$Q)>ks9Q2e@v&-DJd-k z8Aj+Tc{!~^nd82BP2fI=qbb<^3wfAc2~dcRDNVdq z1ko0f4nF}6;4n!bLpwlAOym-5-M!v{1%Ni^jnlPnmO1!82bD+g{U%qcHNwD2soPMS zn1s*!L0L}@=255w{UVSeq3Ra3f;|)@g>nh<$3Jyo0g8AtIc!#E^W>d6SzGI#%V(v_ z%Zt{Qc9b?Ky?MnGYW3Y39tPAzrTW)u7`w_w{=020u2=?x1Ylghrmp)Q%cEYk zd7q6d$-|gSL;o~`b&(N^l)HdoqIZW<@|zfVj{)US=_hO=I~F|2F*s*C7zZ|(m!w>S z9a8YC$@AK7Md{Qq86qXg&+oB;~46f|^!3Enb? zT&l(;`oVL(#Az5-VMD#P;bEcQZ-y_tlh=yMC4LQ%Buh4lQoJVvRaKR8zMs7q%k+X8 zxro#3Ywr_-F#KlsTf?vMISE2J(UWX90zh!B#lSnJ{bU}M;V6;gbY$^~-|E8_v?fXb zy7yANzvq)itYGK8liD3`WY9MjtB$Z&*#JUQSo5QL3>`0Sxw;okn?|M|D2+^*Ne!>1 zI`!YM$q+*^1B8NxFTY2;_d>uPvyR|Hg$Y2nR;~c!qDD9)6?O&h0+56t1*aIKVs7o(8C>dGG9uZh;w_$G+GL zkF&}hP?^#(j8QtBO!B`aCE9iX`KN;+;ZVY zLy~}SlJds+^_x7fK683laap?fYF1i!-;FldS%apO^AY>8jA9wo5G8KRz>~_OKgb!A zC+Rq0+h=W4+kFp0XvvS@Z{>{1&v{MruWY3`>k4|gB{XOw@KH&Q-qy0ka;i&klz474T|nAGuvJ8IQN^S&P`WHEDskSjCnFA&B2Dmz;TRK3=*1*~47Bsqb?(&c?)zOlAN6moYS z_A>c!_=-65nzY4@^MVh4+YSNfX_=EVS&AZ};C0om$2{Ag%N>3nxKq`|!2r`V&=j+r z?NzYw$tj-!X=vw{`v^5d=HvFVat-soNgEPgc#1KCcO>Zhw!~%jp(7zN($(YP4_MU( z2aHORz(XUoxggv~&Ps(#plGPn_=|vnEBq*xN`RTyESJTry9pK~9;A)odF|eL=a*A9 zvH>fUPmh!cD3uGtUxs*eePyJOTad~=u$$q0JWM$@6-^SpF|2>c6Kis5lH#`uFXCxC z{1&LU2H(~y*Pe{mt_kx96Udoqp~34$k`C#wI*}ST79AbK#>UY$gnvApV-s~O^zWLM zsHWB%JN&T1Uu87zgoMM58$11k#|D4S3Uboa5WRohMG(-N!KuqxdVA;hvP*vLzCs*^ z2M(}OtJ;%;`SZj9{CeVP@s4F^MFF&XVQG)*33z`E(`W8Hudfg~O)|mM=r&jmjsN+& z)#?1Uybdc`e7B^kDosmG`g7}Wa4^NjX2b0ZlwbqO-|UNyjt1f2E4nXif{g1$G^(0> zPp3v-_lbmkNm+k7!dEv|Ps{C~wLBCJ7f1zMqzqgyPU5 zX|Qp}|IJo30vHZTj;1Wp^4NT_95OlwfY%yt!Ha|(tvxM{b3A6KvcOHR@b+=Ifh<(f4l#@!ow!&K$zLd>hyNdP@2hD1jc64ur66$N?x5{5R#G zmbaL2^HpgtQ@{~E1If=m?Z0fd{C*WSKA*0A+Tn-RM^2x|ed35vy?!AYK`6#C&KuE^C=0;!aGX8ABGDivvj)p@4dB z99b-ZI6&tOe-r{FN4(uh7_6IQFZ*iHekMNxuH5IR!TdwmB|jzxWc5$^fXv+kxa1Vq z*qn|8qVM-~m5vxYy!mH_5Ewd9P;pD&R(rf3#u>a($^88*{#i1J5MO-o{(Y@83>}rY zLs^u;B}F8OY;!vPKIhZZHWLRH6q6PX9&v#|k=cQS?pVwQu(sIoT#qQN^z-(~DB1+s z-9GlTf2^!oyV_fe;!Y9i>rBaFI3tD{tw~5TDX6}{H`oCk4b-eZKA_}x;S;EFri-V9 z_>t_s*9lg7It<)j2$WWIj3ktBt5s($64r0{2yIlR-sVKTb#~oVqKhbY(jb2OR%!v7 z%@fGNYaQ1T2zQ=jiqQdiC?va8Jp3~OrV?BEPUHX60)XY1J3$hW6ffS~NRpAm@dyq)&a;X2Cdyg?RtTBJXf{ds6KbQEfO*3FZ&8m7 z0GK{e!d(9g!Vd6iqNTB=N~7CSYopm@BE@FA-s7eI=)AfoCnCBu$8lT;2EV9jyTNN_ zc6W9XhsD$L&8p%rfKio3Za#i)4g{dGODXeXcRcU^S*g+jZUei4TIb7fW9}uKFOv&b zt-#dM+*`Ndw4Ki0vLL;Qy*+csU$yx^BSkEk14&AOz5CiB;!zxh~yh`jaod&qhBI%i?Et?8we zuFLc$my=UsTVG$k*VUWL&)jode8Xkd`;e_hi16ALznzutx2cdSCuE%lSI|JWW^L;2 z?QQM-KHYJBaef^^`ckrzUD^o7C}Lz3k20?CQ-HclfXWPERYMV=oFsC~tG=vAzf2Vf z{xVu@@b!G)n=$iAR^3A^Cnf{nekvBVGE9rthNM#<5i2gr?P2u$9^ynpmJ#WDYj*b) zkv&5lXn*|^A-O5|SDd-f6p7Uf46JHO6FSBh>|w5T6(k1>wfTmNXWN=fsP;l#N_0n zQK$;365@G|fG3|1FSI?+fAzM8ZYScE=}gVl5Qz!?EgVx0V?TWjU?Fx9zM!xTFeezn zIfoA|%EWrST=b*6`{9Wx!b-p1DGOE~3eawcATJ=!btP!NaZ=QVXnqF+@b>>8_9I!IWl1Ah{@|bN$0p{oR=j*Y zU#R6qsREg8y`7#*RovY^vYu&rdH8fk!_6-i6x3c#PLt`Gtk>h=beG|@10I{k0xZkm$KB2|3n9Kat1)PW&%vJ-;28uMea5mx>wh z{H9_k@Qgux;HJxgye*C0XE~$vbhn{8*Uc|Z7b@xA1~EXSO4Ik?M#$oRYNEwB9HqLl zg$+F#X1cS8M6TFsxIdlI>}8}P77qxrX})W#rd zemuD2r&gv_&IVgQF6dfnBeZg*;nS5|*VnVs?7(nEbcd|DxjIl(=ZSoi%}}p9iC6Ak zBAS4tbORjwG+uz6Y(t3RrS~{KtJgHlwigo`qQ^XG0nb(ENp%<@$;ob}$CV=9M0sOL zKe6c9ynfUziAkla!Fi?AF9Dm&bvCx8CEgcGi`O?8g4bIeH!d0y5<$EXC0I~Ork9qv zb`0$2#}=XYl*Y;LLv`xof4^i8lcZHEl9mq4FBui|K zW}EZpyN7pPNz>dg@6E0eh~|ylJS2pvuz@F@qid?!wOS&Me7jeC5#?^I5T0g_(- znuu!&GDoSt(ePa-n{_?DbJ%P&pH;HV=!c^M=2_*G=ntdFN^ZEacVNp}wwbjBhtDY<5d>xwcYkb;en@FLbGG*>mv8n zeA~^WDr%^cxMst>#(`z`CZ>twDS@+Aqgd{{8*BD+H!F%H8l^ zGEiWK{!#uFlH-y9cvLtX1hDY+M`?|9c^cw0agiFbr98?SZH)KSMlBJZ{64c?) zBUNfGe+c185f_DrL_uoq@$ht|jJ+g)%ZuEvOJ z|5?k>1B)1>4+p7(vxXxIa-y1GJq@PylK^$CjGy|2FZ>cS;8xAS^mCZgqWo@9Ao4wRgFt)O!YyGMK$-0!S+JMo~>>D4El?BM!2qJir= ze`zrSJzK#o^ zu)mQx0?)g-T7BhPzpuz3Rlh1RxF2}fq&-H01#YJxbA$#1ejOa2nN@Bg*l=7>0^sfH#Ham zA&~ib-KH;+Y1I)QkS|u3<`x=i+VcD4DO|7UtCM(os1SU+9cjwNHZMX{LVqpdyKQ%Q zK2}JIgMyMlE0Jr>J}_Rk#Qa&*Nt;zz3ae46)e$l9(L)lbheG02VZ-7r*Q;FoOiX6e z7amS0&Mq!?J9SQmhW(jPf})Kw0Nd4uZ-FuGwGL_nldriuK5uC9d{WC9feyYG(Ugsr zmupq)pg5Y_{J*Im|6)4StF-xzh7ki=ozx}N-P~MUmV_qMZs_Ck=k`E>3$CHlt+S)g zX>kSR)$wf|dfl&og(bt{en7AH=jmJxr*WbYwtK$lxa~~HrB57zMl5z)Ut4?o!{rUz z`%cZq6&O0}aVM_7C3MEsL=b)iTt`3dzxmiiNh=%4vS;#HPeqz>$X=aaW-hJIFD|Y& z)U7lfEQ=h8*O1YYarF0jV!uYeAH&N%Z5%O zS-k3!wz91-;}2o4l8c8}z^Ffa9su!uN8abX5$#v&$qKMavkeabT0~;v<-O|&Be=rF z9y@ZBF29YqrHTxWTXsv&Jf%CIL?-;qg#Xh3hv~3WB8o2M@vazF^yqq!|`U-06 zKLY}$W^C~Bepqa@O_)!G!S{P)7!C?#R_$w;I$I}Y9c8WKuw3fKgq*>I+i4p$E-R8D zYqVLPH$TP4&w=7NOG?B^EO)w%298hY`V!27^!vz2puJ_n3Nz{8Ve2-qgVT6$8l3yy z0|NSXw!hxi8Z)n3YZisgzB_#N+)C>j|9>^7p60+8L zFA&7??nx}qR6 zXpw=bN4+>51BA`gS5}|heRMA0Q&KipSF58l9n`}F@Bv`L`gmeW(iHoclzP-MwdMkb zU>(_>2J~P19*oLgMYB(XM6A>#CM87r@R;iMB7^|EnBUYLAJ$N(y#)p+HWf8F90*^j zRn)oU=Jlsd3}Ee7Q#`+gMd2iS-OBUa?e4<@l2qO!-fzEwap$^ruiV0A+KWR}V%P-0 zhO49nem)O8VG%>_*Ad18hdU+n(QDpEDND;s7)BH#T-$RGvd(S_fboh^^Ky*?FgT* zW`ZQF)vK(`cRFg-2(pis9K6UOdrOa-2UJptR58))hdW|>_#z?M!EAKJNhj&>*RNXc^%i@Z9p%+Rd8<6Df55}b z+?=Tr>J3bF25Axn16n<1kRapcQ!JTzsxVTL$PoFe-YHG?D5#>mg2A;nUf_w(1;JCyzWv`qv=V4Si)*-4T`wLh_ zPY>z5FvYRs`?VGR8^dJVXHVesusOHD%`EEK?`Kb%Lu!rp>;1QH-@ZIwB)aV{Rh5s9 zrn-suzO8uwHB1#8beiTpkMX+VKACI)>@L-C^F$3Fy}!EbJfFz?MBs5+Wu^7?0RsIT zoz9xK59E-1O$3Iz-Lk*cv`76E8f=*1MF4ody@JT0e&ZRBr8PVat1Zy&qWHR-ECE&E z(4K1*lEZfU;l-+3+g}dC=G4wmMhqZ``(zE%yd{RzLUd9U8h(ijvTiN1(Ci%^WnEql zaH{CaQi}U8SXK^QH z6(Pw56$|-3BC#2m{Ryn?M_Q-+(&=mWKj0oDgO>{t)JH8i;n>cBQ@#-p8JS+LQ$(pPg!Yrb5 z{ee@^$gf=Gf;S=&t{TqB%9?d}&*SDoRUFMkB?{DMu!FwH=&vn5!0Kp3_+vyydNnw# z;G|PHmk}&Dp|&i#$l0K4rK+sTVyA|{-~sw-p`+O2M~>mEOFKqUE<9|k?DH(#*k=0$ zV<;#nAhvbvQT}tppK)d5RcZmc5xf|HZVsXrj)v?{s*!Z5oZ7TSY%UXL_(lY);_B^; z-LLI?w3KEGY@updMdfscp}r(D8lgXH(6Q4v>;jS`z#C6eqY8R{ zU!b#WU)eVM3K~cAkUk5AR4az`CY(`TRJv+Xk{LyD$Q@A3Rh*p1=1P6zkBQqySC#q0GL4wD_7NPTEI6Geu;Nz-W zNU!Ub2#FqN;#Pr?-LfwonV~k$>oB<)gM*uuwKLXDrt|%g_&7aXeSUWT>fUy@&Z4+y z>+xc=i(((d^nH(Oahgy$H<&Xz=TeeHE=rb-Q8w&kAcO41k7r8CAYqLEYJl+ggn)tt zi`ra6q|NHM?9un@bHqab{vD);7SQ@n56zknf=0Dehipgi_vX-I=)#{S^tKi6)XtzAFSyjeS*c+^ ziO;!qVH13DvAUI-zi9W_mB{m&A!X?pSVT;Bc{xLN?#vEGi#}bHy(hXMWf5iendH6_ zlUr)J$%_jN)8;9$9aUs>PiDM31kgkLXp53O$5fKiYVxpjs05v{P101b`~0XFq&x_3 zZa=h+%Kl;*+}7owGwh4)>E$bO?iXW;Si(W00M6PF@oJyzdoh?(?&sUe`1yLM>E01{t<*5rfY@{7wKUq|^wp139$e^GX%c z{+_;N^Rd^ba(74jg=M9dmzuR=waS)c#+fP&-$?=|Cxza zp$g3p9GfB8XObW|+Tgpm+&ul8TE%Em66W)p(=Na+vV2$iXD{fH+Wm=9)8#Ords{w} z5?NkEBMM#_-~C;@ITo;-tAOf?S71O+BVCsj8Toc6qKV4x+8Bmh1|Y)@TRZLB%P$!Y zy{)^AY6_WZlp{G&fAU*ub(^Lw!#PL2O!5N^?R(fcuV_h2*6r4Y%}>JYzuSsBPzYohc$=)QQbb={C&F(xFaC>@H)4pe)W~IS z6il}p8?rQrq`asZv>P&$hawya>rbhN!(_Lrdy919jIitoH=B|8R9nIn3Rk2H5QY_G z3=KwsEo(Bs-2~|$J{u=9wCzo?0uxCoCQwlWl6HFqdR!IsUx@%S)>Wj`(M+07eXuVH zFdK8OYC8L}bSCJ(ei3*gK|}to$ic9YtX|T@B_53WXBjg&DLZVhkQYw&qi@L8#lfO^lu8dwD#XJqLA%*?TY4-CVf7qPeV=&ogx6Q1zSn=7k zG29D%q!Sg~pEHIYT_|qM7``9MN-ql}atQ)ALWIY|!Jin6vOo}xk7g;Cj$RI(oINdb zJtsntfF%=6`2O*m3|_xb_ykJ8#beFVrjdOeF$$4BmnSz?Bs_e^vx(gzBPJ%+%0_`m zsqfG&q^*RtAx|eryNOBqygUDsOlpfMf*8cKi2jrqrb@or+&I!6fZHAq5Q1dXOeB0) zCbZj#j>RSQZk!cZWmseOvZ+Gpk3*#z1r#R=vNEMFv}uFGJ~^-1g6H=(_-&D0JaU4zoXeyif_$n&2%B`5O!!%ZvR|zF@9YL#q&VXKsKv=I{Xf0L3|gdV znMLmdG7{PWPe!I0^Ac-)ibht&SzZ=xb?bL<*|?JJ6d^#2J3fUdKxPpafFkUECnL#B z4)fyi%Uo)l-+``M7zybBq5Kaq(SEWxAsU|V$s8JTY|4$UNZhmp5>qOQk}>N7?hvh+ zt>M}c@cVO#wk<}(y`%{hSV>2UVacqDpmp57MekYsc98oS>!SAo zy<(!Tzx(m^q^Ksv;60$_&C%P7m(}xm<9xDZI$X||x89yG zo4fk7s_3gQblvDP%|n!D)+<@t5!1bnD#6{i3yA@%Ep~b%-PN_$x}64K<6dtCVhA$g z8u^i;Ucf7I=F@8K_Uc`#_+N@7e{E8!WH$G)`Rn1Z%0XF_P+aH*P%gkW3=#|oH4|{U zMOu~iz%Zob>i5^s7@Gh_>p!^6%d|;z>*n*OZ#i34Q}mCIE{C#zYPSblBMuM?X2C-M zqKx2k&mI#iZBDf!aA{hKl!D8glf) z>p>(C;z`yRz55vl8tHfnmPO_0tf!hC(V!3v*`k zC?;`iA(9Jf%pnuFS2snUuqb{1b4N9(o8^+59i-LJN$0DP|B7r7ZI6*K0ynyovU9XW$E@}=C zHz9|Ji2V2foV3l^VwsN>7I#3GsEb>cy?h^5BRa*q$lh6PH?t@F<0iCFIi0V2^RCA% zj$5phT$1OkY;(}t-9-e@eUSzT3mP>hp#o^CK;!p%rx%R*H>$Ma(<*SHNYIC{{%IR1 z?Eezoz#ZnPbFhXn#K~buAxA8CZj~7-@d_qS0$$vd6lmrwVruVhZX|(=5)daG$r*Vd zi^o$@PN)wFrmailo8j?Dj}O7Otwv|@ZMbof?eXynia18#VYZ@z1~9vxw`(=Ny0p-c zZ?v)bdVJgtEr=2h>iilqG}$jLfCp2^jync)zb$*9)u{|O2LlbjQ|W$Vn=w}oIBA5S z44+~Jtl@w+Ch16% z_`-_|16>?|2umbv6l}7RKt}Zg<{nq8BlPux=G?OuJushdJIHo>D~BeTLMnp-U_Tu} zIYxEYCCC)OE$8O!f>VeSwI>2y%%&dwR7kuE-c}W!-xr^s{nnm9CY3xdjXHPNc0Y^b zGDR67xTr}fRbN`FWks*}niT$w`3IGV4ulSgTX;7>y@1cKbiB1Muuh%azj*6XH(6c@ z1IWNhNDrdaXr$)J>NYKy$)NlNjUeN;8Za93>MiI`$2Nmb*L1X8Dv0EWq=X=d@Vr*z z711~F5%bWg&=QXwwOp@MSgcaMMVU5WgYc80xIixmy; zs*zjR-qv>L9TrC{VrgO&dJ*gabYT&kWSLe%vLh(sB8*(wkgSwpGVtN07f5A`Lbxce zTqbESB1qT(Z&?Zw(?t^48<69OZ9y4{fgF%D06ayeT7NiAs!F*YGi`27JRD#Mr%ezl z2VuZ@bVOb@+3|f1g1e(rtKzI4**D{|?2BB66aN$4;0M=-%p9RX#Q(GaA^#O_l>erE zImv~H^>q;NWYQ!mj;ivT6`f(F4uBQ$kGmDjx$SjyBA~}U1 zSN_^5O%jIJ&N$^5NeCV1JW5H=ATC9s%bYJ+hrL8z5I2K>G($hOmD;y)jnMOLtz=JC z?sGC(jG}227SgnWWcP0eQKjZt6F&(fk~l!K^M;7%bgf06ddSqY5Ec-SUGo~%-wq-8 zG1=aZ(OH|ZICIR_xHwY=D!|oZ@M$=5r<*lb-H8lUU+1M?(fFLt9crMw4v-iJ zFuS-|6uk{%o&Tx+-B@35y-!N&LwKjRb4No3WqA2`Y%T2wymo{?GogVL z2t#;fg)DbC?W_VZW{l6HujC`n+8FS)H)c&@Me`TPRXZ zDx*RrURvn<>Qk45C4EL6Onlx5W*IVne%$MXNp!iOV2Ld_7x)|OjF7;(vI;n9HM3^4 zkp)32!t>;kpd@29qpEpZrVh}wA_*tKF=Mt!K$*J=$f@4@9BMcob|`7emx2`vFXjU< z^(N@VLK7LW>cK4kOV`m#R?;lTXgKa*+E3+3P{QN=$}@Ad+gaNn4?aD;<}Y*Ez9>oQ zcT~1`q6=W55(IjN!EnDm9VZTw!NIywV#n8cRYfO#hYDo&dTj^96CG<=5Izt z`p;Z#4|^b>bih1u;b;XFB0aNbAYwyk1`(qiwta<2vHtGP&Sh&X{A?7VE23 zBuxl&A`3H2o;O7aKMpR9S2_I=!B~jL)|6(TxzXqrIpNjT2(D93Wzw>!epI|LNkMWD zBQyzpG2@SK2^`y(>#~U**n~GRZjWw>5Mfm>ip6i9+(HnN%0^7e^oD&^QxP~-+;2~= zPrymLoGqo5(LehcFETI^VQ$D*LHmh1cP!NnVDPq~i^FkhPu-o+0L!w!EpZ@!{<~Uo zl37nZyVUviru+!?toDp_<1(i*EYz2r*6Z}*h5)S$4@O6xK(#yoBz+c#JgiWtWS)}i z3%fOUFE$EzI4gii5l>@&ZQcuPMr`43oRbn4h_WNpi!<8EcP#O z1%cS3GNQJQ2@(nk@;R0}tfk$>#rbe33Z$=zIL&{5TlA0Uhe`c+yY+(hOj0UW0+nJZ zaPgqHuqsW`;+xqD*SnqNHe7(_kBsM0$ZwX5y${Yz#ifHcCnbEBqQ;Ginn#J=w#=mq z=qNM%w}({qg|gJeO^+(To6u5R%YP_}q~h^phT5|02SlkRsImyVt&NO~+>K`Xxwk&5 zR_qZ7IGlI00|lJ>5DFsV2`Iy4iHW5-4%0Ks0`Y)BLD{NZr}K|JIF;8_lLiDJhFYXL z7dk!)w7hf!(1{h*pP0GG0~f240%9OzxuU!y|N0O{j51SsUI6)UkI=tB&AYfh=^{zO z7?FJ4-GAOF30#m!x+MuVpci*6f!JPf=;we_x%Mlh?d`9oX3skRS~Sv;Mz2kVtEX?}1g`u~Qg+ zG^${gUJ0&K%%o*Y&c1E?guu|_y$=#vo@*-Mg6{W$_I4PYX9_tQnGdFG>RDh<@Nbej z6hFH7-@h50B}vRqz%=ya9()zYlOW-*-cpP7Y;p68e8@3VFoQDJwh zzSnL5gB27Ebe+@fHY@&cjuiZI9)w`=u1MRsM_4NN> zcQdl_0X?5LeGq&{Rc3`FqL@JSk`&G8b8SunPfGOd>C)?TE^KY_7}@T1FWsyg9zaJV zte_3q5!bVSW3*be5Q#zSYro}p@77>8MN)wK2@JE|U%-Y~h7(l8z?{sK7xnq@A$)G} zBPM}-|L5mxpmtulAkTdX;@Di?3mp}I-`4V!TwPr;i=m?^X2NsaYD1z6gCj`rg_ZhCIaAhLvh zKK1Xe)_x5BA5CW&)YkWP;o!l;uUK&>SaB&X0SXi?QlPlIyO-h=Ymk;AMT@(;Td`7H zg1fu(-v5Vp24)y0TrwxgJ^Spv*0Y)gLbwY&P2a1;j{Ig#9br_+fe-JPY^}M){(2`@ zTF!GJi*?t5crr<1u*wSjw?%!APut6HbO)mta3KuZHLAGn*UB2Rst*0meo*#?Jj*2H zd!Lz=wY|5_$qJuB6gX0jnaNu*if(%{6hb%FTgV}C+jLz&R;A9!4|2csu&U8zu~3rv zrp#u&S1BVI9OFVv?&)OKojOBSTb}e929IkW{vrWWkExEzf%S*(p%Qpp9v!`={3vQ? zs1)$c>_S^kB_>MPJl4l@c7{(m`R}298-F;yDUK#2;nW1yj_J9Bb%m({@n0+?fvKWWCTl9U$z_T>@885FEODs_xR zW)!3_^;BUl^!J8L(WhmDa~e~`AQwNjV02;jj@EFVnWmr0IFldWP)V6g;8mUv)=xcrAeef7-;gly*Tm*Djdwuv`~^>r(3i1^hbuH9d%2t_F* zV|M0J17vYbfo5k+5Fbk2zj*{bM4#*j<{)Hxyp*?}D|ZGT$u%aQ6P{c$lSc>zE>8DN zHs4haytb)pJ=*qg9m1V`Dd|Ll{KwXoyPK4L-)e^cPboPtzN9#Q_3Fro0V*neP zA}vfe@0|uN7KkrACv8WAtyXM#Ih&6Zr5gl7wfqbkq_OeyArr&F1)1Qs;(aRd4ZIp#A% zYzVy9>7esg$xb_l$X&=|y9pksO8ozmh0T&w!aaq*{%mhFArlBV>jtP1%y_(3z4pbi zUww7kh#*5Dg`ffIARbLq`(#vV+SWEh0+$PYt(P}wUG$EG#%{X;rI}3Iug;9_kkdK^ z+u}dq(cHt8Op|!=AWR2tH_5mMMEy7=)HCI%6qi~Ts(EmGL>W#*_xX7HpJzN^mX7EN z2Q$91+&|Da989`pv;fl@v-fBaaI>RpN$csh;-se5ioebI&Z$b8mPafA`z2*STQ?(Z zo;DlWo_5`^OqF{Cnp^t!B{(2AwEOkrM(@OQIRqK68*bL+c*A;lA6bgwb5QoXLGZCS zzX=Vbk^H=BCGkVh&(XkOxgs#=WbutZIo*FBFM>vh-w0WEeO~sv8B6C^0#7c@RaR8I zh@G^?S~U7xSb{#bcybUVFU7sgj!2vXGn+sB5ew7SMTi_*OiI*Tmnr5ayhqvVE7 zaJ09yVYg~W8K+(Te4al7&JNh|oNWh5MxNGRZ~}tg!Y|5if6b)KM7PECoXkj=V|->j zceVM=AWl$sGKXieZfTabU>%b4Nc4O$Q5T`v`yPAR{YXMf_E}G6_cByJ7^kmkiLxU= z+fIy|JCOmiW-bTXeAe&u+ANa&I2=%P0Ukm?D#!1&^dO|FSmE~s00p4Y>q3Fc2jdb? zr8?QK9BDs@l!ypp(9tT+5_+Kn>637An`facBqk@)18WBaiZ!g=bl}DI`qF>dg`{v9#zq^ zN(me{!QXrPC9}YMt*qskyy0bETT5T!S8a;#c&9jv`G)|M$`r#DpNZEOAex-6$u&wb zzuLcgHpvCbKL0hHReZV|1?*{q>BF1kq@QF0f@gcLq9$L?iIG3 z$HoU-<|-mb5<;SM1nEldeTx<@v_a5P>Ofa3MrwEpOBbsD@kQ3#^WV8az6Z+36kkBW zlzbU3``?p#M>}pYdta+zf{}QTQD~yKY$S-ti!Nyzx#jHC$TYFc+yQ4<+sPC4m?e+I zOJDpUTpA>~K#IcmugyyC&1*r>Ymh@MpE$zd?THQp8XcWt{eCZ=>(dL93}MLRrkA!QBP zUSR$z8Q!@T&Azg9i<*ZniDJ4Vsmfqs_W?VX4=fq3roA$K%5_L~XtB!7R7yr!HWL3b ztJB_MIjUFh?!NIA!4v+aa*?zQ7v!+goUfvdU--)4Nvth5G)8Zyo{vwr!`U%iPx#Tm z&vB)Oa?ZP2K;wg%c+gDASleaw%|(bZp}b(=$zs16A*L#dYVty*1-3N`3oh<8-dCJ* zz1C`PgL26k`r6Ky@HhcyFAOqhrpe`i#M%6G3H}(fPnkQgh%a{>Df+=M*l+$+HMTG$ z10C>?L*56w;k*fWGIGdH3ZsODyGtt^U9#{n?)iyD(BW zdEyK%T*>u~R6564`-$iBS|)Nzo(*YRw0e6A2T3ZZW2HkQr%k69w`(l`t*@wY9Ri@k z(&S_@98)G(dwQ1*Kc#Axq1tnh)RADL{TWrO=LFwiN=XOdSHX~1y@{V|oS2NlE2EUy zJ57yUrUg;o633s=`cqDjti0ezz?G7y3vBc#X8~Cw)`?~>?Ie<%^iS&44}dNcvE=$w zg*Go?W|CQ$XaNy|-B3B^CnvR!HinTjUCM!toMHK0_1k9@`&y#CqlciwHlJ*BEf3Dt z8LBZ&EwA!j3^w{5+fcS)^jq-K2T z>V!nZoKQk5RAlh&K6}ep-`W@Xh%yLnq zuVwf-iTUruA%ZTPR8_?!VP-u;^3S(zF-l7PX=VEL5SIx{5XdZgYiqB+Ur(K|u9&NT zDPUF^B{X-jp7MF=Tjtf1zT@rvv`*VDniU0LePEWjzah4g1l@O~2Tj#|6H`Szkp!J1 zRSGc?1gw=9*`C}}I7S;La$v=Rb~-MXE{r@^c25VF9w|Uvr;3u_J^+Nkl^#w{v{lLA zu)aQk?XVKJZ42KB_~^iM`8L?q$FSXRMak$|zvKD-E)30ZD;5Xe&F%jA3W2Ec6I5KA zRTc@${6=00#RnWK7a{Gxmm1%Sisp6h2 zr_cq5QyUjfPtfBnLfAtXhDvAlr|srAU}5U|r^n1&tDi308D2}z?KkZgl9?nLD(que zwBh&YkBJl7Qhv8v0&Y9c7zrRGx`Y5bJL>zG4n)mP8W)aJ(-lT60Sf4KlE$V_m0rck zQq=`z>SLE((3PP0)lg9D<978mJpN$9duyHIUK5UqihzQW)hiTfG}blN<~e|?k~#|`(+!O%Fd zN0!yK@8;HxK@iCGxH1v}vNZ(d>-I&^^I=2lRZb4Ho9mxm%`%7%89;`DkN;st{hK*b*uJ8<2FT#VN}I-d7^wIgYaWuf_mslTCEL*-QO zO``vzps4yGPdI;q${4?28eF;TN8E)OiH5$PKfDuN;4rRcAHR5f@q3Z4<^$|3MvtdP zL0liOKn1BgJv5}RNw*Vi-JQM7oxQ8t`KtJ4`8AS@Tfm^XLesYT`yh$4?;#;lnbk^l zGt~$J0tJ?Hx}a&!r+qfVt7gHr=Tu@|5UwO&6Cd@iv3q5&ZNvix+B&RgD|+U@1=AUx zZ1z6whg;yYY{y-=pvy_3w59F>=BIDm@Phe@r+f0Tw>zx>b6+Gi{k1iSHlI*Yv4{{E z5^{l&pZ8CZKBbC!XEwFWSSq)4h6M4l))8=jY<7#3e;M+GZ{}kwP4PJ{amwg<%P3%f z(r@MEmxNNuX9i`CaSRhKVIjJo5~Pya&T`=z4m%8a-;>cYP4Cs)c6U^Qrb~h>jGZU= zx4(Y%2#mrB0gb&;=2a)0p6Pe}q0auB>w}V8$V{#b{TNXNe#6{73SG0^%agTwLDiqf zb?mXg5q(Z`9TX{2Xg(eVsnyqaA4tQmW4T8yYly>8&^W9>)}Uy=>*a<3`-j2RO8fEI zIsOwG0?>+hpb<+^tB}T^#O((D;YwQs(SF@u#p0qOO!2o&JWFEde0}U9$F(~lzc3eP zza%Is45c|*BoR$}w{GUjszq!!4dIwrdef3<11HM3@VLAqC0$78lWEk5- z$HVyLCTGk6J@60iPQd}PcW?S?9odPKejjCIkD*Uj_Jm2nA=!`AN_)JH?;_bwB7CU}_wQZyuxj6doy! zlXW#LQ(Pd?V?K_%DEK_Zx$;u-H%PvwojKG0a2sNIf2&r*O<>KDT&u76GEw?T_jBDX zI(~!etN}HCiaO`m;I~|-@RQ3|lOiQvk<8xNdAa2j%kmM3rubun^H!wO2E1)IvI57# zeXAX#mZ$Vk{s7chA|X0VMwqp1x)B`pCMc@K7#jmYodb+TuL6#Tj|uh%cdR}TwqUQF z%dTAjpnxq1NK7r=KUDr;oo3waX42)@T$(EK5M*kG$-&!CsGCXRLz~CWUv#wJ_?1F> z{Bj`1aq%|TH|umDdo0cr(GawthyO@TQEfgfNhi4CPG6ZAPiRALVEBU);dPTTxu4Z> zBWBfcN)jK`U)2J*cPc8JoQ<=!OTtVV9S&xCypChLxuUyiz`4-aTxi?|R5KtT0BHQ6 zJUz+C$Sl@7D4W)`y00$P7@e+QBlOJeW(~X?7UK&c8xPlQ2k|Q$VQ}d;930#qdSrTV zynvtD?kJt~?Ht_+Pidq{5fXTyT>4ynxq<7I8n3{>z>zs(maP?l53<;Bf^GEl9}PPv zXQ~tCQj1rG=J|1(Ul>5QIQVg5cw?|n7u<5vuB6D_9`s2uO4|x+aq0GeghHay2+S=Z z5p=WCW|o@^TsxPZ-fP8&8EZ*UJaEK7;*-9CzL9>*P3Hd?BnO_!UdtXNbb;G7Sx?7a z9V09&K06toBqZGjGQ5x_11!Rl<9}iB9wqn0bCJ-xW8V%a9Gw+XaeZ$=3(Yj356X5Y zMUBNJP$vvy7ZljskxJ&~q&hmd@{LOL*dBU*^Xb?t7BL=%4K%-mr%9xUen|9hBjl`estR3=I0SJ#^EnSW#D;Sy5IzM?v4?`G7rbuzi^jb)) zILS@*rLUy@s_kg8q2^LxMu$KWjHxEzOIr!WLd6O--Z2qDxD1`VAn*hDm`uei^5+V3 z{^_xmC2L4QP^GeKXF{|UF&F#4BuG{=az3921>6oh1*Fa=NdFmoj}%-P643bOg8k%# zTutL>q3&Q&C+MWkDr;61t>XO`gAn-kUl8$W!x(baVQ)B2!k$y!%ViW0wlxz&kjOj{ z74>9jI5WbTpe-Dob1~Mjs`TP3+q$y*jUXqjoO4C&Y<0N5SW^R91gCgSA#U#-W1kws zrs;ZY$|14SG~RLkBOOn|U&qMEh%{WC5E$@7Ab$FvUH^W`;ZpS(o)oD+xm_ZuxR1eq z_Idv~lvQPxBxQD=@i(;hv~yx?t?Xk8o*GykUBwDbQS=kK(d|EyH-S4Xwsm@hvS8A1 z+F*4>5ze1#-Iv=y{Fd+iu~=5e;cyp7T0@dS4Vn)yq(;aR0Y;HLdPJRe>;m>s0~%IH zIO|oPT}8{&<~LQ`P!Q;xeO3`@b0()mr^anjq;K7gWkhuB{;7G{`j9#!pvV22Zk(Ce zGF3ZOq{Zj1Rji2yx!LX!2~f0a2hO+!J?D6}+PT}uMhdz9(Aclncbt&w-H-B#5>v-me(Xe>4j3F65xY?&7 zlht%sY!>&H_|TLT1lRCd3jFprn(c>Q9#)TbNnLe)B8jY;Y20V-4=iX0nxb;xUtgH= z-8}AcN54<-I(QOIuNQ=4$rQ0P(~V$*)H8oLBkBuLP%%_Jryk{ND4-?UYH`;aPXOb{cb|KJk-{YHK5w zDA9cRAA8q-+8QVG529zAcsjx9?D02@^vLSbRz|lg|J!aZ{-N90U!JOU4$C8UD1KHD|y$jDGgeT{MVCYDT`Fc>g#dkLKREm#;{iOMD zPK6l*4g?)N92ML7RCxtN(l2DBhW9LFa>E4qdXbAUCl zSiZ#IsLae!6Z`^!)HMDBDX0_5fj#Y@==TpL(~3bsK_%0^yImqymX;T-xBYdqlxbPW zo?pPXnG$|R{3?-=A`^0MWO>!jXD#g)M>gIA+w3y?4>^Gu!<48; zbY?eleI2T+O-Qm2BZ6Ul*G4NE9yfA_{(Z^88$xw8XvklnYVFPx-g-q00ReSbabR#?}3^*u^ zL>G30l|<{q!y08yokhQ$=9`aBUiL03Ug~tpQAl|(QY?{7emiv{e!g9MHF@-KOplC` z#|xdgZre!WwD2jjQNR3uEkJl!GMl4+47V!39!dMEamI8-D_FB83`oZ z?p()N6nAPz&Doru%|G;e+{`ZFoi0ei_my)5r_1?r~>#HYvOhI-hSBQ9O!C6^3`Y>J#vd0j$F zA-3nUZ>hNW@#eb3s7O5%3L3Nr&-sLLl4WofMWP z{WVn`2QmSYl;mEMU+w&DcQS(3Yn`<6H3#-kw;WinL{vxbfPEwn4y2(rKE~)6oJQAJ zTL)8T7IV_98zVrkrGtO9OG*Cx_ydK8o#4N6UH|F%oloAAjL-=pMV7keW;!KC3y_?6 zzM2Ly64tL;VIajr;wfspZLh&dL@6A^l)A%|vK=S3&XR9fbCi(3miVDwYDxOGD*okQ zcb9a|h75>h-S848MGZl8A#qLU(S%FAgBd;U*9F}r;v3y%*lDaXMugoCpXJ(%9dV;| zlF|fE$~}=II${o4iU^Z%UVn%^@k^NYCLjogNWJ@&XFL?|Fn>R!YIJSa;a^ThHTvGg z*hO2BpNDJEnGEtD8T&yp}??$&xbpn3tw~~NRxl}vHYChFek-*Z#hgjv@`)CcGsd$ zAVT9kFc?%#v8awUmL&pP687$(Bwf3eIq0CH(Wy_L~PK9eU)~>^Gl?$ z@W+FuD-Wd!@%GESL>P4jXOp zd<#ha&qFAcNPE?@atwg&E|*GMC;Hv<_1Psdy#@s(_KY zcWhamCi_q~mj?97r7w9RYT%cZ)^fhr-XMqP)8Ue`RpQYBxvMQsNR#`LPGG@%$B0;B z1pD0z&Jzs30Hzu=6O@mgjoLze?Q^=dO7dN}&7nD?%3_^6KJOQMEls^*w5ms*z68bWm=N1VwSY{yk3cMY^*G}JE{(0*N-V0 z8Vj0iy2^oQJ`~s+pt% zDg#x|Ekj7F1JsYFY$la)+wfN2-?!VEMze z1i@#la0eo{Yxmt(KCM3Zsq^YZyeis3t))dd(DS9L&EGgqe-#2_JXjhPftcTBSeHb9 z%ZAwA(_DJNLEN6tiq-k*jq(oV)K#qAZ^MG+f8XpCUX8frqaNaA{pS0{k>&28N$5hz zQUs|=E(oJ#*{Uzo2T(vOt%EgGtkI@EHr!U()V~c*VAyO*ao?X}YJPguwR_*qc8tGv zDbW3KJzZS?1ZQ;#ML~gn8Q~sx`#{)3Pfz`DYvo1fdUnG(=C06b(NI}!9t?hmgeQ<% z(YU?MJ{}ERU38?HeH5@WCG~R0Ye|F4LXQ@K>`fx(h#Y8mg#4S<(>B_YOqZyi|3sRT zn;aeu+w*H4*BjdWtgVH{-GIKr6o73nVyLEgr>^)KeQoeGL9**<&;o$Ya2T)&4kLTv zeZA9QWYs!|w08B$1c6P=1CBnV22Kf_eAe10Cf?qF!eyS*|4?J0? zK{|-Me7J#`Wul??*@@Pr_9+xYydBwM&H>t1(rLZWe0gpcg`>XrY6f}9va*8{ zOJ-A2Ska~|e#xWp486Rns%qTmzK%mK1y2WwS$mu0U_@+1a-=ma-l432apf70Zul?z z2ygX4^w+O-zq*h-IcdJADWXdW3G=XjS#4K=0f*9)hYfsOvV%y%uDCA+9eOAjzngSP zvgvwtty<0P?camDh-tS-9siu4cdtrza0f=dd&~DRr&Bck<#_Q;`8Ih$a0FN$3?~kAnbPtrX8paZuOGM=cJc>ksnv*%^H%UODZG~zVGt^j=-B*u(YQrw23S^~ zw@<_=>#!U-8sZUF!UI;bge2N2SUKHV zzlWqkAJS7J(|s{fnD@S$3PPBt_{;BU+fRY{e11C6lH*BFb5uaP(9XW6-kSXk?R68^ z-7LQ>A8K>r)tYkMJSCK+=+>cf2)_B-1%3$?TG`OBtVp|thzl}tykB`;Nri;^*8*NG_F?H&Pgr3P|! zC_=_i`K4u&wr+|!4zH^qo_@Y3QzrZ_UXY*cn>}gY2zb%4%I&$k!hfs8Q3@xM73#@q ze?N0+kY+}5zn!3+O2Bz?h+fK9%oI~~56baN6u`#X%=Hu3+-di~Y3U6R_>D1qnI%8I zT=??wME_a7gMC$#NYqBC|GmiAA$=leNI8$NW5As|_qI`^SQOhr7pb$wCG`QTEQ#N9 zhT(3_4aY#M{;?M$GL`V58iyAWDDtyQW?sj-D{&;K6mv_Zv}MHmqO75IGH536*dTNM zE00k_m{D!dpSS%pBG~ikdJ)4k26%{#k_eb71N-{G4o&&>+0g|?-45!;N z`i7I;B{BDDZ?B_<1LTR~AG8%gQG@VC=k!k%E~BwGU$?&1%ev@3O_4YWKJ1p5&l)d; z$_zXSG|x_B44IZv4)$6q-&akJ{~Nlveonl`KnATo#ld-SWUMl9L9*(bK9=t%5ju;) z0?lp@0yC2P126%5`$idlL7xo2l@W|+Vj5fwPA)SulYVzRrIM#H+=Gv}x{i6~8v0s` zr&6V9+x_=v%Vm`wnLhIYc~;9KqBvPGyYAHY{@*6d$f&GB&mvL_%+13CXQ+aFo|bqE zgpb^D2FX&trCj7$jT`xg-T8s39e4D9zoQr!tITj~JveTu)eg}H(d7SCyqX635Tn!- zUm#Kt|NFlsMd~}YZb(^_9}m=Zu{p&rJ}cJccyunEvV4Y^`q~!jl`*)KCMQXw3j=Q^ zyCjA~nUt*}xbS);J*V#?BZ_PYV_xvoRhTDZ{ROLfd`Ql1XV#0@O)nQtHw}Xs#05Y| z)wS~p38^WVvRGt$Q1t1`$rn#!k*C)PqLf_~6^gq_)~#)vuTrRi_gt_3)R_=&_MJRF zF%&_|a-inDpTJdKCx)~zQq*ph2@?n&E)K;*?5jI^Kb^>&(=2hfKF<@_r^W)CM5pVB z_27)u_S4p*MX%F?r4et>yEXiv$jGOFIw%fAO+mLryTM_(`XO!O8-u!DRbO|xLCf=c z#k0$AsL0~_es$Qt;V_U#68O~;U6S?ULX(0sP#~Tj%@b?g)Rh|4|Z28 zV(>{UwApX&AIlI4+GFITp73u(KFsYg$~~LjJQqdrlixk9t_ajuL<@!qfYZ4Fn?~FD za0QgHA@=L_tS$I=-fu0|+@8>BMWY4Vru_3T5~HL|3o77*r}TSq%x-m5!T(q6Yx2eQ z*0xv4n&{h!+VyqyIPaq zGX?^MIsHQL8wfX^4E%D1)e+wpj4U&UMmZ#Fc-kvo&^)Rui&rDej zD<_f-I-uu_5QIp=Apdp2jl4L=1@-SKf4?#@Urgy*NK0@-GjK^qfXM&KMjQWPiz(r_K&kC7O{zG zJF<#mK5)@^`$Fb$bdXbrlYF-cZ#SS*wZ=VrnS}R&YW!Tq8_}nmt~kTQ5cwaISy~3@>b&l29XpI-QrQf z4Ed|7$YHc*IAk&bb$S#76+xpVPVGk#fX#dW1q080n%`T-Q66_e?7xN2$@rq5W$7-E z(!Eno-zfUr3v9IEru(0uW^CTxe76}XGk`i;uCgjPPwkuAOr33Z5aC|oRu-zyYDMkR z1Cw8vd1|UpW}%HD%;txHK72EbkSA8UOp8=55;@ytub24s1H=!0&FxSKW@UYq=gJ)k;^`y#f|(o`}>11n#PY zFGtGr^wA#Co;z*Z#|>KT??(qMd2f6jQHr7ON!BatpB}KBwX?I+F>&5?Q_VElK36~7DJ{f~fDjaOXHS*@} z>4wyds|&FGYL_Hi=;lr~UN1P!vNl&#kPWy{4Cocn-~cWxKC)M@UiI|!ETtzg5Jji1hmybh#Kd1yS`K{Urq}%+!r0pLVaB5r&uS$%D zm|8n?;e^)thoO?Pm>~ zfA!F$24%6`6Rhq$W(^uDE4c*pk~QoR^7$nFkQQ8ZMOpQ{y1?a%=l(0i!*w9O5k^oW zOT~yf_<~R!HN>3X_sy+W$HQ_{Q&W3!iUyLJk&%P9w;GE>B=Bzg0vXl*z&0v_OBc8* z6XY}b@WuuS)VaPt4Dmxl@&ocKYxTUKTjW7E_@ytVsM(?<=DQ47YtvDF>5e z&G_kQepqq1aOX}m`ciyRjhmK&RoS1Wt-eXIGqi&tiM2Q#hO2L#TI&ENNo-S}_b|o~ z{P|7f^m!PGK~!rBuV8`vi_B%sJI||+fxegOrw}o8Vbt{J+s%{T z_>-@s6_P6HWnrR`(R_xU^A@eo7r#(%st&~6?O}pNZ>fbY)MvY~B^m55L*J;4kI&}M z^r@2Iw^dSFtzEKFN>EPVP=_s?sA`wiTH$*Dt0=R+Mh4Q5h*dA2(o&@@J^X zK2<;HeNX?lZ+Z3CV~u2qv}gObIKIK#=Jx?iE!o%Qd?-<6QQ`4{waD>PxuO z@Z!r4FJGOrSKw)-urXWg_W&dO#EJ^t;jLwrEHdS>mJ?R-W7s zPqIHWMuK89Q+8;vaeeJ= zC&Lp}TmOkS5Pv_mEPsW~>6CcW)Ygh{zo@c_5>&XX5_|me3MqM!teTu&=&-V<>6@@J zx7nZkRKsEhQZx7LZs1c+6HO=i=i)usWwjFtZ@Guo?xRa=52_EN{YmL-G7Jy^1mUJK zX?^Dcgb};+5h+mQ*$i95m9)X?gnhFBZGN_On?G4mqhuQXH?q-fU@RCX)M1M4g8nI# z@|LPoBOokZu2}avq~rF1EB146H`iw7$BNm)!a|G(CE0EOy{(wUK*VB^JE=J3ezMZW zoZ+q!6eMvlheaDFv_7cLu@3@6UtgX#D0tYp(=xCMUj|h+}c@%#;AA zYQAXV!YJfHkZ^*QS@!7l;*xUdm3}&ODae4!e*?jD_Vr|6`vu$2zUs8D6HVLl$C;sT zfM{qw#Fg*jqFXGo4EUnTn7{FzeR$mu>`TUCIqv~vIBOL|nvGKK*9x5$AYmv-zNWq((H3|3kh; zR1Or3%#sYbJ-uC8_Cf?KxePEW{3q`MK~)?=`1YK9y1wG%W77)x%#B3%p8!-1lMoz= zUcywO$tq1lpvjs%Jq_TT?i<1_nZB%aGq(KVW?%XXsE-fvs14Dm%7K<|Njq*$KilCm^c9*+m zb`tL^pmDPR5+nx<7rtPSl)~K~s|-tKFDaOhq5t};tW~-i%{mPeLYG22f%GX}-rQXM zhlhu63~Pa``H#DZ=L@@)YxG}gH07lgxHq_PgU5Pi8DuK*TQF>G<#B;v%k>$O$T`hEqt_xt_2 z^Gh~05$~O-Z8+c{#^`zzA@l*g2uF&4-0S46+`-=p)f9{V2^4%$W0K}@{`VvG#g}>k zTHv}oX%L}%6+lNP zqe;pmHV;N+`KkZGE)upCn<-R@yTE)(<)TLxicsNPnyyNnyJAD@4jMY3H389(K$0R4h z_O*kf!=D12vy*TD)pM}1i)9l}TIoN*z|->a^qkwM(y$2*lS7jFic-U-aSYMKh7 zTNh%El#bjQx(kaaq+woRG%Yfnam4?M;*X^eB)-%6D+x`_#Gbpoi(%74sZ6 zHJE?Q_{A&t$$4BZ|J&)L_=3nL^9kc(m+XTHO;8a^8#a|F*ljmJsXTdZXJQ1>mVPvg zpR!AjKxm@Jo48$U5Ztl2^>CVSvy7w}RL7c?Pw^!ujYp}o5cLt5nai5|t<8rwUyO;o zRTE(oC!e~OUJS+Z`u9b#Cgx=|6pv;?Z@>kJs=%M4E&oEe!4UT?&0v&k7$U2lr1?KJ zWa^mUMd=6Q^wqzSzmtXcHoqzTrUS1Fm1`yehzezGhOd;$Ci?aIxMdC>X3{$9BX^1$Ds|TrjvSzp3Hs;fmb==3Eg}z}=>H z2Hc5Os>xcaf(^#0QdU*PVEj)iLj(0dv~)X%38ibY)P+#hJa%yc=I^}d%~j4Ddb*nmYUk~+7^t6S%j zs30_n*A;lUM)lIv;rdgoF&pv?v-Z;i^ANWSb) z2DgN2L~D7lCBw4cO~s}&vdL<*VkYVu=#N}H#)%vo_ZqQK0z;aoka+*n6boYxiBB!Y z41{IhP_^#cQq)Q5qG=}73B@lbWYh_(=v7dSj|{{G2CG}!n|)V1L%47#4SzByKu|lp zx9|SEockJ7(&g}fG-kscdm}Bn*8A;~LJybXy1Az(FbXIyD~CvtqPtKqD*$!CIs*$1 zzy4isFN`#N+BW&uwNRYbu_(JVq}$DT_kEPC&&PqF+OIuPk%~h?aqB|cq{jybBaw9A z?mUemZ8jh_sqCV4&f5ME0rcn0%J|I+fW8`@NUNOgeA}W7>nxKy#RF2XSiO#7^<@qe zn9z9CImS*ZhMA;kva-uu-}wR671!MUr-Rm2G)`6ly)bTAZEdskby`A1L;f^TP_~-~ z8LA0|JihoX4Sju?c1+}F$q17)2287lNxp^^PrC(M0E)@;J>%^0ti?=J_RIT7X0bh} zzTA-SIslzU0hLxvU$iD@xOgTrL~U4SYbQfb8aKwE(Yaij$PHov4W=*f?qa-pl8*vr zPGI?yh6L^Ybf^91ZN8hc#LmcT->>`xJ}j1sA6y#D-Hqvlr#xWh96qi!`s1+yYQCFc zBVAZm8z1(T!e+N2$YlSo1;_vy zjDBJt{)2P+1i+O z|Bc??zUtefz2BRRpNYboEN}-4Jh=>ZIZGG_1opFxr_9ACw^c<8n&#Zv{TN-V~>`-`n2R4Hp;K|AJto>#Zls+h87d zYuItQ3E&n^_;=F)0>x%|S{zACq{BH$&E8|0(FMzthsb=ruc!r32*kth35L-BI#B0% zh2|1}G)Mg%`!d-dIzw{6_5u5_trjvUPf|Np~e&XV)r%w$S7$JxME*(pW<0oH4($@zknoJ$I|A zn&+z^$E;j0H8f+zhfv*B$*T?oIEZRzUo?y-$aF0hg}T|;UFiwuk2*MvD?d`XJV)@dhi@`Wmjqm;rh~R zp1C7!oYbq{`=bIWKB8bSgQ1fo)C&bQ}wz9}S!{@{2 z=jU>{RkgLftiL}Ai?d7?1S{7kdWKhbL`CM_RA%X>B+dMYOwrlLb9p7bsas!L`_tn4 zjIWtlDD{jUH~2Tan}?}6Y+j7AfyW94`uH(z2fb&4RtJ}0OLw>&KTku{jxT-me&065 z5+@P`bfHc6K^m!fxwNN!_`sFRetZY222=l7qLm9hKA|40W>?mSeSyLO0V7tgTrM{+ zZ;$0w_m3taFAwce@DR54&@@}VyWspl37i$&Efn`~e_x9ybZL-cC2LX%Q_(W{v%kN8 zer{Vc|L5WpOWy>k;6H$)hy|NzHH(1dj3N&7<{9Gnf; zRt!^5V^H`}(7y@nWlL1G+R)0ui=w@~+GJu`lW|eF%pIEoK&r8B@$2$juEQt9W*O=p zD0P1w{tK?iqO~cTVo~aB>#}BVYFj*QzYG-apXfzDfoXwxqH;>25(2}n6&u+b=mxE> z49%5kod1fT0;Z7BIodUSbjcdfG7!+Gdc#q%r7JEWf#^RYKr%k4cL=C%tFJO>KfFYl z77sezUJ8?BLCdX;OxxMp2ZACy&&MzP9X#qLtqaQB0|LaNbN+Gzhoi`bPmkhL_D$-| zZKihdr(1}#GnZO-~>7u&Z_1N2%b>sc1RQEe434#x!3JK}0+J<%bEsP#{ zFFcU-44f==+gGd!lH1dwepis8ZLcwhkIGzrV7k1YQBD2!vj4woR=xnJW>q6&ZNQU8 zKU74+@l?eIw+l8^ZB*P|wW&$>V@tvYn|cU^7~G55!g!wf0V-~sJ0U?rJt{G$A_g|$y%&Sbv7zk)fwc@v%3#Hzn} zL2lj~W@9>jAa2IK8d0z$Ea@jN1!F#ZiwB|tVt^~EQIn+LVce*}?>s9O0xTtoUH8_B z33jM|x@z}WSV(au9=HP%TXlb#cU^w$Gp@)W7Fd)X5++MTv*|vBndR66K zBznzqlOXnG&eK#a(33?p+*&iZ(WaVlS6s zk;9RbDGpp@Lot9uAw`#?913`{lB0%-wvv4z3=t;_eT~S}hJ>=*6B5RX`u;{`k#@xa zACu7UfqaQYAV?|_IT)1=v;jtq#Ewx?r_fOJ30vjm1rh;>z*VJjE&c;DT zFTdf@YZ5RT9v?$~;6Ra$B%MeCEW*PL^@PdSLLGDuA79q!9H>SwxYgk;=ws^i(fipL zK4ty?qv@Ut_B%|x3lS=8#19h37$aH!* zsvQ}Y=OP&j?4nVr2d1|v^N5oyC^)PUD2-*PCyFcX8tYH9ZsFoSgp0>Z1JSWL-{JU5 zx$w$L4)yCVdGXX#(jjji?#*w2ttsZz;o>7!ab9dOXGVIuPI8t+4AfxWyqp7pr>meC z6}kS`Y&eO5Q9$!OYSxn5#CT_B zCRH8lgMKj=rJVw2_bxpQE()a-R{6v%1cg`~k!NaU(*0cMIq9pw41po-xLl4&c)vW% zundmi=3QXO{LN#Wp2o3Vx%It@5R{t$bXc5n{!mau1wA?y0ETKWvHAypc8j&RK5jU6 zIpbrYp;RuDFsuZE>v$t+>BxzRN!8S}44SwN2lwaipOMhcwQy2^I!*DL7|rEmpcDIp zdb`;qL|XUL)-C$eRP&QeB`8|@?i2_o*^^QLZoLC34)TcSvAuQUS@#DEVE-}5^1=FB zOsu-Dc3MgbRnGW_p-Mpo&5xu9te=%AVSr16p_eSl;lbHP+0~&PAg7oJ$|sv8nQSx$a3pSJ#$ z^R(~_#*9Vtsizy8P}p3G=@_X0PLw1=AiFK1j*kNBl^;nvcD$i>g}vA1z{YCvmk+PN zz&k`F_52ri!*u*<0qvN%Tlrh?M6r6 z%1LhALj-hzqWq#}xWXVad52@VKMKqfi>%l5!`0iir9H&30kXy^n%`L0L=B~4UO$D> z9CMvI^&3DxQ)>E0-WKokN!6m%el}q^dN=4`Xf|bpVzZ#d7$89fH*%>Ol!Q_hfOkpv zs}*^Xky+tbQA~~+ma@^j8_8Em5}>^qb*VGvYSO>o=n&kE9N+-rj`b!SdoRr#l?ka z5I_m)oG#YgXvh;seUb1O^%vhE zyCFAt>NyygsetQZzT|yTdfz>KuGKB|*tjEfQh(4~J7zk0Pz2{}DU*aph}%0kCBm?< zROI=#@ISmER3|F_cT}?wf_ah@Y>qU_6?j(W8xRus1+)bo`LXVPeK>q^cz;l;t7HB5 z@8pFbwqJDb-;xq0W~SFz))Co~U~0-~QaG~VYN_z0b-0B}VrH!&;`7K<3gcZwsjltf zSr1YO6r39is{%w0090(5u(G*1|Ej%PTudr~;10<4KU`eAKAHl$Z2%6&yx1|*1XPBD z9FqB2;Em?o6)A2meaZ7S&j{~EpvD1oR2?WD$5OwdBsAQ=`U+LkQGewJ9jE{nTXBw} zDbx|Z9a5DQ!zW3AS)Q0S(;+GCOaD)c`Y1L?4R9JGX+1Ye8RT`yJ($Y>2$Uk=CDe(I85r|gxI61^<&JPflAv~#-DfL@;eXqtt z@&8k;aRs<+>VYOH3$rX^UiS?-*dKzDZ^qBT!Bexv3L+*ZMuojcTK9phzj)jVQSKoL zyd)O>f|=0_%CH2A+&(*J-8Al$@AHfdkMLd-@hrJ0<9`B1iXx%l<>x=u+(s&@ssse8 zK|%h264A~sM#&-}p9sX6UO9Qno|jwlkC1?mkWUmKuws=46Ka0>lEb{U%fbyoRr(HRw@g^`O zB}GFVl1F)M%t1wo_!E-Va{a^kdAXsF(BCJ-$n;>E3D~14ZyRHC63bC^PEI;NP(zaQ z=MD!gbzhQ|+)Pb-@q=c;uMk2?n)iXQOvvbU%~ahVmJ#mLiUDEg`@NB!jjzRyG@(&^ z+sO$D30;AO#+5Xk=0$TrUx%&OxUzit4}41Uk7S>FcaJlf-I)6*9pOQCVrKeoj_+vv;?<_n1*9uXwyXv3`o_HlMMk!1 zc=BgN$*oxFeM1oYbq2>q8ebv2`=-9{UAq_CQR2!JZh^jCAT~7_X#o93^zs@d8^k&) z%vWP!4rGHYYhOzvsH>P3PtRy30FxMdZZ4;!nFi)D>2lPu+I-DTPl{j~>O*K!3v^R4 zLq{%x;VrFKE1iZCRMXB#-8$qqt8`zxk4ihCbV2EBtU8{jIT~!fK(W=mC|Gd#r8hEv zK+5x`sh3wvbY7)c^F@e7BUYf?O~UtI-9mOzWU^e<5%#WnN_@m=#w%HxE9Q~}VM(4DhQT*-R|9;l=BD-_p zguQZj%cmLj=5Po97pBW$WYy7hv(~89LVZGrv9Za|9A979PV>9+BcI~pq|u`cd>D94 zO=n<6GrBgTqPndsa{sW|ZH-7om)0+l%OV+jnjlv>3)b1bhpwI((yPv$@QtY!$7pN% zZ5qUnhgC_skeYHHLMF;UWGW;m=&*h^;?iUDl&_?uhH{FPhe?OF@NV?Yzogc_b*OF~ zTv~0w^Ab0B7~^4q*r^7uYVh=J_U4yadd;-6nKnpke)u!^k`T{T>s(Z@w{vf2!f~WX z7#X&UGd!;0=~~>}sInq(wz`CeT~bmE1W4fGNGP*)OVV_(@}D$bw6o%heqd%E861v0 zS!uEH@Gy4b9=XtNaLRDq4*N4@jxXV(7tRo_U(62`CE2KDdKHD@D=K}rRjP#w>c)4? zOle2{_*v>buxHbVr3Olgje;B;4c;%nq>g8AkI|hR6Z1=1o-fd<{z7m=ZGYvi)#49BSP7OTuSb|y)V3KIl3~X zh!C7GBiYreiD__1Pit#0H#Y#}at4%+KFH;;Hm>?% ztn;q1va2UDJj6>cz4&u8d769S79=eNgZ0P66;Bcy95+!E%d430^^}f`pykQx%FGyBw!Fu z#Rnwh;;Zr=QupP$oh~U^IOElQdwV-@b%6KyxE*2lLahxs35ns5N@hy{v_%4yGN$5Dgm8hotF=A>&UxLn*nuo`X60gU4emJb8~aR>EVEc zL>dLiPT;eRHO;%oHsvH1gzKKy=;Hl-QQw~bC|z!&&~+S0?y`#Tt|$P8W#mS67>Vm8@%2%F0MfOHZ9|@qW3y{QJdMX+My~L!U6< zd4&&@V-od7D=1Z5T`aNfFpZ0MaoSBs}6K}sf|yG5kglNRvu$DNvhl%Zz>JHhf7Q@bf0*hwxMN&HevZ` zRJ#FqLXo4r27joU?uwe0MrumR98a^iojE^v{d2!+^I;y6RE5cnH5qcT3Q)>OPjD%m z#JAQgx|01U|A3D2Zc+FMXE$c~*D+lP7+oxdfqi1y)oAw1JVKVp1)roQ&2xd?ub1C* zF*h^CyE2aRezGd~bqFioMg9zRLP$_)xZx+z=rX#C3||@=8GIgXt5QoHFXmT!@?Uy% z47kAW)UR?Gs>%iCKb!)koxajy9rS1n2HTTFaAGE~p`r{kl?*fe9Ud$l9-J|GtMXcr zQ|(Op5g9LmFQ)noPwCM03?;kRT>8apO9H(?yReiiq!+Rkn4+zgp{tPwd}fr643?rJ z3{8n0%XxSAEnJX^Ry=o5ldm<@wme~b8+bgR0ha6gbW7u1sxB{e z58qp*^EDaW*b=C=O&#fyXTfuw8I|u)^tYQ5Y*>L=>Ng@r=);(SALzuTwPpn4+1#y@yQR*Gvqr(}D zJl%eo8fEwN*)-&)d5a_eTlGsK&ZcCk5Tx^~N?`9c3ON@{whbwWj@dl zn6#q!UVP{JF!dKUhR>o|NX6?f)Cg@*(=b>^-2+%NQ4=k~1p zvxXTrUB)x(<++FG%=2BBxfF}?{a#ZgWi>upR_sgFFTPhQ{@x%E__*<)rr^Zw9Khqr z1i|;hl+DR5ucqV$&jQNJi?M}WvLM;4D2V73!>)Y;Suc;ezrPmQ;&Mc)<98?fg*=Z) z2y@mcCXvl%LPB~D-TD)s*O;Cc7g0#a93&HB#jHc5W`E zyPFtPM)%G4c7En*nj`D(uXG-6(8r%h_`QUE7T!-=uM7V%SP>E8WJCm%?(ByxX4o_w zO!K^SpFnT~6kq#)W)uQ2&49%ExbY%bZ)7Pmtl4myY}845VoE=-IY=VN zGesZO*gwV9#&~&r$>yZFcHiTL_p4)(%?$}!@5pIbsgDFptp%Us{$!y-M&J=60gFbX z{eyp2u3pU;j*NG1&-(ER=A4#wAXrp6_ zDpx55Snwb}AbC+02qIE3@O1Qtg4@5}oqt1n=Q3Jjl{TlJ)wPng?6785kTtfvJS;Vy zLr>{Pt;pkb#dssqkok*VmWC3e24D0Wn*fc!DW#6*Ae%k}^&@=%xTCD7=-?k$sqkv6 ze~rybIJZ$<{P~p)?@4Z)&cxVQ8($b?h-?#OCrf2uD=%6JtP_THpb%_sBw#sTzjr9Y zpHnpX2AP7&ND)6lIuB3YWoUGCbas}0W&9NdmXJeR-A=RX)qaiu|2*HxTM{e`isg%E z=cx|KdIVw)W{RW1A74yE3%G@Chqg{+a05fQmsHk%x}q_u*8?nL;AV;z!Yyz^g30Pv zk8E0UYipB~p91t-pAi6E>C0`-NgjxD9bu`GG@F-G3CB1AO4RquyQ087(h@>J1+0RMTQD0319VxKvX#>3x`$S6q> z5Fbp&x}sm;Bt}5b8ruf9!bNzuc-qNFm#OmMtt;B#C&l;yM+b)wIv()m&$E>cBXuet zG`%(#mal6vGmnA)nlxZU{vjIZzc;#V_r30OrX%)S;qIlcgxf;g9oxL2;SS$OeW66gcq{&W{o|JH540 zCR({RP{d+}&?%#Ae6Cez1egzG7J4Cy9*@`t`yt4e*?-+H7>H}@LmR}9kSQH5AqawQ zw1aRdAib(&qUc26Uw=2x@qr*U@+Q6ox{S(`^cUPcI9(`lRKyg=XRGl_4PNCG5uRt~QfW*<{M%SdT3{$8 zI?>?sMi@c52kk@iX~x#Xjdp2*0Wu%hU&(|bniA6=28Ml3wfpr7>zBD$9g@6f9{a59 z9SdWrrPTR~R`Y4#3&)b`L-q^?OXtJwHFgDbn05A~R#pzHx>^oDEKihzB>+Z|R(cY$ z3QXP&+o=|s@*~Y`$}dDKWND3*0m-SUl$6gveg*#BUQ|XY&rG7(@XKhEbs5a^kdS;( zYXWQdqUqr&I3xRGrt+ghhl3*GBAp(EYRfPtSU~#>K}F<;sqy491Re*_?H=!+Vz@<8 z3ZrGZao!0oE|QnN%v+mN$p60^f;mC z=XH}Cug{F=YpccbZdAEw3OSXN{UhOdN92oEgYNyLBj|Lj$wQQ%d1aBW{#bs2;DhP?^E92m-f*NyQ zmt~N1r+QdkCc>8QorY^VfAJ9}{GK@ok1{PLgz0}IM5cIn#l98A|1`@B?qG$8mhd~2 z3%oVit`pQaV#KD;6HV|qn$Q(K{--JvCx*p`_`#K;7?3d~~cMhyuAI zA1JL3(6!vrY*U8)nM24n3lkZG!{UD%4f(x!vFqSLWbpm|vwJ zT$la)5in*zbIX^!ZW2!1{@PSl2sGlCxh#uT`8sFKl~2(;QWTkaoMsO!dv<+o&;2gJ zKs}-d=yl?#+2(%xm__=CrjmU0I^3N3OL(wrgl_J)75qUdHRQJ-_qZ+*C*z829xA+e zaz*Rz7Y1|VXE2c@k@zo2Q0|r6U;|#ME%K^+0xLM++hha@PxHl7k`kd=>u2;&(U4+Z z7mBD_c9o-2%=|PCAC({Zv@O67WLB?8-Z=96DjKgOm43=(7W><+X_$~jq7P<2hZ-e( z-kV3>)J-Uo!@5rMO@*UiYs_5tTQnz)l*cB8jPP?zi$;Z!*{|rR><|LPmF?*RM+PKc zwWtG)I7shZYdUT$bzg+;LqE{7l7R%UM_<&NI6FIWNvdkT&zXAehsq@6U~AqNlQh2z zRD_@+qCig#{>~g!YiLV%BTNl^kqn@D|6Jst5v(8HEa+69kFuhJe8iG9RNqsN^8dd*p~2tq;Va0cvwni4rI|`hs@RFb(X>_=w~)~ zdG?CQVeQmo?9p~>J;tq(BGKb6Rmlwbq;`_LOR5~x`48%4iXkKME6Q^H83!i;*X5UNOZ>R(0=i;rP%Htb$X9Rn^S9 zUn_B#uhW=dPk*$;geMQMhdd7qu>RRN8n)9*jLR|>_FLFC@kZWV9&eOcf1r#Y=$@1J zDQ$?5#tTCJ`31L{;7d9*8xxTTx&8GDic!UNb*ab&DZKINIEzp09q4<(XNP30d(EuA z(1E3*2!)8(5zsbZ!4v!O_5=sST)!(QL5aMYIs4Bh0uHlK>>{RvQugqnz)AWhH{ODv z7}J`Jd~ieN*T2*s#FLyzDFdQK5n=eBGzt^K2#Vd;UuBf5(?0av)}IZ0nHg^U-f^>{ zG!e%;gv3Hj7HEd`ZLa&nt(+4?Zix3y{YN&NE2FTW#-76gPNxDiwxgnsP1QB?)Dp*w z#sRvGlpt8xJ=3GYaqXjKqAl9bz*ovkms-!TxIuGG2j9hBa zbK;3z{Pc)mGqMW>h&`;%sON*{<&i2?-5)3ASo6 zXANut7ZP7wzxS8#kO3UISjs|(pWkk6ih!|$``>!>C!dJ=-<~N^=eS*JR)`R1%%kOs zv%0SXiAmBlIW(ZM73kTHeBsbHgdWFSXY*9&ZZ>$udTJ`0M z!Mws5(h0Uv{3(sgN#T6&V#xg5WKvgOlcqbPgW|v24Huob|BXe@3 z*z{MeobAHoTZj}A7I>l|59GSF4$Et3BO<&~xI0!Xfvftc?9ob_+4SVS=@0}T6=@6Y zs13L5=7$j;Qk~KrJ3hP6cDW=?OG(mwNo1c?RWq5R-8|Bl-)CG1KB&bG+6TF8?fGpd z)Ds&>s-M7g53Z4r!kLc zl^azqD-~=bw3Nnp{uYO;ksIs&NBp?*dnrK--Mwh#zK3d<;TpzIt5pjLYhRcC?4Ogz z)~oyFvA(|}evdT;HEdPspQ!eUQP3?z%72 z9WT3sP}cl>saU~>UhH@kBM!h{&j!U(?FkO*`ICb;71P(>yQ$}DInvsD+S~cr)nhn& z21rI{RetWlFXA1PbrzcouHM76tQVn~k~HZ*Y51I{_F+3)OYblUKJfag9Rr9>;=eXQ z`boPXO+Ih^B~4j^4bs0NgWlH86vaS=C?)0tdphO6N%V9_f3KLEWOvPNLTgd zo}|-PDS{n3H%Vyg@?fKl6u_lpVz`Cx#y!h6%a4Q5bG`vFO4m`xgP`Lpff*dK+avwt z<5}BQspluy8evIQCspk1 zT*s_mG7mn}5Hy}K)oBes!5OS<8jT18kUO)!FE!>S>sL)nWyeylxyPJ%99S6E39^UH zZ>X6xGZBcFoO{GCD3gt+f>+C#NP?Y@GM5AzzPv|BuGSlVFq+Aq77gKzlpA-<8}@p# z@H%Z}k(1{LcymF3%XMmHEN-XY$z)#aeKl*7!%%Iv6+^3K7yF$?R*vU8DCEHLKNmuR z^wqx$`2`&!WDxf=zst0*v0aW%rbgYQ263t@I`K79a=@FS+rmhy+Mb;DCI#`ZgY@8?I} z$PL~xjbQyw`he-&>UF{(kLo_V+r6s;-G}2a+)DSE(-QC@B=|W#oyl2wL<@?~+q%)(zk<}?xaoo0|b3S&%)(M{V(c*`XT((ukvEP@R zX9iCT|Fay!0g@s@rDNe|M9b*kFF0*@5^b)ctRKcCN?*eHPM}#{8W?sJFJ(qhzn)ra zxAV5(x1??^5)x>^xgpshcUddv^`k%_W;*qIKF>P^HLx&Guu}`;u`Nkc9LQ1$d(Ar1F%29O#MK zuc*k`w6VA-qKs#CUN5XP-vwno z7QGV2Dm!2ObR9cLo~8LZI?4{%=@i<6{$2RGle?e(qI%0Tw1WXS$)(ae#ru>r=-z)U zrtzH&kP4T6)v3{x8U1`?v*KdZ%n@$qW16|`nfqq6`~D{w@@2qrvMlwwlyfyte7X#} zYILkYms-^%t$)f44?)!btjvHMe0M5zsXTS`#(^|1C<#t1iGY?7Iedtfq4J3Q z@DvT=Z0&KjX*@rg;Z^Mwu&Jk($8Bhg2K&JxBjq9@6@d3w3EBawxT&$ev9rRnzWZCa zrvbap_f1!hvOV%IDIeuIzI98zY{e7povo<%Z_(|%bI}8y75qSe){J^TRxg*l(JMvQ z6qHr)^qr|ke4=+Sn5YW}ln!(>o!`oSe!p?L>lKTTtA!`LndF+b_vhsm*LH>p`CvGO z5n#3XzPK}twj#7GmN<+#;oTY>z7)*Vu*14yOeLqPkUuQT=woZLjAysuB{S`q~$=P^qS$82w%)2m1zVQglP z1`Jho>G8PK+dr&qo8I+8`?uOzjj%t8F`0Z6a~M3QD*bm^0Ym|NKX)G#--r;uNRMnZ zr`))h;%$w&SoYuT9u@Ff2>a+>(-CBOUhlm_^1<)j;L@3({r79zw}|t1oS7=#8R60- zDB0dW1qH)2-@ZV~Y%Ax*+J}J($8`MgJuf%+^=e1d^W{tn?MbX2@wdk}6thP^iTUFq zC((H+@5f)B77x`c?^Y#f)e#WaS65q$MIPJcd!~JRUV6wq535z1MyB=YSy_2cdkv$O zA3HR)+~1n`;Hi|>UH`e^^H+Snj=j-Iq=`LJ=#VfYUkjMBSoXepcvK|hgshh6KBlKR ztz?$n|EtwLO;m5HWWOq2DSPIa(cP()1ksh~*dI-uAnjO&`}nw{p$<1cB9;-pA#cN| zE+l^*uo4n#YKIT9S|$$c%vwc&XV+tUHyJ7nkY{t{&4xgW2l4`L&L#}e!&2lpYd=fo zmdHM3xxp_lBZpw9U5pTq!~LknyGIP(AHUg5#Hhz@(@(ERD7&xjS-hW$qP*AQl+*7q zVc>G$DK&jk>?7Oj7PuUJ^={2NJ!Wuqdc zL6c6)&a(US;YAiiiQN^a>yOymZ$aC-eZ8L`t6q8 z^qPNx65x*#8#gpGe7Wv8@!ktwF&IRMSgDT)Uo;;x`AGukbGIPEZg*&^b2O7o0GmfA#cjp&6k(<30Yp)+6jcVQ3w0~Tq83>OdUq*AI_$=pWY=C65iILtd z%H_{4KJ)pq)WsXrV;Vw$w~hR9GuYol7(IZa$9`yt`6`iLTDct2=SrY<)_3m3!k}5y zm+ph`dB3w(&He6FomN1|VUfHMGq+NRNF*@O##-(tb?F&ypI^iKe_~*3{0uet^j~Sm zaa5C#;dtbRF~nuDJ>jI`aDQW(lo8qf=PTRRa=y4SX?YH- zU3M;5XbXg(IgT73f2dwe@n{Cva@?TbJ&wgyv`@aZT29kuCLeSfslkW?zuO6TKP&>8 zgy*w+mgVyU0X6?sCZoGY6s9*wHWav^c!{Ehes?Pao-5xlW|58fi6mz2e&LF z(jGuJA{x@y*Vo+KJW%SkVBJuM*9wfMGdo&F!^fA06QB~+ybrzE@9Zj)7rDDk7*HL~ z5{W96RL}lHjgu=ThY}DXNnibKp{B;b*7A?jS^~jSX(ZBl#wSFewps1Q6p7c2*L(XZ zBs}=Js%$Up{pQOK!J7kK#f__fC2c5k@gN)+H3fk*1vQvLjAB+y7s=07&pR+Bm6(#h zn;NQ=%Vn0AFkVfa8REFO`HKYwt|b1KYA0Y6j7&dfW4Bx-(8dCW1Duk9m3q>Z@u(V*n(!r zeACG9qmE4Go)<3G=K=cHXI6xmD55A;U)zgOTqjmm+!j;u0;8o!SI#18NiMJ~Tw8r{ zs!)sS7GRH}0s1bFX(fzKHoEw3_X@(N1m0xvqTh1 z(`T7pty7#~y9CKC?NVeG&XI+C{_H2WvF8~T49Z%uE{qA1K zR%Gz&ce4kQ($ag%KUAVYlT0STIX`3BzA7t8qaxlO&CaSd>5!9?XQihbNoIG= zT2WFv1&V?2DFfw@Qcf(x=Fe?O(M0ph3a_JTj4f@vmePiKx2ohugdP&S>&CX5TcMb| zSea!Ep^Y)gx>N>M9(D%bJ6(}aULp)G#FDg~&iHoH$7XR&z1KrP(Tc-^73&;Ad|%>Q z&3aL}kU8Iat3+&wl`3g~WpTF_Z7MM&;%JBHVYqY&=8hg7>$)}%XBSUTkqx9?F>84a z^zrYjwgVq5_Cj}q-<(d%7x{-jEOsNqZYVRdr;Q|D7^^-*aXfE=(lEdJa$C+q1Jgyk zy~@>$#GlS1c>a1?l8JopOIvZfCNg33Et)b{usM;e*7%Tci^;~zdt2cBwDUY0rEA5i zLOEaWc;FX^0r`F~UF>~vr|=|yw}(vxT0YyZZNC0%599%;CP@uEUrs2n=r*Tkt>xcc zok^6|Z7-c5fGD~!Mp`}>&CH`)bs3baeZU=SU2V;JJ`pkb8x}%1^=+zItMG8K&hYO1 z4OXl6Q+FKMRVcj(+w+1uxqcjVuo0ibh%K$U3|j@NXJ&*T>!HiGh05XHVXTsmAw{cy zy|JTnqKE4`6^0fzx}f!1KVGD|{XIhgFtv}i=Xau7H#i;L&n-FYygb>MBXTpZ@2kFR z?5(n)Xf~GGYE!K8+IgE5g$~PlTqYv_Y( zzV%@(wQzDa>BFk~stJnhP5rO?@s$W2`h{T&}_a&bz9 z{Q{sG7O&H?DjQ0oaS?XZzw}yQAh5eQ05?6hmm*-0je9??dI z?^``ALi>ktBfM@?ic0;!ieMLx!u?*i#p~(D=An&+{O(Peha{U#M?TjlW$990mtQ&7 zu2u7@MBj^DHn?uhpq=Gms?Aq&1k5^BrohD&MISek{gt56qW^?#{ZO0rx2dPR;cNs3 z@APl{I@g&Ef{{2|aoDu+CF~As=})c6tT-)eVhL=P1!BDIZJq73wJZc&@Vk+^i>?p; zEk^LUuDg$&->|p?@xjid8&PF~Dob3ANgJkB;~G0iQhzI#fDb z(W=6BqucwofJLxu?^TJGnH+pzhsh`YPI+$qsEDCO(xvMGEFFh=t=3fq8u3}oW%f64*C1pM&)n%47iQ#n}3PI>X zmAovKpQ#WOeZU|D=owNPt}Gpkm$Mlxqi=c8R1W`uU*fUKI2pAOsTYMX5I0co5ep}< zqP>$nKW~EZ7pGW9>)ShxJ$NA-#%uq;pp0NI`fr5j6rUjIvJjk)*$vDAsPc<9dTFc^ zRU+5p;&IXrO_|}-arH^*KiC#QP;|D<-}%4-^Aq(;B9~P-kuTzk*WXuKSRr6i>8|~v zpP{*s#rk+k`PkcqgWLCcd+UcZu?vjq#c`a7KB$tMo_}DXAqtHzC(IrfG@>CZ&xhpK z!Y?F|kwXEm+FSJ}RQ5iZP31zt!yY?B$Bkv)^DDA<e$J267ODdz2Rm8x<;VZclUtETz~fb zcc>gx&wc`H^q2U*%DRs1ufLUBg7)5zsO{ACT+1Q9(3w8XY4(36=N<}=_g)`emPJc7 z+nU+-CzjPQM^@vg$$Jd77!WGe>=HMXa^itimHj=yCX!Qq0L#Qu1DnH*jSVU)s^Lio z5e#(9cGmEAD5lAf0CXmCMp}jvsx6H#7=(jTZui6m-*B3SR8RZwB7N+N(P#XFJV$E?ZJ z8bkJIfb<@D^-uv-!%@AL-PZlcsE+TuFBK_E{Zzj_9 z+qT-O&jxBk{azT7(#=Ij(#0v~j~^Yj%})v|u+9RWzb(f>EOyf*pkB1GI+K?(vw}=? zP?C;43ii>IMAQD}hOYgC!pp{7vlU_t4x`-tEmf4~%{NuZ7$EsHL!ocH-&Jk8`B8+R z2ar#Amd{x*Ilr^ja?Qh-hp5az-mgL~yLPlM=PQTRf#-C^^Nu_K!X6FFjTb%$PzI1I zG@#RAi;~rTDk2^CJNL}F+d*yYMdOIG`Ty4fq=nh(<0HbFRCJpjdy81SdCZ=wZJsaY zd&mt6=F?vG4t&19AlC>@r1S<%6#ns)7}Fds7&R zBF#rBhHpz;90u-HnY7MM6R#MtwK4UwH^^GtUW5L6I*{R5Emv#DW&WK(Sep%Gte%dt zR3EYTGrb^48{23+2F^M(*br&O-{;^Bh9CyMBLza_KziKiNEZDE72hlBF(akl#r#H! z*SV%`BvHyFxgtDD?+TCq9HP)MOUC8bXfb1o`u=;2?ozP!q*Z){V+#GY4uXRI3L4>n zT*`Dfb`v$;@eW4#@ap2_$tY0iNeGi8A0iZ2(+)SWE0!+&>dDJR;fPB`TGp zm6jqpO(4zlF!=m5_>XvaulZksU$N&)y9cBm2ZOQRLP{$N59_#X&FsjAL}hjHzdQZ*ceR#z%<&iWQ}3-YY42}k zLP^d~dViQjjMzZM3zxY{ss0d9GVC>G0qz)ZjNtCmh0xkM-|yng^p zK`}aX_ftnhYR%nFlNX1f7*8mHI?nMc(r3`Fo^pVeDRcWbdN>pr`o8E-uj;dV+C7Q^=gO8m5Uh^#y%Y#p%asNqi=NX(3?Cwy>U*;@fEYg>lXtDf} zPgr)_-*jRvR*#|u)u^XPg-84aUx(j)reviSGdsy_UgEuHN1trZqwi@96tiRSV&ZD3 zAjoDYRo~rGG;4{Y1b1gO>({Ta`+e^%)ZKX13JSO@Z}S+v8_bDguNCQ^_REvm75M;U zT4+PLgDzjh;=tJN{!0VuwV#alb%8bOT`ja;34~d;kF=(5>`Z4 zkK>pwOpP$d%Zo^(%|&d@3{ZrkBj^)?KD)aw;Dix4*Ho`OZe+P^vUdCwfBlv$kK&Wf zxY$>Fijyv{>=Krm+x6mdR7y9!BP4h?Zf3}z>M;$2N7+}ivbZxscf0j;y%E881QhDfHOFRl~mGn396^j!5Wdx7QIqx4YA?eQr;Ik6y7c`0p#=3(h26 zUb817fUvF9u|cgqx{Pu^8*+A|mWaVrAV&fjp)MuYs`?^!kda$dw_kTzbto?ab>O7N z&_U+X#N4MyuGgHqzXw-78`44k-q|aZPYy99qCpTOV?h+J9scR}7!ygh4Ijd*sgDN< zurfHJh`%fKA|}Z#CfA_NY94NbI)Pn1rY&3voq`D)3I)bBlN|-aIH(uGR3|g+pfx1B zWgMe*;>O?L#xK(WR1o?>AY9*Q#8DbNF*pt~7&;&Ln?a0G2k-iOa<<|FA&uMmuY5^L zbc%D|FHmZ9&IU|Sc02;QVia2$w5*5$r6b=0!beDVcFVMF?)@Qvb(At2f_!^2>-E9@YMU0Mq@}YCW^NF7d5w>55z(;l8 zAtM^D@B8OICe7qLl{QosHB?$u2=nnOp;i*3;8-n|^C_Z#2EU?@?r2A&2codyzL>ZP zU&JNq`NPc2CE0yFf0j!I)23~bAk%(mjwbbwJ(Fj@q(QFo9jjLH6v)(V)LUB*45Rb* za>fr*q!_`;N}Zu(xA`su``NcMm8AIl?2T_-CbXDArM|>rU5I)dhG44qB~zB<9)C#Z z@&{94VKvGJt{8dMyq=-hBWV=MlXCPUPEPcSCrjt~oA_rLWO(QfNig>(RK&8U-)ryk z>#WuMdaZ9I20xML{os`1er@|GO3gdUfdFNYyN}7By~@y>QV~meo~`%J3*{GNm&@f` zibWcS`{BOnu|3~qX|~M9shdc|hXwc*lfqnTJ&;EoSIaZB(TuP#uYJyy1O%0zz131j zo;kV_h-Rxkx!yrL0EH)m@HGm^XdSCAjz;~poruLn^~53FL?8Hu>0ny8qz4j9ZZ|13 zXDOW0cDNYlC6*#=1Z~6&PhK0}*89mCA=6OQ6Y#65sY^UPsl_qTpGfK7e0oa33cOpA zdxBqiP{M`Gl_NpfP;c6J{Enp+!*sObpENs}PxqVzcI>r#tgG!-f-2B?<-Ru*=m7*{ zdS^h^c*|)ZOEUS+ugW4c9IJGGiaa1<9aBuN0}}KrG(h=~c*L$o`@2ySNN~AX-uk6Xi&BUPzZ*`SYVc@VQ?Oaimcn4F z0vYC8)1axhxJn397mO1dWPfz_xL1^ZYwz^Q8*4N;DBUqSW28zxIM|iWu$1IAOZYQ3 z2!t(kMqgl|U)xig^^o{~08Bx%zOzq169686{BaLF;I{1<#&m@~|B254z;n+%=X!VX zc^C0yopjPk-~8{E^uHlr005GS!w;so-yRmMeE+k5-AOJYEG)%o}4L0Id=Dl$^F`_6L65016=>z(HNJ{a*ESb`6hK zLMafTv#mHZQt9a|jZcmditf&0Wo)$6-dP!~mO6?=L|P4wQ~{u;*i{~_@)TIM0)IyM z0S2d-Xxv1JoFUwOdN69AyAv)I*HERR(CD+5MWxZ7?b8&f&0$0LX7NxCz8Jn9C*8&&!?9W*p- z`yBVRai3@Ls7O00(7eFhrbGaJm4Uv>z}&7`T^+^DgWi%cJDor*ws)2~iq)}EZfc-1 zL;${J9Y^Jfl_CHsWug=!?y3wBP*+C@cvitFv}Q3r^}N}e3IGRm&sK;p4DangSUmr5 zH{Ox45davd4B3q6Ea`Ag&jAroN4|Y%a8Q%(>h1k`SLe}_wJ?`6-X;vrHOf=&uaKTq z1@gH6F?ezX{_z129lBmyA> zhjj)b5`i()&?Y_=Xbl7)P$;FTztUvXY!yCN8kEZYCwF%AW{g0ZXlGBC8Xp1xr7=#D zO&cEGyJM{dvR(Wu5C}?X0+hlL7Xd&DaqOAzpY8yKGYlRUc)_X`>$R4cpvd>hFSea z8T<3=TAY7x) ze0%)SYp%WOy*#d)zIBtk1kyyWKJL{F1F(gjlSqijDPq|d)a?%h4(x8g9eCi*z?r|T zjE&d_$o(8l4Gp*q0xX}uFcZ_+gK7z&VJ1r*odD3)Q4E71-O0c}H<~oNvbs$n?G-N# zM9kRNdrdZ%u8tzN(o^hme%$`UagwCCi}~6st%OCU2CoxoqdmngPP1d74Koee`sy|k zD$`)vDz}wEfA~4D7*bb9Q6kLl>T&%gdzP(?jr0%ic96Yk!rZjlXMMPjTrkZKws&f+0jQp+QhO%=xDQM1ov#1; zJk-D~Ln1+DZak(SB1*IlgaANK;WOPrfU!6^^3X*lnVTj=R9gF$G}&dlOQZBHrAwW( z#d-{X*iC^KssIv*0zqryjc3>J-d)3ceR#3d(Wy!IRR;aF;z`5wLj=?*Te93I3*0qe zK+EPWXj}y;jllA@ugOR$11WjN{cj!44y|@NZi3^(@>9Ep_bR0tk<$iv+~CeuZ|rPq z=Yh88+W>%5dJ)m^NTt6rNQiuwudUo8fSu)m9m9LsTfbr7&{Q6+YSIkvBnZ39dliue zs1azToDD^>y#oL`+dGH|;{*Xh#Jmvg0wW@wBKM&s0-P0QzdXX{EqQN6TKa6NFi$||4J<>Q#zLCVJb~^rDF{(hbfKD ziy}bK=F@$SbRU>$!|SG9iU5cdk*1o`37P&dU@8D4K*#{DjV|s?P2IQBkTlq`a$~z4NsEHD#ALuITk-e;)CrPs9H`hUf zO$h~o1S{Bu0Hq<2<{nrG(S6VwjyE&ip`r0~GE@HN7ys-(Q(T#WP1EuU-=_Wmi-ic? zZLK*(S*yqf+nkn#JS5Hs%QAwXrCe}NvX1BgQ2w0JLm#2zhkZL!=e_Pa;A(cDfDZw< zZ*_XGZ}`gf(^czN;=mM6BH^BQ0A)+VSRY>W@fvIdj{WX6w+M}~1<-?KGhJ<-8h=Jw z&JkLeH3L}q!2ujX?bFdg`h7-+#Z~AAW#yXCq=* z2(*+!h(eGP3Isuzmq|U5QIN~$r4Xzpxk8$Mpz;~`0&9{8d z4_*{hf8DYu5JChph@z-a$S+;A@aavPw(Q(V4L1k;ptIz&5Y_+3g{LypPKw|7>Tm;R zHr3Y-Sg81_9jBaa=Co%$NtQu z(7E?#O6#XLZFujMSFc#MJR`XLp6{DvMydb+K)GDL`xkfLegCf}>XYatn)CmD=PTc2 z2)JA>f9(H$d}LzGNvac9uUWZ#1pwUo{qNnk?l;wmQ2;0wI!<`iahG25&N;JZA6V+$ zT^%xBr)fNf#{Q5M1#UGN+d7+9hfoTsv`2CDe-41KV*Wz=g)bQ0qgj$TtWJ{_DE#Rs z05WN*P2|DAI1$?)W-!I(hFg*y0F2#?=@vC=H5M1jcGO3ITeR40YT|uj7qd4u03g!t z2)eJ`Hrr;p5r9Ab^ce%*VIxRORBCU3VL;jSk)ab$djtLKY-Wa)ZaqYxHA%tSu}IIs z7F?I?n2FeMe*Rrc!zT#;PHBn)o4pDcRC9dnwgbDK(k}>Gkq+$A1_vwK8G$VE4d`6z z=oE;9qh(7405W(UAn^TLU1{kx?Gt#gI#jekFk=bUlD{z&L<6D0Uci~DR7wLvXxl&z zM8h+Tgn*j#viS=bgVO{=w0_4HOwGSrp6w8UT%=U;{xCh2rjX-HkoB{-%@I(ni4vV? zZ3F-^rU!kMK>%>@BER4dZDF+@W%;J6Ph@VFPN8&Ko_Q?W>zls4(~T-DNIrou|?6vAW8M6{OK``eA*U0lU9 z&F9caiYC#TT6gl=BtHrPT90@(HAQr$oq*cJ32oix?;dgEe&{O{=06}!u~xCtv1hz1 zT$ELb5`}}RT~q|{8DxG5I|^u>LI@!U1aEDCAnf8|{Yxp*ePt6(7#fs=49yzG_dECX zX$o1*S!>$SUDR65F7*tIlo4_D++w;Nq-He8J?Cu|5ws@eJt4%gXMRAK@W=ZqGvMhT zTFF2GGi3%gHDv}feFYZj;4P2?T+z{z=0 zHV}j#(e=bPcWtE9ixzUe8cEt3;X)dPRtiDJU!Bi_4(LFKRcIisH3CX0tPLqJV&$DG z2-%|;08El-a|U&6kR*z|_0iHwcy_D|ehDeUFv#a}?d@%Ajyh^vUthx&g2zK>i8kHX zF_YIGQ*=AC>YGd*$o&>wY|0kUK_d^e?hm>{J^)<&o|Jy%|Zh+W;?oBOx3 zGdmbgwwMLtcfRsXB2rpc%H>aA_jh|nhS;paom5w@SP1~T`umu%++w-!;kEC%;wsnS z<|Es_ndq87`#^PS?1W>EJAU<=)vH%`cX$8f-k+hh);A=wAo54A{qTxq%avB7=~JKi z^v0cA+&bc}E%scJ=+HNc1E(dvsV!fjaFtA zy9Y-qy`5cqt3$JkJv)bX&nfnrhWK3C#6$hnp}AeX{neql#a^C;-PJ)NIIz^q-QF`Y zbWo{R3K$w0?(XPjJNg-Yv&-L(FWmd5Vh_(;zwrD>Bz}!+Vzv-_Iac%w8AqPVp zSn9EaF=%FgWr!~r=9YSQj|?-5tvGlg_t&~zj|_~InY%68g;F2^1On?y=cHLTTz9Or zKtjMcPLv`5&`R^=BAUeXS*LjblzdZ|Vy1w^U=ecCC+@b=+A-3sQg3^qGf5H<9NQE( z?App5itsaFzhmLwFh<@5ETFbc8?4R zA&^jkk|YoyQG^!E)z$))bIX&`WQ@}lB8G?nAQ2Qn1PDPeI8sKyQb*B~X^dO(=7@w~ zLW3eo6asP1?AhCk#WnS^5JE^HNC?3fSB4gZ#OcOR7>trcmfZH*D^pxAnsG)SD|Ir9 znGUojWr>RR2gu3gU35)>LI9Fi_lr>2vR%!fb8cU3{G2k++pk*g6pattn=2z{2#^3R z8;~0y|7*};0e~o-n(M2=`#;p|lMQG$S#?#D(mGZurquLR*uO%6QKyBKAdY|i1#;3N z7k!$|6w+HG&uxS8M?_HfK1aGG>AafJLY^;58*kz}V!kD)7IJHQy0qe;96}0l{z*&t zF^)T=wGP98D3KqH*tKFO$$4aYp!l>MsVjN0Tg?rct>(Xg?(QGSe#9DSV5wJW(;?GOYy^B=Pns#>gsn;5h4a*KnP(JsW@Sj&?R|C z`^qOT5gmT$VF0kRe^K+S!nX>12avdix@4#qnA_#&fWCj5Pq--fSzJkcV{WKw&X9dw47Ej%mOhlYP48n%)zDG+DE=)1|HJrzFz9Lz#~93 zIX3_VqCYw!+O%V<(h5}qTE%h9!kHx`)0=xIr3`~W1aj4(i+$p(-?1e#)IHUqoD{>O z!vIuE)b8>?qBIcn5A8+3zQNsE)2@Mj(DC-YeSwrg5Ljz5f$4%VVt;paSOD%G8Me4h zl=!>=03ZNKL_t&?08oEa00Yn626Mc*)5C*$y{k)aPKn_%gy%{sx$?lOM z)_@RTPjx6A5djeOl?O~lbM~Dl4FuaKcLKumwLYi7Jh}bZgL@B{J8O;*0uj19ONe4% zWSA#W07^6$dIS)rso2dvI8}=!Xr*avVv0vytJUhY8gGta2vSO$Y$cscXu_8XsFoyB zh+H5UWmHPVal%{w;`s}-rh2WGXbOY?fDOC08JYuT3j33fOh?)((Ric!D zx^l+CWL5;C0#G2O6qp9@Hh%$t+uTzf+EX2x+dZ3&D+y@jA&ZAcDm#bwD5X`BI7N%6 zV4_|_M9DFFghW9c=!W|}w`+EHXVEnP2pe{6Nmrz=L=tJOlQ>aYDXkHpJzofdfFVA< z(G)_|wIXwUVyHt7r~&}Mxm~^8oh3j)M7_oC-eOmIbfmvBpp{Nkk|au#3Ae!8rjVk) zJRqf%LJp6Q%qjJ7gkRorG=U8ciF{FH@hb#YkhIpE)jUa(IM#N<&{{LB+>vY3^%w-^ zWe`e1fF?JTl!!PqR*_N|n^OW{!(SvY5SS1GL#L1kM8qhNf=rMH!HzwM+M(YzNG=Kh zK;Ys5ih_P|4N325tP|B(z;(t$!a8aD6Bb=(t1i!#`IMH zAeyMAJOO~tyw|CdWDXX9LLmD^0b&>hWLyxy#?@z`j9L@*mD5~U&4a>eUQaiUeHIq= znm}nioQr@!2$VtwQm#IzORMzg#7`rFwpIv6{-cSAC52qF`VB(=#73ymf5z`;h7Nw^nJ0Y!vE@(vab?=#7tV#*|CK+qmgul+w|O`| zAlnRdI#{!Lg3ya3K#g%)GmT~_;P)-l8Gq8z8o;)sA=!WoWQ*%0_ljF_LEN1F;r_b9 zZ+&P65QUWaK#mSp+PXV+tPq8i2CirYwxp$h_ilI2M6hR+RNLig62rUpVxlFVp#Dn> zk5?ep;~0X#Xv=7VwP|ID=0Y(lt)#l7s{2|xhCrfec}c5*(FRw{6t(-OivX;9;f z<_D)$Fj`ihQK_l*?}foh=+_Vb1_7_Q?DF28-s}G6(;xoCU-7SwJMvX;Iq!nmvt}{D z?)lZdD_5>uwQ41z=-b_o0N?%b_xUId0C)Z3=jWVx_KIc8KmUo(?B277X@20G17^*h zg^0I)|5hm_v^-FHo%YNQjEpvyT!^(KzWPJgT=kC2k2&U;Z+-demtK3Nu@55s_VX?} z=ghNseLc5%^NOQZo%6ca^4i(e-?wGk)^$%l!u{E@eJj8Chrj*1-Fx-`z^?wjgAbaw za>Ys_DwoS+@uX->z~aAddAM}qBArLX%#^jP#Q!`?l3=^gpzoCq>fsF)<_@%DP;;;As|R~#1V_aFd}=Sg8)zO*xYhTr3pDrM7owF z$%Fy`DFvcXN-3?7w9NGhe0IKjz;7_gxjyej@@9h(a2hN(qeJpi! z0>a+PkeNp^7g#)RY}?E6G*qIJ@p__FtQ7T{=#yAidTa^+AqbLo(=Ff3902M`5=7E; zn}FD`6aWAcMFMmjCrOgj$7(DelA6*)93*q+VBhfANEn8yfJ`4o2Hh(5DQh9C$oIA725`6A%e_-d{bNh5xS66peeY?8a zXxkk|SE>mj01>DNBi8l6j7V1VT(n?cVYS1M%*u6ZJk2tB7_X5q8?DWFMJI`@ypvVn zI1-Qu#Di=`DPa>u5h^A4mREcI1hA>UdsBZ;S6jKQsa3?Nt*KdSy|Hf#k5xIT8f^hh zL@#3GYj4?@)RE9=j4x_Pi zA{o}k9yEc9f$C(XaRwL_M-d8+BPvG}+kO<_N9&BA^(p(z~>zH%`)_x=NtiY38Vg|#IFjp8Df!y_)3V;YCxWAHfdJ!TZGN5u^ z(NaOtG5tvBNcLpa7Iw}(Qz`a4nhJes>~KqrzO|#>XpM+%EiJvHgRee(A9QXC2w<3_Sjl@L z1qc?omo1h(m%Tx$C*f+EA#1nW=`NItFu<;uc4^pjH)i^OJQ-sm<+NP~`xSEGmMvRy zk8=2F5i880jp0mCb5`(9rlB`tO}r9<^XxU@(HwwSBp_F24ubTMqOT}NKur)cYp8agNU}K|=GYiAux3j`Ab1+A z912NT?PHtf2=H+ma`s_J8xclPgb`J%RRBn|R>Vk{zxBoM4KOqFu}2*Pi1)6zFQZYq zYv^6PC9D0*@q9$A?VYegNmRds4_;14hD{trh8Yp$Mh<(@2SK8k*(Lx)N~PCdASfaT z4`vBlyh3VCA*b3BCGO-TVQQsXU`yD=Yz#+=5^YefZg;Wi*!rO$5!Ka|4mohy#w|~D z4-6Q?L;y?(25oKj-m1U|${GjgjpV@Sbf%l_rS#p!PwxnKL< znbNYK{^sIRR66R&BRkqV+S}SCn^*|H{q3)>zU8k13NzdJZ7tlQIfr%*QwgG_2 zq;l?s=bgL!Yz98#wEzC{+1~_&(@*`t;)RQnY9hAMK6~%I_g;JX)-O6>;R(kackBCZ zC#4YJ)_d=qalwz@_Ll!Bca+=P+8JQc!bJkg_xJaI;)|alBEpQLL`~-BfJK?>6c{dUWe==hB@kYeB;}F8*Szu6 zrl#gxF@8nZuzuZ@fB3~%TYHL}+5n3gk62&0oE(2-!((!`HyN2&JZIjqul*O#3Gw~+ z3?CjITCiZj0Sgy>@!MZPgFrAaJhaK)fgVaUB0yb4My47zW^iK3g1wG;-AUk%6c`V* z0U+Yo*yzac&~L8(^+;R->$q+GfSnt_?;wa@f3{Ko#R=>*00SdL(ths@dg&_iF))ZCnt4!>k?Sikm)D}Oas zU;lXb=DNB%fvTCgwXu0^_vUP$vTw4H`K5d4Rj+t$SJylf+!-A%pkrgBcinNzs?DoM zpbq?onMk8Cl_aT)V{oVDtJ?bEB}OYY;{#($=k0mSzn_#V)`tli9~&DT9sa|ge%)7J zl8#QDVf=*d8ZenuCzEP({S0O{Y%0}i^T^n%PJGoIN4(cPe08IvBX{0@^WRoIP~Xy| zlu{Oa^nxF#ivXMYyBVOpsZ}7^S043}Dp8Xg|H z+G1$6wquAEP0C4+nuSLXHyydmx#mT_m{Ph1`@!Q|dp3~+1l)X$UrQ$dq z8ymg-*1z5O$o;cs%>)$u8=IEV>_pQr6*H^kY(l8c-n18den6sJEzc_=co|-Rr^(azbC5iG=-4P?8k^ z2q02O;4o8!6j;TyCPc)!?c}0D0b&#>QCy8S5-o}pp+wSR*@mHz+pL-H*M^w|P5~g(RPCI?tjhs$9 zPS&lfK5KM5`zcsO+n* zxp(DrO`p(^RBxbi=GL7IH0*H!6WmoLKM5EbvU+;fg@oBlfeZL)k;4%5yF)NZu2`Ll zf%30Z;f)7l%*4dROqliYpfY03gp#jiDD zRz91Ffr0B({I)l|`NCiQd}LxQB!-z^{JiHcUby)CKRaKB(lLj<=y}Tz9vB<|#Fpk} ze`0KGY%I|UBK`ETUyfDA{XD?T&Gn5(9sa^a3m1)!jV6h{?e^Q&KCxk>GA{S73T*1D z%Tt$*U1sK!jymy?RgbLRyw*#;^nQt=cb@XL^M85acy$s0X2f;xefzt`v?e5T)?{id64lIf*XD$Sic_k!R2 zLbg3T_foUDSZ{U_aki9AO0XoG8LHK!xb{IXU~A5q;n$j)a`>=fSw z-$%?mI5=?8rRNXV)kP|jZLJ!GyU9=+JvK1(x)-0gXvsn0NXbzvPX-4EetE@36QvRp zX@({9_YK?FKRmc;um`-c&!CM?l4LIfuRG=4SO~)IS0!{l$lv?!*9Te}+8P_@m1noQ zi+ApM>>>FO5jDo?Fa+Qv(ecpe8(#bNme#i5d4_V|v-jP5=k<5pFj`lylyYnV04zmO zeH;UTHYU*;5yvYP25yW9E0z5^794&2%ZsbCXS-B1G&FeeFMiZLBaWhoNEJp5g4^RM zMR80D5vxg6ZQA&*(>^|pc>ABOx%v-xJo+%th~qeN#H0|Gn@d0hsjIEi7}MF4SKB*?NFwe2?0Y}!&>@4e^4?>*)1r+&hZ z)||5HDL2Mkd(9v3+PXG&3W*&*49xu6V^3PV{~`c*)k&`afXjb>B_gg}zxIIV91xS* zvSmw%CWk!t+V9{%{{@%*G;V7mq~;k-C%*8Q_q_AnZmX98pu4B%W$$>cHd;!$=u7A9 zz0clTw`}?AU$4(eW5^tVP?IFN_~+;KfsUdG6v;G=r8*?_oOyeKHozUAw)=}$Z9eak%|xvfCdCah3=O5?Ol+#_yxls z!ryB+)lPv}PFEc>8_hu?8Zhg5dJ zsm#o5j5gJZ9Bdb?)!vaV%%(u2FdEqHKmBs=J+#(Ds8kfk@hOLQ zh`Gv6p`wTskzu!!gh(#ZcO1w29CXT}Ltdd&^wgEyT^vo@l~PXM+zHbjdrWab$S&(` zx*Ie7r%C882^9w>=3K`iz!O`yQZ@o61c0Xh3W1i!SpYHo8b0CRn6?L80Ki|%9@-?K zPV99}c0P|GJ)8ymAbSInf0!fExTBZe#b64CWl)vS*ehCSA#=i<;9yO87)#;=%h{*}Im*F-n?;QggRlu9({g=Tvl$7oGBh5?F%&S`qrY3zeA>P#YGw zST-$$KEqle5uv}CS9q?7l0*|xXM4LBKLj5y%omWJghoW?Kj&%=EFeU5+cwW)LI8$| zr1IU1&V#}jLBt#HzU{`lZ?(dPaNTV;UVG~ej@pb-ip~TJJ=PI%WOD3x*I#wTU;iv% zA348ixwvB+)Y<;Abp8JNs~{NuUbmXm)w3@6zF6LfIFVGo_Ji+O#FJzWVec^^Hc9AR zj))@@qc_}n^9^_2EXCS)Jp};ZoGyhPaOkN==B@V&2*?fEnHVd2zdoeXSb_? zPI?0Z(3X*o!IXQ6);>5g02t=0{(9{mUGs*Ah5?|pt?kE`{Y-9h3#TubN=+&Diz7@v zBx0Zk^JH$4%n4HQ*W60v3(ve5ptnhquoE+5O#kqp{NALK<6rX1T(M!{=u&%o#|vNh z(kt(~MH; zyZoA8k4&nngFHfNBME+z@&}k1Cq|l@TSCU>xb4%@0}sCLwrjam0Vjsj4>0F zlU;T7VIjqV;7L04&=)+uezhFC^?GM*kPLa#KsOuy${C;g;~)Qs*_a_=;lAp&_V(v4 zTzZDir5-z#qlAO*K^4yo-+|t?s$(G4U128-} z@!og6hnai(dLLN1B399%haTElF8}eoOWygB_iAG{^>;tI_Obo;+i%8<1{En`r7b(V zLJ^T%=6TFqSayML3rf|CB<1JRdOQYML?v5$3iLiGnJQGKyS- zt@IdsLf;s}L*rthhMdIAx2Kjc-&@RV4BtO{rq-H)#p^ma@0QLrgk)T7R;M$Gqp6E( zI)yE{V>IsEXxi=s0HW(6?xKCY%fY7k33_+>Kb!>Wa0)*|R*my%)5i3&Y%F-qc+HX> z_{ag6n6pyywKGEin3B8v$7jI8d4F)H-2By(u-b{cIhSzjnvM1K z^|jkreDtJ~J&(`6n=FGI#03Zd0{Td@c9-ynS@yay0Gbl5!(*EO)&M1YCqf2rV27?M z2NwAJ+Df&(q1g8h5ivli6cb^4TbuZK0fRw9oY4_Of6_pHGI1pXT(!vFJcf;Fg9_qW z$h=)Y1+^aW+{2E3(UEgz&F<{%?C$A)V#}552l>t8RsSnqz(Kqnh zU;J9RqkR902flL7H@z^2j^Wc2nZx&(;bZUn5CAM)yaWIqSh-@u#tj!<_6xA~E?{=l zy!qeW_`HLj`@Ta+-uIvsh6$e=;)wG8;v7$NhKTa?kCuGZaN}U0q!|g?fy} z%^Tk8Ev{0oDmyT}P370SkfoU^qJ}hM78pf0S;_Dz%5zGO3D#K)i2ySL6CmQg`^*PG zB5ZDM@^k9rk8eW4j`o)3=Ek9+kw+if7+#DgOFla6kpL35(2rcU4q_iOD>F$%&)Iju z;NVDWTXRcuBqDT{ z+Yzy~rLm=@830B`M*8}P_gK&c07FB=Lqnqr=FeI4*!q3v&CShC{r!WD z)#`YPv!sxVj7#?izyLE>wV44pJUr6U+S=aHRBmr)W5a6>3=9Ln9(!~V;^5E-02sp? zH>|717^P^ot;G%dlue1za!hqbQ-?g+cb^4-K#0xFjl;vEtu0NDuiLcef-b{ML_d(0gi8fj^693CDU7#wbEZEk64 z1UG7v>gXL8U%(8*V&zVH#N-|8Xg@S7zTv4)|Qr*M&ZKX;P59t z`#-0B>GO!hZolcjKKbE9CwijVdSP>OQ`6yx9`?hpp8ct>e7?D%QQYxbT3TdHnc3Ms zf8HEm9vT{D2cx#NHZjBS&`485{qVxQ5UaPXxSxVsv)Wo({cO%|nU)|U8bAXuA^|d) zl@G0W4ZfCvjck!2A^;IG5g0IJ&_D(&l}myGZNt?Gc}USc$zhN_v6njpw{wR8Ap2JU zfKDV8LWCF-k|OafRT6mw8OSX?sYGuVKEZ)tVh}h~3P}~GK)DLn$LZ<_C;uRVjax!( z4ixlG4qzcFL?Vib6xv9pN)c57NaE2urf(M}oU2;+n-b0J|6?|+jos}Ks(e&aB6}H> z)x7~T{b_p*@xU$>MaK7+$JUu$=qMDdTZCWVy*8bbF+=c^OBpFECeeCj!4k znvuS+>69(lRnfFvEa5JTobFn%>2A#Q zpDQ7vHNC=Qm(DcuP*+!%HzY!=Erh+~Rqrb3eQ-h)l7g6|e!m@r5wAf203ZNKL_t&l z;yCsPv2)0p{~7{zp?hiZ*Dz1bR_ETuz*91ZF#7!d;WWkO+%rRV`R5@4IhsQfQr{N;R1?AYg4htLC{m*C}MZf;(_|Ni$p{4h%hR0g#040-HO^hn59 z8fjC6b)l_Mlb>FPpUTSFZtEwLTljPML5H07&Uf42nVGrVQ7(6sfBM}Y_4M|B=(A@4 z00MbikAW{d`#j4rcae0i7G?(E!%LWNx&4I!;On3L za%Z{hhbI6mUA$!J;w3LR{={>Bc<$}@-4#-TfZzQ5mjwxZ^S{0EXTP}^y(wh@EW^x8 z7cU8Ca{0BhTt-BDh_jYp7fvC1?9p+^m*(8R9Wv_PPe-yfJUJ}9C?b%)?VqzR-;M`n zMqpsYa{sl&<3kZ~M+v%pm2Hk$c3`4wRk&0F0LXE?-1HC#bAG|V%wKP%reJ~>V>{mc zlo_ztUlko00{{mcu#ew&@vqOFJ6mfVDa8O?T{Bm&S>M*yf$RokV9O$aVTcelGq@|Z z82|tk5!Kft;=Flt7A|ZA05)d+yg9}i^r#5skmlU3nTE}^f4-8u*NvU7!-fJN#Dr{& z0qY3X*4DIm(Q{a0`7r>@a=gX`^JmN9fq}uHjq6rpgwEkhP}9@{3uN|TaJxqg2yN{x zbLPyPKW~mU1_6~4Qf4PwBLFjZ&6&Ar(-uUm7*Hy`7YkiCaH0_r6t%na_00VI<%hoN zW&d*HjW;e_xQ|jwGS4%!rC!4(QjrMyoZ00&?zlJB6BTZ9+(6fT2*5GfkM4RU@R3et zcDC(*z}^5rh+SQ?*qA6%a`9MK*DRrA)~pV#6U$=;&vQs`x624#Nl`UPTH9KgdC|f> z%N;X~HUw0JZMa$)yZqWKhS7%RkX6aU0G!?CA%s{d0X-^}@kI;wk=->v z0_Jqh0$^j95NFRSGjptLuv+O#=jSP~iTtrgphTcnKmO1$FE|2K$}@fl9ZI)ll|f6zxC(quDuXTP zIm;Mk^XTTyZZY;jL;Y7b!KGLg#!A&8AVR`Kq!uq)@|QS{R5hJ>2nh%n>;uJM7>GfE zn`Xi(JQU9K2?Mh+!DJY&GuAKL!wHDUjI4l&V?}IInh78#iruwH6rqX`wA27d2nzjd zX%`0fZf=S3Pf~=;Z$Jg}KBs>IK-V=R+!gd%kbT1~Tz*k-Ek*=8B^hSTfJBk=`nS;) z5F^A$FjNHu04lf)3WF+YwJ2PtGQAP#Igm-RGsRV7OqNk-r!N3u3n2=>jGdU+EjC2R zi{>Jti~G%_C>`wv2tho`jbWuQ(Z)wLt)V48Eh#ljteT>YS=ZjeY=}@1#Zk1Ny{@$( za@!EnSUc|$rBCWy4;&Kd;kCd0;!8*`_>!+$w_H;teV0CL$qW|ae3R=k4l593P)j}=By#069_ zM?ATEmU18(2N-3D*@aet|24!1eMRWN#IW#Ofu20h`a0zbL@}CaNTh!fp1uzWkP~5;#aKP@P!J>^%+z0E zkVhf{;mLI+BC1FsAw?EEMY8em-5I95JOIF6d+Z4S+Guo_F9}`1?9WXiqOK;kgr{-K zI7lx(@(3{wEDatHML?!5~U7w^At?>+Z=;R|1=WPG`r82F8^dhJV& zJHZFp@SRm8jv3zbpYH~MRjXF@jSL_<93ezE*>ct>mm$P6-7BIQccNmpab)^e2G5PMSxnFed99aLOw@Wa!ql_XZQ1lwP7f|2t+a?XOQ4xDcL5W_sNtG64FC! z&1?YBZp^4W2#cwupP|snYHj?$Ka`IC!s#DEge1|3AYT9STrdh3YmU3Sdk~4)%$_~V z*nk^aDJ3Ca5wTiHj4?euTNlmWhlmD7hT5B3f%*0Sa?%0Mc@8=AUucs$;z$9a-1kjH z)#_w_-y{(w+7OW)ZafqL~KlwXd)z~DwS%aD2i02QdLnjdv?cJ=YAg%70HQ6G!|FlPk-Y~ zBM0LqO!QqE+h8ply7&CSgM zCER?=?fdVyuQo;zX|3Zpo|v47K-1}vZ<0|WBa*ZgJa(#4m2^DN>1#tjcoPFBA7kq?Om zwQlW$N~zzT{XIaeR4VoL^_5Cx-P#AM)#R{4mmp$yPmdxcZES06jg%q;!>kAm10h99 zz2x|letF9c#+U<_E<0%1fgkGwTw-=D9$X8EH1mMmUasZ`cJw$d2W+Ngg1wQtqc z)m17LkrOuD-#_3tiM@(~0CA~QtyZhmY7|A6edoLX`o2?tdDZ3bKlQx;@YdJ8>8d|p zCG_|7^z`@lf8jHqyYIgHzVpA|_~8XVisSgPN7p>}paWW3ngL;We1e%Pm5RM_%g-&$ zky6^2eV()LXFvK8g`ncNT1}K91W-}L#u&qoKEC$Ci!Whg7ZQ{!os`}2ODa_=l}d^> z%#rC5lU%%4kV(nzvJ==1KNvgm$T^1}y8i+D%Mz+o1i&^YqEaeJ64{)Q8Jk=L z`G$suB+-!~rBt?~$l$ootQ@e4L2Y>THg?Sg>Xu9qxw3cC_YzDvNSA-eSsBx84avZ=YHEQ`JDAEG_@%Wk*WE_ZXf z=J;1I8<5lkbIdF%pa50=fPClzP=rA->*C~L!4RErRgh-{3Z6ce+qT?81~2@v!wIAJr1W|f7W9lWL#DW&#VFn{Hm zH6V()*fy37clgR$w+x7Kw$}06^2{H&1&^{wJsxxl17p=vifbzsp~}wrH=XYw2xj`r zOD_KN->x%kF9qIo%M<2izW9nu2S-Oxj`sn7_&pz7vS_g}MjO3)_3Hii+rNfjSPn5W zpZx0A3O~Q~{jCY)vMsTBYkG8AWKD|t=I6dF%s=h){~DPb^I10k z?Yf)qx{Zhi$A;Zl;0w+^FYPP@1pMvqukbax0R{{(f9^a0= zn3;KWWQ3XTzGtN|=8TVj>OE(Cz$Ch(xlI=O7aV>l020B253ZUyv)ta^jt&Bd;z(;f zFfarRZEY>084v<9Xrqa!x3{m{(awPVef>H~>g(&98fU!yKi~b?Z~iX=cC@r@-ulFN zWfBo5Km&3es9UbM4gijL_0c*p;}etZ?QP1==Y$B|J-wZsWo974QmKT9{rv;wat8pc zT>0?KnPmhhca{Y@Rz$sh{hgg12OhBheUCiS-rUN}&Cv`r{K*e|bn&7^_dl=_5tO2l zk+D)7A!2V|KL8944tC9*BZV|HGzxej;F_pE4Gr~(ssXH^_x1IaJ36%JHi&(FeI4Zv zM11I>Rc)=U{R0E-ZEdU9Ji70`drL5rEn5a6r8>&(Teft!x3wbDjJn1|srjwt=B6g` z`Uix*zW(<1HfA8AzP`S;wl+I!yP?W+C1^Z)C?6Jp}EnU*q(JFXyZ*N~`XPKEL^tli(+a9GjM#7y${`bBLG}{$;Hc-E}JuF&b&EuU;nCq zz3QspJ$L!CC{n=C+t*(%cgV)Wj2ZP3$H3kaPSo4m*WTXV+uPgG(b3n}*WKMad-lxE za=E*wr?s{9>TCZ(M6(*(MM+yQZ+?GwuYh!jI5;?X>7|z*eDJ{l@YXlJIgX>%s~;L3 z9(>tLPms_$0|Ntfb@d$`Z4W%~;GEerJIWo}?QY7nZrB}gvD{!nWW+}vdgOTrA9T^h zzlvf70F&dB^)u=Szz~g0R2u3_Kr}cu*4)t%mFl8WX<+ZY2yxA$kI$M}-mLrU0*s^77xvgztZrAJ+Ph5Ay-7Uat^#8&6m*)03GFW|G+@srYGv^O3XYGl@OrWB*Q8mB&D_K z8Xv2YhD1L!Z_aa`*c!*BfyTzwkFS5}NiXg0?(OXC6w&JG?NcghZ*TP%tjYzUM6`8l z&jWYf-B4HZN4th8YBm=398vMGiUDALGQkYPq?$~^%m_G4s!3OqL?<@72s6tKmTYhS zvcRT2p|1dxav|3g+SSka_0+C}L}*_4oCrL6o4T)S+4?bVjb^bR1mWdWbKNe$A@@^Z zNyq$Sc2lci(8jEoJ6pQ|CWzS85-Cy)>5&+B2FnGZvRXEP9MMqpG)6U@D(z~3+Af)_ z>bdKn(*7DH9DTTv>P-1=S`E7qobp%+ge*28fM6q;0FQ^6s0;@0Y^ z%E6uChmiOXTZAkCn!^EeG!zk*5E%r3ny2z}Eh;9gg+ABD7HhqTh~nWJx}ZXU&xW*3 z)dbGE(1!npB!!Lg)iJZydcvhjrQ#7)@40NxHhaH}EbLB1Q7NWKC0e(3b&QXV8*LO( zsjjXR$JQ}f2ylckz&T(379f7?bDtcqPD+X5i~xZCM4nP=VBOZby1KC5l!1AM)_Atf z^!B%%eBz16p+j~bCX#NNeJx=H*LFjYxI=Rp5&h=p0lUgyD@Dq;>- z^-}FHMrIdIp}&7%Vq$W5czE@iN3Xs4mhS$3V+^9G&T?|yO(bA_f_%HIi;zEK8%zQ< z&+8XBjSlnT+{5kXyvW|0{`CznJK-e&@aEIrA%R@QU`D%75Ug@9%&ACr__AE|~eJ-#woJZoKh^^De#+08V-J>t1@oO90?a?|FMs zoTed?ndK(vA7A*B>u$Tz&rT5Zm4EpB<%hoaU8e%TZMWZk){oEq>G#eDgi}s^doIY9 zY*GC5d*|mMTgmgl$`xNa>+6u2UFFy9XK>mCNFhusKjC&-IIhE40xh`C`O-390U$Hm z_`f0X;bh2un7BpGTJbq#-YKe?8I4(M{f*Cj=}&+9lQ_;3(Y$%{9$Nj#9V_nf`-Le5 z;gadvE^SjpLmPUEGT`Y5=FH4#$^0uWlWh;D6OkWR?7XND(_D^5H%i$9*$#z9fok2o zk)eAJI!c4;Y-vGDWQ1pG3t8g-k=h(8?SVY0-E$yhsYj3@TP32;3+T7{f>->2^6V?n zktq&cr2&gQ6EZCi-m;~-h1i#5`^$37QROFO&nZ7|Ispu#-@1yuRQtyGqQc(satpy3 z9S7*7cNs}{rLS{gpt(|Qyl~9^W?SY49j3mn;5>2z;Rm}x4<21}0}~wh;I@*i+&Y}X zxp*U_;)mHTNIR4*;9}`9o`=~+r3BmJ++r*p6ov%OwAhvi9{F*#xD~|327wyf@;bH` z2@&^jsHcJl*(a_S!VK&w0+uU+94`>S?xCjr=*h_c9}HJN<1-^bgg}7gViRj%Kms|Z z>_aaH3s$kkkk*Ll`1Ny+8#fjyLkRESvHqp**o1My6OIB1Bo}QMB1@Z}1j4tx;8@1k zF>9P4fR~(9FoMsSxh9t~bF5=RFfZBj-R{;bBiFLq8vNL|3njY)SQ3f~1BIWR;%&bg zfK!U%xb&7II-@vNiX4L>j-zx^M36AVqRA+w4m#$e z3-&!&sb~lEr5#AS2%xqLr<`*8PoMVKBcAG~o!r}WH)i@zorVT`5w$j28$-sRMPbC* z)cp@~y>;d)AqZAkc9x_p@DfLo12iy%MRhp5Y4WZBTSc|QD=6|rE>mI$t>)BjUor=tusN7me)5XHcCFI zNEu+QlgY_SQmrB)QY0psmsD?qdSe3tMkmIsJ1T(Ig4A0x6%i+$t0@-LpKX2L-gM## z$BLbkxZAhV;HnBsg~92eS!<&(gPe`EM?gh* z5G}YM-V?!R2Wqe%?9y?iQmMJ2VQXKX699t*Aik|$%#F7b5gC#F;mRx*5mT$ThLY?A zAaxGNKir-af}w($UUS{G?5z5*)=ak}{Q2g;{Q2g;2%UTFu_qC2*}4@1Thx`$0C46P zz9#JY{?C6Lo|N<%AdAryh)h+bH~s4y9D1Xm;C(PpPc;e|Hu$iM2EK}_}(N%2fWI9k&IVP`M1}ve(cd(@4h{% zFG+;!(7Fo>@FsbYGt>3BpJ6!w0X5+HBiC6`Z zj;3XD+UbF?rB|Fym2xuVX_Mzv8%hQs+>Vjs=?EYw_f5`QYmgY9>CKM}fU>ydHko!b z)yBvOiPQFmtEnfMLw zP;T0nV4%wv$nhz3)EQ2rH8HaRP=w+oz=-DVjgWUWonm;{Q6DsPn;M~G9EyG5Tus-t zHEW%C`)fg4iIgHlHmMu3KO!WNve+q6L=;Ey+`X1R)2^nOb_8qG?zA%|3@oCZyrXw! z*mO5$`p=#k8e4~lw-{}VHmsQ07-n<_4lG{EfXL!T3eKYTE30w0pLAHvCk?>@ z52qG^19m&8Qoe`qa$c+6jnrgAVdjYRwS<=)fwN=7w?e{l1baWw9L+iUb8wzFwu@+E zNOMR@5qb2Vb6m=yia7%OyZ(c8jrWkk!i`K*F`r%Jgs{vINX@m2vmU;lLQ^Y3ZV05Z zyJxIs(>&QB%{DWF)*2(_aXlN3NWwNDL)H?vh-s~ElhV9yG`yw1zP^4MY%47^`17O^ zDU_4KUP!bt+5lQFV3k#;(P)i;+JX3B9Ysx~R8?ytQemhHD_wT8Uo=#0Ppgq(qlml) zEU2pOaUw=ev{vrY5*t?44LyOFqezL%c4u4Ls`cxf^b!Fx8DB7fRkST$iLM*i-Lrs> z`I*LsuAbYK3Z}{w*$Snuer$~~43L>&f@0GE2(AQNwqz*)NMr&FX$S7E%-mTn8)H8F zv5#tF10Al7?5%!L6BLw--IS-bpPmRdg) zLI>A?QEPOe#ZGb$x2?QR36V_2iYHe}_UEa~tkGx1%v0iQQ*_TZaJgEqY)2AO+&omP zoD7_ut$_1C*L-VAv=_p;x}DoayrXat`;3-U!vSzLTS*QZ>3+r5z)f>@xruu5ho`5Hp+1l9v*`Fi}5 zsb{U}d#<+Vrd-U*dtssyz0hi;xsnmAYMUt`vu_bV-`iYD{Bj$vm_Rh7qOw=uwMi<~ zD*%qozNio)f=Ce{qFhde2*w@=vNTwHm#}3Lm}-Ek{~2yXsPBbe2-d&hIDQ<&jEQJN%Sxr2A+}v)P^>y zShG1p-5+un9L*t3H7*?rEA^D4+d>R8+54kB z@xDbllr{&0LV!egUaAS;x$yPy+BS7(mlxUlgB8tTGnJBl&Ff%&TW#!71M9PM-mos%la+d`FDpH}hZzwHK zr{6ulyQk;FpZhq~3Ve|gXsP5ik3TAp$X>OOTBw#X`#%Q+rp>hE zIquu&2}B6^?eo9K%!C+6v0)>*Z@A;;BMv)!+0tc%c6j(z-$M%D<;_=o%&hnpWg&CI)1bo2X6Nr-9H& zpBMc6D|A_oqJ^mtt~PyU3iwt^@?< zH;N$Z?PRs;`rS*aZ|SqBRBdOS&nQiKl;SCsR48MLXFFEoQ)5Eyx1W+a{g05DDd@?xZUZScoJ z(el?X-BYSkqD0oL$HTutfm6{jRjczf1tG7Ys8(Qsh8*EJA*)eYNU2-dI9Nop$^QaY z1@R@;EV{V)5Tb`cSv8V(1@$^m!SVs-m8x{4&u^FwtOhvRQ6!hd69IWO+An}!Nf$B@ zh>%~tIJ`E|Dyu=|vX_s5#u!DI+_TAprc=VE%&rqT>@NndLj?SR+Fiv~zlFr`imqAA zfJBN&MbYy44RYz0gtHCX-aU6Ju(-c1owkoftWN_MEhz zwX_>E-Dy%&bDI|IQ(Neine7K-{6{vK(diK`|0MJ|%~fFvcP@pnowty4sB36EdxXwA zocaR8bFY3~b@9tnu)t^Y@UF>5?F^GI$CnUN>xs`b1Op(|Wbe!JpFN-%X7+W-TOKv` zU{+X~e~1B0EMEm7%=J;o&k+5TlmlvuN6|eo7+|>mU5`75?DDLT6WqBDm7qUi6`>4p z;CWi?ix5lAbpawuOj2eZhic!@K!6W87QD3 zJI=dBnC;Eu7Vbe3;XyFQpo~Ph-HBOiElBJ5!~`P76WY>X90Jb9hm>{w>LR29yC7mN zgYSHwGvu!~Ofmf%+7y`WfTD94hWQWxExdc}b=NWTteLX~c6Vdlu;rcq&(DhTQTrwu z_W${~Xz;r~{QlvGA6~U;)#}x2R;^zB$RiIw_~6PF53B%&fq{V)D^^@}^;KCp$hJhp z(tWx0-aCb?kDT^F5aLWaSDTb*;k-6RhskY?$p`nkHoo75;h~*1d)90>%E*sHo54wK z_6ugo_CO=p-gXrBboW#$6+hk)v0ANKVdONgC6wJiUP45Myo?3yN+m076|nOQNxAJ& zQ+u+aI4W~ch;5}sWc!B)ufFa2P2Epy*s^J)GIr7B7e{eKJ`!iZEzVYLSq~uLn*%Yg z;ezzcC7$xjv!1rQwS|mNfloWu`yGe_Z;9Ahx^Hvz6Smwv+bq&gN~p8#CR>l7NibXk!f7%O8x_PkfA1pFae-eDYs& zi*<;~<$gj39SZsuMUlrk{aaymVVTx}&b=Gv@d#Z_f;}-)1?bEX;IoDF`11WjkLr34 zO;1d&y}9yplo!i1g@~w}MP|Vvn8H2Z{1l{e?G0-Qsm*;2YZSlET!-fPfLVY$%q%3C z;J&O}e|a_{q6A;}NQy7SxM;Z{E*u`_1pq)GrGUV&Np#ZJGmtcQ%xo{`7@Ik$53tvQ zJwa})PIX0bb3jB)MkYS{iBDg3)m7V8K(}QAY$G86A_G?wEjp*%$7-1a0M^qDlEjGj ztS47%4HBgeu~drvCOSK(@icZQ5eq;j0w6gFZNPqJ!9&@>SSZfIhLJF-8gXv6y<=Fj zNwiLMf&hlC>;b?&`|Nq+4Y#&*l_^%5k(r5!2!b2USkC05cZhIZVoXs9u)4Z+&hW>* zF!1Wj*btX`hl;0_gnUqDFd_l#^=7=S?+x6 z``(H94Se>9_PUK5!VLzG$lY+)Ew|ivr<~vh0AozGTK&T3$j2=Lgt z$4jNs#KeRYtF>-xZ%5~)n_`^~bIh~}!6EJ@ohw*`1krEh$RtTXh7iT2h+Uio$!mqA zrsFc#`i$V*od8HtiAt+CJPru7d0kyyUEujslR5I&Lcdz;?D@UzkIMVZB`#84KOVbPSYiW!v~AyY2O)3fiHBg?2wprTX-w2=*`5 zfN}rtq{85-HJWNrF#pu~q z2j`Em_{y+QUG_rCe9=}V;(QOWBX6pKKMl`A1BVfBjY^B;#FgRErj}Vv%^mIK+W?*i z5CaeZArRTCyMVyoM3$;}03f>Pi}wkS8!|H?>d*)RBt*?%m?J>**VUx4OcNh!M*-&7;3am>o0susjA{A|q ztEu($eI{oDyC?gP*DH3XXCgV2!;)EW{Emb~$gU#jDXa(&v)2TU!`h44yc!Wwj_%Sb2vnII6Cmq`DF@zj!A5g9 zZ>Y6QwYG;DFbhNbb^(A=N^4#0SA%t|?gq!bwfuIiy= z464V z$4s~5li}O`<77GiD4G?p5pm|sP6p`Tu(i3XOp!uy z-?fmplnUPW7ZIek6l?UqVCqlcd@yR9r)GEURZhHZm!N}LZ6ny1l`EuLojB{0wfeqL_xSiXGul0}OzIOn_+S(I7;D%Gky_}KeCv~=-O zFY-d?1!w&bT+g0z>f5C3Bc6MhHoDx=@sqQDn3*UL0AS6UH5Xp?b0Q^gzu7<D-~i(K3N%1etybeW_JFxR zNQgTKWbH`G5V}41XqxBg16ciQ+oAVA1=9dCNW~Nd*)G`eTBfJq>B|Mvu$#A;BdCj~ zK~A5lA(jgF6d9foOAmu?oSp;QEq2A1w&y*Z;`38TQ{b7~Oxu*}S_N+pIW8A{$&D z@hA{i)B8$sl2p;&SBgg;(4-WJ9?s0bpdx?U8v=rJHUdOYM907BCq-A&fV-iHqo?Va zTk~<}J<7eyr*z)l$$EJwflZ5kK>rXjcBiL3jgOBfTKfa1h-lc5c$xbD7>_^xSb76%~Qev|D_U6z- zPg`-F_0Kto0UB19qs_l!+~r6$+g`JLxq``L&-)v9cX{Zx8NMY5njkercKO({xd^ji zV|`J+Q6`8C>oFin;v!mXWB6|<31LMZQ4-)amp^>P=jQ-~^$d4twdl7jT?nMM4EB^t zrJ3zz1^_mKJlUdv0pPf!jsbv;y<3zx(*c74bk~+X_~3)WZInSUReH)3A)u|~v)l`upGR#Sm_z47w$q)HHGp9z zMF_!gKp?;*vF>sbmsa2@aLDNJ6MYTk=BU1oAt6HytnA5tK&NOrT{D$IG?WHaMnsSx zqZl{}+GeMCT!=0fe4ld$q4zZm`OnONaLc`S-1~w1-~WzNmn>akZ4tzkD_4$;jC}jY z-;;Nl`S63D=XvGp^5cbwn(7-oG%s_bpM@m!ACs*2ro8(@c848U-s+E?tARvFoZD#C<_-DoAyO51un!NiRhY}uK&{w*UBUx zMNt%~Lf@sn=k9slywAmV#@rU2E5S-r5Zc1AqPDJCQ~wMh+Z7wuNCBINdeh_n&TlI8 z#SPqk!3KQ*I}anKwsRKidKRJ6eA+?q^QqSPY^0!1rUk$L5iEEnQg)WhKFWbMPu@jy z>OR{Z9DGN6eEZ(R!UzAgSilZ}r&ASHB;iT^w$0ae4B!NSXGX3In4zQZ$L2HDADYhGkXAH03|M&grXpVQ}N{DOR#IAh#tbSIik%GqR|G(x+_<;5x}vU zXaG=7S<+WL08T+dL{db{=O6o@$5s+UMB@Xaa~5<0z~s<4B1Db#Th{jscMm@F-M`qd za_r8bxZ^9F^FX!J9x}58NH=V5STHxyi7~7cqN0Pk>cy)-)@4+L0OEz9NZ5mRJ03BL zBNatTMLAbfIRLcc&+mWEUNaR@qD@^XisQ(i@6^$ry;~pXSpJ1)Xw~1zFtyz&NINHT zx--D0yD`(9I8~FXNl{Y^NEwtnc2exrhc~Th*$Q&%?b{Gg@Ca8CL@V05-W$tfaGX70 zUM$3?96(S6G380MS`|#z2RsdN&k+6e&mq7oIdIj?6&}9pQ3>NheAYbeo@0e4LpYFY z4hOLXLflg_NTVPdSrr|iEFBcWH@?{LYmQFeHNN@b6L5HR$i1-GA|=J<`xoU->X0P= z!IK?wEEE_(Fh&EC(Pn0AxqEDbWZ68>6Gc%+YkOXe_iChUsv8^J9Y_#4M8K=Bzpkr1 zD+O?KfJ?1EJCG1y-n=ej7?F)ODpCNT1D9kXM6lO)vSAMHdDmLBF2~`(@JRa$oXe^M zWD!B!+zc}zR+B`L!k`>-=f<^>v-ybr{&zV>?IbWsl1imIIa#Szt43>M7!kX=W^dZK znE}RnhbbOwoY`hrL&BgG5hD_kfs6~d>k=Sm+69`e=mPdswc~ZcCd*Aqfw0wp?*)`X z=iw(0l~NU5{pz`AdaN@yG-Sx%eE01)zx(!<8BG8%G(IBOZgWH9(CA1@W7E*sh*FAN z2oXVhLtzGhZ~WjaVJ79qz6YfPN$VdO1c1#0J$}0BYAxS%_iZ=db2|gDF^#1eeIo<@ z-TsjwX5KW=^TDrwy7Alfjde51E$uB0O#`DtZH+C1W5cEpaTpPAz4y*r|9i{$t4f$Hg6nn;YlTSCQA;(MWUEuiC|DT9B90!{ z5cw?5na@Pp9w;S~XJ^3_r%BVb?`d!Tc9b?{oll;af>kvQy0xPgSO{ABO_Y$%XBIP^ zK6#L|h^Rib8o~me0nBu&spkm#fgY-8UT zW-XEQ84$sU1xc`YuB^ev7$8(c%%DhO>i`1~At3=UAo9?-B}Fh(LLvo=<|dk3nj4bD zG<4OK+nWJ^+nYseovdmkL?W+l*v6&deu6D%`xy{e_OF?ts`UnebO8`aDYa~mMk2eR zD_VLKN1{0!PF);CXI4r*{}uVDrlK%Ea?kGvhDNpSP=tmJ5jHi{d4*P+O`~z7$9vU` z@-v5=22J0ccBZrwBB%fKu<35hbmvavW8>PmGx`>_6kkPf{|aIgTF%zQ^{%G=q_%)% zQ51Q^lLOyI0Px_f)Lul>JZ^~Dqv+rO!O(3pBqj%|)igUXxE?BJsC$Ib(~x@(4pcJs z0&(;RZ&-DR_xUDxO1zIMXI(x+GIAcKVQD!)A#9rW3B{}vuAHMs=aU#BykX8urXk4z&5t!1xvD}*4B%9?|$#yTj$Ilr>b7P z`(8`kQcLPqy1=dX?z^}4s(b2Nr_Py~*uCQt@o9sqWcoLaZYDdV=$jP)?z*pTmC_|w zOuqHy<%Z3hH<6AtLmV)3;1A;0rQ@cHb-q{cnl2BXNI5w>9xqYXhzVQ$Cq%5=4z@Fi~ z5G;EM%+)G$)yl~9@h!cBy`9}t^D|I4vq1IP9g&cNmj>m$Nc5udxgXh5YZ&YX$m^AMn}z4Dx>5l+tVnr=SB;amdKI4o8t%LIeX z(VSsmdIqCgLRfAqoMX)DH=%r9PCYF8@X9v#BH;66@D$-xlzg#XIjxXufy6wH^mLJr zr&4A}h!T?kfCa~Fss7p&VHPXyb&USq4FuP0X^?_Ij~uBe4hX190L)B=n3+HKfIjR+ zK!R(wBGKr?Y`LwJ5argr@>OIPfer2DT5kuU+uC=SRNU)Z!iIl%*M}e|AeQAoZ@0LE zQ)S%QBR#Y(1X*i@M*dUxvJDOfy~B;*1a8Doed9iK*)9hyrOqF9^6=AqgG~1?al&h4K!h~v_hW4TR-NZ zLH96DBCd_Nfx=%^$Q94WgSKh>V9;YNJRVo3wN|@CjF{t`hjX~W>vuCdXm0U1^MnM5 zRyZxE2+-f%o2Kdb+>{|wZnfv&-rX1MQe5cxqW}O*PELkNP8*U;Ksn*>2>|J7&xZ(u z1O4d9j&EN8)ZKVVbq7LUj4UQ0ZAM`R0n5%8ldzB>MTmlch^P&h*5nw=S$ z=-S*bEDQ|j#Arem&tao+8&qZ$4ukXAqwV7>+^dSJ2C6s@0M1GSI2D4>tcn}~JS_F` zI1SctmQ2{sH%>W1$DLk9hg_jP{saIX8s4W_B10oH6OP6T>maBv5`w4=I59VMZ2CBO zhJSQ&OiQjG`nr1>dX_lcT*r-?Q4l>JP_Hlrd|2BKLz0|W{_ba<@JQ!wfX|jw?=Tbz`j!IOk8`KU6@@!Pdwej(E=#y z(ebho0c2)mV&I~!HqTX~Tzr0_aoafAG=6qD7eIsu4m^g0VTrn}cd)O!SMTcY?hPxk z{_Z}Pc>n}pfc~ytU9{;gqYg}8J0})z&jy-oO5@ZMJr7Ch>JKNW^mDp?3d8XmSzA753p_c0Jh-JXj72vF=6BYrVC*+~0Moz&CC9Rx8MSu+f zh=|A}M1r{I{`)Sy^wO6+|2dES+m|l^NW+I7rf=|-+berAfhppnB?jm8%$yp>;i%asL3u7KmukF0@S^JKt#Omr(cbT z4INNGgdh3A7xdMiWj0Ab1lY32#3G1*kQmAr4lcFbP9vNjSZzHtg@$pz*7^8a#ilK_ zyUu<#*29?y1r^U~rP|)s9B6hOYst z7Wg1UOfZnLf}Ue+$*~Z*UJv?}5W(4&nF(pds+!@ca6?Q;IkKG^pHB~1wqRE@)M_&! zEfhTDq$O94acAHfaWM?>0A0A!FcSZUJS6ud0GdL;<5~)1WtI z8luuW!D}KzWZ3{BIvm$_$hpP|gbZw%)3y?eAkjcie|vlT*zBY`G0pto6OV1}8|?G& z=(;Pf0f4cwvA6}un84K<%)K?juW%JsBp_OELIDxgF%)=clUr6aXq=w1v23+qbs~yLxl|GfdV+|Kt3hmrQf&e_7jS?Q_6bA;fUQ+cMC%UV0{{|HmSuUK*Rrfq ztyQYkg@uJY&uy?l8CfXS=HtxHj7)TI?o&rdf>J-7;;VS@AupL9P1Q1awGw zo~wGEB9Tt4-V!XT2*7`Nte0yxBk_;Z~x}^LJ{Lo9i2ZmHea~-;lF!le}5kl z8Drl3*1ve@@%;zKjt=#0j5TBl1FQVo001BWNkl^3gzakRR1#kNc^M|hlV;1-0+)wH7@uZ3w<_;tnXG+ec-P-EaR(YpnnfB9Tc{2`C)Va~xQOWE24;f5Hh7 z5rpOb2Y-0Obx)0JRz$4j$L{$UGXvt0k;!da`ivp=!j9iPhOW-G;}egawW)lqW3~0r zDx5#$bWLK@b!K`VheG4Fw|7@6l|0YYrxWU7UI)@pNKn~{_gEr9*DRuD;Y@w3Q>pQX z&oOk1;UhNnws2?679~=33?B-Q2O3*odCizLezqCOVRXhhjwI%xi&{ws!_ahYsh3=MX?Em zxE_Mk60}ppMfE2ZA}^rWLfgeZ)e}Wy+2(mRIXkyGHF}!3x3fD*l4H}y#Z&&_<0B%{ z)6pdWJ1^KNBALxyl>(p$*xaA6Mghrd88oKd+=8bcR2tKx*2)0Ut4AUt8YF)XNUgXg z#(<)25eZ`i001mA2mnQJT)T}bWU`>1SdKZ5|9}o!xpoo}R8Y%^h{m7?c-n{}%vn|w z;aV-5nVDT!s046-)m4|>|G>jyRZkKTQ8GJmV5Ikgjn;|LaNn#LynFsp^)PHN}dJ#Jfq0Tplwr)YhG)v=?( z&7T-Ml4aQwV@HV8_$d$>B0MmDY+LWfzV04rti2YY<#nmoOuUwKl-Vz>qNPo+v+c~k z0N})-(Sd;hSAyW-!L5A6M=XT~0i=c5Ic6?(w&ykvb7s;wjX?CZxh#{j(}yNT=vLb>D3MF7aMY-V!Gjm@Uay69TICstKBB@D41&TLrmrZ~Zh zOHOvfnN0jlhBdp&JoRt}i+pZtv$(qfuw1p%CEb0JJ5Cjzt_E`Ig>OVeqK6)O*bq@- zO2+hbbzQyt%D)7-1@tHeI(yzM=#D=@kYb4tuiZgJ5X|-HMmW?rja5K(ONQBscv;); ztCGES7pYfL768d|M#4%hJ2sYq*Y^a3+{)$~ca7e;AJIu90pdCcUKVL}0C=Q1&w&*40kW$8esI)3t|9*O}>g99quE)oL}%YH6An zV~~ig^{kZCr?tXUh`^b6cp8E+hP=OZy;3l>8C;PB#kx2T(r5xTJlMRx4cBAl2oV+x zDMDm+QyQsj_}lUWH@a_%^CPV|Zww;CmBMX>IK`i!55%@P&O#Z(BQbLfwpI)A2l5gg z48;#nwShw+>LS0na+LUlc;b@A_bYa9S#^arQkWa(Fw3%VTcO(oUESt5UFv=f&z9#a zQ)5UQdk0i5>+R}6#6uIK;$9ze==caT_jGnY`KoIG;M1S|w3Z~mLlFif6}gg9+MMXh zhL^qcSA&BfcbZ-R5LA&;Eo|nB(!gn6TH(-hlsCE}D9S;<4Ittb*MLPpbdy{M00^t{ zpF1=~L~Jc;&HMlPWywr<_>qaQuw`xpR# zP7c$D#(TC8svfEyG*`t0RO3{25E}HE1Q-Dc*jYsljjnl%Op}VRu(fZ0^IPt__uga2 zjuFx8e*5?Cxbr*T-*a!Q0v~$p!-w|kG?m*tt7Qw5GYJ_HspVO^p-qo<0ilS@&(FIB zNkO+TvV;lV|C5L+N+Ti~ll1rY0%Dful*`QAY)@Br%BZRbD$NsOIE1ei3!v&982B{{ zD?Ln%Npo13omc;9ogEzk<*G#m7NL3$v3c?2+7RKYD=syL)VdY`7(r9zx!L)ovuy)l zErGJdEY+?D2gZ*MPmFBq+ZdF!Pa33%1*5MGADv=teM-^dFzaqgo?Bz;Gk}Xm{L(Ov zFhau7Gz=n=+dRuM?Pyw8+646oLiQRbnmxn&>YWk*Kz4866_;ht@VYeROlhej)b#i*};DMid+Oxj(&3~Jin@r2)f&M-K zmn6Ca8Wj05DCA&D|cepsI0Txt#QD?(sMh!T~D)iPuKpB{@Ch&my;7u=Tpbhc4W?^LPHk z%m3~ZAG&6!Y{*bT00^wdgUA)hJ?{^!ARxfhd`$ozd2CNyc_M7B9Y6FvhfNVDXXcsN zT2aebs;H`tumpfvDo1W>8+zt48tt^h+9hl2VUch?kkd7WO`BoSImyO)I1^#9U973; z>E7O+Qn{R{ilrJljL+!{BI29g^xxm`hBpUZX6t^W7!lPqa7#cbjY^0GRYz<*nO*>$ z&ADCd7`uKg;5>=^u*VnU92OFaBM)(g8(LD%g5lI=1{!XNqY`I&vd9^+$u7ZSP&H&e z9CDB8WE^4hhtM4H^+G{HLd`W?2+b%wRBr&Fq1uBI7I=s3g|7v4+YEC<@c{r-ZqtLj zL^G3PX_9Pd+pKd}PiJ>`N7tSMkA;jKo*Xqqg98Hsa%lXRF-9dJL`1@Z;OGh)0HoGl zbNNp%hzR)V6UVgxK$P-02C8@ZjA)+7t@Q2U1^=WrK@WXn~6o-`` zI<#Mz7F6ZK z0T8(Q%F77RvICgqH2tj?|N8XwGysf_jt&hC9XxoTvM~S1!w=rQ=e|lu2~DCpq?Zp} zXsuNqYK$?)Z0Q*|GBqwBd2V@T;ptaged%RaZri@2udgr5GHY#re?K5(S%!eQ&8yX_ zc-sh}hMJa+%1xn^rXXP18e@#1>#n?V*9E(bNf#F8(D6M+_ zqR91|L!!PScW=A6p=gMEhWEOUtyx@nMM2=g+?ejF(=0tV)N>7nm8z&>Jf|1$sXe_q zmR0987ptQRRzp~%Cf05R756v*PC@Oo7|*hQhGkdb;?(oxJoGfkX-i9|0ASM6%{Tny z*KYq(mY6;J_C4wH%S$PIT;O&3c1{pPBoiS45DAbI(Eif3sVZBjbs8sIswgG;4h&K& z2*~iX1C#Fb5!qXJVYCt%9iO@6!p#en8h|8*Sil$(mn@i$vZkwPB08Hqp<=+xq{1M8 zEMhGmNt4MtZhP8KJZ;P7%_d2%xu7FS6Q^zffQF2hgx!Cd8I@2G-~Q!2WX$Kj^{GF8 z?VB}qRW<$6hyH`Lxz*L22(vItxtt(?%F9H0>WGn$2ul4|HLelQMp#!nJ?}!1oWfdb z-!+3x*Og4q@6d80*1d?UuYTs(*uz;ZOFjBzW~Xo}r0}$v<%JK3XpCuVYfIC#880+_ zWmKC@7j1%Dixu}^#f!VU7I)X;?m>!%;!xa*ySuv;cXx*tDegD#cki8FS&Owo2+z!% zv(FY>5tHu$C*f4GFx_f}gAb${7ST9V8TB*jRbdZAT4j<=L={d$&RoIshtIWF^WaSV zolZP9I*5^Su zaOSkhtc}-)Jjq5WiE;mu;x>Is>wHyXgM)#ZtisU%clYM7iB`GoY}O#85)NluZeFIJ zh>!ckhou1kpav?NF{k6$(x{ZEJS%QQewa>LAv^srT9ANG>C`*DQpe4WCS2w~*iNA9 z7sN78`245witJzO$bJ$SrNub*Vn`y8FE=(hrf~TZjBAWzscE70j8ir%>whvK+O~8s zEh+)7a6geE5?bR+o4O_om_BTVL*i~kyh;$!`k2V`2$RK798P=i=2Kt*KvKV(8Jk8z zK;|kBO`|{%z8uv5B_4kI_bs0O&A62yS%;6A(YuJ}*J`)}X8;K*sE={`hN3T_pcV%n zP*ACVH))bpxk)JXSt z_FXW6*69uI4##Jw6a_nb!)-1tr*#Ygy9C1dbKA$q-?EQ%l1YTX4|!Qc?xG+NVm42S z`Nz{#K@K-%5gbg6xZYlWOWdt?=usnWRjOO+=HUU&_(-1RP!!!xs(wtY_CLAa3NR(Z zr|2nNIq9m}{Dr2Ewkj=xv3+Rk2tJ(4hQ9R|fF0TDBKYhwR~%4d|7|U|KU^eD=z5V! zFNfzcL^q0HmG3`Wk|bFP@S5IMr97n(^TF2OjP^UDKR$qb*VgFj^fmV4{fGrjI6@*R zAps*Xu+;s8-sG@Z=e##mdsUl19MgHQ5de%yJ1=bWFV}CgILdk1B(vXacw8QtDfckC z?FmM=TWvU*Z11`sy6^e`kfR~^bBY~zr>aL?z8D_JPjXDdmvNLhH%thz-#Z(-^_I{s z2zuWL!%2A5(|Sh6(k1+?qpFwXA6x}yD>tKauX1(9oi!qxIAUY$;;!xR;6=7ExRMgW zanXITSlM@rU%TjdKCcdVEjMig{_(tco@u^-isy@oLL6v8i7+rVPN(zVNO5Ww&rSJ5 zyeP|LmG39zvGz76@Uz%dB9y4Q|EgRaMip$zC;=o9sCc-zvJMurvZdi>Dm}?TxTEau z?+_z8&ywx>w8vCWQH`x(Ek||G;y*)6AtCle3$mAO#Ojupf8{>ZvTAT5;(ajm2KA>F zf%CjS^=btC-G0QOVVTsN#DXdg|G6IIze#L&!BYBf>?d<$(-}iV|6b$lh zBA^%gGzWS`>74=5vRhVK_SVh-wzK9~*@BI)uWz=Vh{D`}Zn>{}P!NPF1QHw^43rg- zIQUdYC5v^bX*Rq3k6mJ$h09}wSa#>q-}MD}n@t|^pzc*)JDHry7DFYX3P3uI1M=cD z@S8=?!{9IQ^SXG$8EUYbbAAdZeC4M@p#MZHkcXm)Iml4URWD;V`M+@avnHMOITmhu?Etc0yBCUoVL;9#@(9 z4}ATONXV5sJWAu~OEO>Sq{%dSO&uVx2Cn=r_razC-%NGx3rFBeSme37Z?ct6@{#7> zG-gJ1Mqh@^-Gr`_qOP3k)h~O5*|QLeu1j@kNbXt-D(sBg7HDPr)^3au*;; zB>a`FY%1A8)fpw|>3Xre&43{G37u>mk(_*1Q1Efw=s64jw{3I9f=xOONpQ}~JYEmK z6Aa+H@|;S_rF)zAHO=ix;Ws^Zmox&_h5kbyZjhV1yCeB}qc=Yi(lvwsfdvT>*LuMF z>rm=OyJxefo!8mci-n^WH(+}t-s)xE)vSJo52cP#UcR(ZQ!U4+B=AoAnTZN9zf_or3T!<-AQ|VJeyxdRI;_wc`M0IV7ntiyMJ}z-YqlTx1FnHR;8A z#`fL1F_x~&yxdChi>IEg2a2Ho8W66JA7RQmjR=VGiHY%Fj(y)qG2f5)H=m|^J5STf z^Vi?xr~GHijjr0$`?jI(uoqpX+@P*wc;V+fyq7=h0Vj`mcBCje-&mTh1-&Lzn>iYi zp;?$A#L-s1DQiQO5=awG2x5^@RcRgEVac{Xp47en6>F7E7n3Z=9xJ&`(IXvkP+GBH z)w41tkB+>Ngzl!61Q`APMknPCa%t-rQNmS9%ajtX7O7V59V@WY?(sis-@*xWX^lh* ze?81wBWzgIja-E3F_UR`+^DLymFDf2%_1KST)hrw9Qz<@zg5zR3;ZvrjJ)V>aLHu3 zTN?0vrS&Sr8IzLINohYeC4Gk?bWJMU-{0i&V@NQX zzUX-4z@0gG59HG-;%d_9d#ohdIRMF-3XwJ)J5bWoLG0}9`h#+%U9+s@U*moi7fow@ zuVCk@HkXrN3>SZ86bs?4m-`M-F1ILaE?3N4U<0z6E0_Yvn->qc#-Ml>^dfH5%}Pr% znM{)$u&u0vdVFaWOQ^v`wwS30LO!?dFQlvN7w^e;y1+AuF`1NDY;@mGCVt@&j$J~4 zIQkFgbUqb!Ur%R;-^4w`^LxImv~MO;MM(D602NCA3i$CEnllHeanSyu%?cLoBa0mAbE2`LS%S zm735l-`Dfca&Iy+-PARA*Oh(j-wnMQI>yNnUm&4ajV&xn2*1 zEH5t7d_2;j+Use{2o;OYV=ZcKMjx{eLJDMGfZuw|E4sSP+sTFX)!83pd2dwogJm*M zlT3!~a5nJZ%vsYHWhc0veLuouS#O=woxF}p3s%!l(Vg4nyn(m=^cu~Y^H3b{5SsJe z-SEyH83?`+B%2n!>g2z8Sx;FhUsKTS{Rcz{|p3|GJAfAxPwO&^Jg7EdvHp|Z?Q zPxm=8>b7+=)i)wrGIISp7I19=c^JL-zd_%;^qKgsw;Vyg^v%OSzNLEN&ir)45V30Q zlTJ#H5>qDFVA^e1H5sc?N49?<(Y2OZ!bNUH`;X?gHs6Jc^htLqCH_}6p!wPU4RN?B z?0h#%wY8GV{qLr09h%}wyG$4Wf!XY*YyB-dI3?34Q%(8Ys$+Tq{f5pdd~Czv!udd7 z92gLiZ`2PFB+s|w=_QvA-f|Mj7Ug3eXSo|NCo9=z0(t9w<1f7BQynU0%cqmr0MG6d zDm*Km8Msg=@42TdEwds5(yY&GJgYvH7YJ$8r>CwxQxdGB0`&mM6J1*yHPy?d9w^@* z-j?3qG5}sayT=c?7YB=c#i}qPaYg27zz_m7ilFR$SU9Zs>l#inFOf zkNTY3Dt_HVD8-?iX6!x;;E%sE;HL=!nBrO($6)qsV{~46uP=F}e(dObkC_fp2v8f2 z)hXk!R-;L$)Op5mIqAmXPXDtVD|tuu)jB_Z>E@uOvw z0^h(2k`XGt7AUwl^c?eu)`1a^2!IuF6y2F6sAFVr?jyFxC%{S%63p7@rLg>Jm16N+ zE_`naNKH-U;ouDlc@^~AZ|Ec50040j0;BOhDv}Yz;=CG5!a`%`;lz$}vuBMz#jopX zyi$VD8aB!)u=!Gi#urOmzqrN7@meLO3XB22hb-%=Qrp@ct2f#l;(IdFuok+=QY1Ge z%VG(N7fkdgGc4F7ALt}6{cmX1+S*!rvNG+{g*H1=E4q_?mIvr#lmJX>iew+eDJNUB zt;FC0RcOUAj|89b!TGDy@1rCG}p*&D@m&mR0+7lqoeB1bDzqb zqqlL_&HJwV18>qFTmk~%>qhSN+kCP+H;)hYYfYY8LPiWwa2(LXcC>g(;JG1undpy? zJ&92MnNtH%0aB=1W{nI$r7VQ>3TD-LP>J1Unro!N;D>7S@GwN4_U7&*q6;Aa7&|=O z9%^SyZWe)5MFqL#?NE-E-O8cPc~GOQsi9Oi9ApT;5s6|~+1xL#;p6NjP!#Zl@PyN* zYa2~*=iwFbejC8k`bGS7Kjm>t2>dK9{5+NOzWfdoi2f4m`8JxW|&JR68-a5pzL`d#e>oEjFN|G_(B=JBokXaX>A z>>B{pqpn~VMFKFtkF+T8twuob(KKYu+)D&RLb(5IRur9bOqG=cZu;>0AZDM|&gnjT z=B&&i^DJaXAi;v)?!LayT)dV-*56pVbF6f<^>Y*RvS1Jc`L&Y?8yF*iptd)iY6sn? z%#0dM=uX!b`zERc)bxF>vaxHMRG8YU8F5`Amdh1nHIlZ=k_SMVO)Ng}c5Vm|fwR=Co#|C;V)j)P#$NwynbQ^+1=!CF!BZ4O zLM1d$9-uOHBO(I=AnKf7&m^I?tfbU!eqdu!;&wR2BO}iS+wkLAU zz<#FRmLetGbHP!#JK$aeer? zB!O8~>tTBH>tFtbin21Z@Psh1hr9iy^V7^JViX)+OO@h+gw{NEBDX+gvm*erm?rlA<}N&AT`Tr$)&de{ z<6^qS%EPiuwjLXYaCaaQXuXF3C<3Mp4fB=;(-4t!!vF+cjwzehz3NK{09@|}8r3-Q zd&9A@6AB?Kx4k=^flkK%mbJR?7OdXK>%*Hq-nzM+Y$Ah%E+6uxi-ts&oB&yccO*p3 zZ@*c(Bp4`Ii&QJy(yMKmBV{%|A=j6jgeAk26X6V&?pKH>UmHFpVuST;;35;}aO|oV z`j(P4BqI+V&?qi&BK-^V^s&YS_n6v=2UgrJy!)l`&}r8TwC}10R&0+n6a9Z#TPRF8%-sM=91d{~~ z1-6H&9Z?-9gZdp}ZK|(C5U6k0*9Qlxd!H^S>$5AF0gu9%Fjebhd@C9%`2SphDaBZ* zIF`S>DDX3*wOm`kMFFii()Rti$x%{wg+_b99q+Y?Vo&33O~bV5dbJB%mt5_MDaRh8 zm6>2>gcFME1?N})#min_8*6CM*oBqGM2X3I_Glp?(Q&(2yy^c;a+_ZPDm&4kPufj9 z{0@gxgD26EAK0IcyCa!21TzwO5#dhTo1?0gRe?~1A*dl!MX>nk+)gU`>L?X+xNrz* z3_*(ceC9cryNoB%d4IdsPIPOo`(Gpn7ggn8tTzT=-@A&D#^I;fDMk3~?|HiyV~QN6 za*nF+PSln~tMPqmtLC9tp_9rbxUY(Uf4Ym=sk)6n0{yZ!tP`Rp73o*8zK^DrZcd)Z z-Jj)vnUK)PgbFZ;vj}PPdb@!4%+9kkC~~@T)Sp#-F4Y4*d2R#r!1!Z%q!x6hm4Irpv3YeZ4b_DuuHPly5gf&uq2 zp05k{=dBqlY#BqCbh$45haJ!KhEtdsBL?743^#Gfo-mM++pm5eU_nMSxxx@M3JNR> z?=X6oavXYU(_KO0kYRWX4D7j$xxX(}So8lB0Pe!0_)w9ptXyG2&1Z+M_ugmDjev(g zv_@|UMr1-x%6rv|Ht*Mhjw~r-0H?EC?&z2pt|Kx4EuqiL|+o98tb)0z|&*nK-{MoGz_Ir%#+W7jPNqcc9 zb+x+P<~|AZ?~)Q-%a#+ZU9PQ04ZQYcLhiGpiT|A45cTzY)tDIrjxJh`njvQ<4X?f5 zFfygVDLMn55*bl;K4V3?c`(%JWlITT>&dM~H5Z;Y)C;M9mce%-UQJt$EOt@G`DOW= z$+-PN`FT-`piB3jQrTLmT#=#B4KE~wztQIHWDOlAkd=n>(AMbrH+T2zAT(L)6#8}I zBJUElGI`e|M92u~8xka@ku z%fuIM^Dxl+zpL9mD{HZ;iTi=4CZ;)*G&*p!s0~F9>s*M;^vr(xqn!+MLbxuz0FyaO z)%BnQlGmpW(S=KOV9B6|dIrC^{+ao20RWe6>BO%2}FbI7TVj z@r2+QI`aPY{S7Gc@^s%NxrsVIQ+h=u3nel}Qv_6K5{0_h&KbjLT8)Za!{qT6ir(K8 z3*(4zZ)0Mh#RF=%%0GV>6;VOVs;bI-S2o6#K-@KW#}gG1N0=_%F!3`I1!ThH4#&m_ z^AVp6i|sblP>vvjV3O^#^~N%fxW?)PrWlfJEON2zy2EPivS=9Z?mV>07rgEbIp$y> zstybnTix%R)!?L#qYYv3r4Y16Sg^bd`E>dP_0{;pVi_@-!?ELppmL~sM9ay|dX&&+ z(n7~a2ZOdnboP4J*uQK2F!uJ;n2+z9H_wo`wRL>hW5t0w@g9@FFRqS`_u#(|+0s%N z&f?O~Z^P1ws-vsx_~Ov^l7HMQdkAX=QTVY|V5(6lk;>Z9b}96`O)-}zsbzLnM!z{oHc*+eo`Yu@?{HIKYIum&$EPi|Rkc#E?H&k_8Tk_c z=E%juEV3?JR=Vv14_2;U%zN1~p`o0p~Wp+K{Dwx_#$ ziMtj?c4npk5BQtE!+Fp5{?>^!ro5c6HPqldxv%*PQ@gp^&DpJmtjl^esL5+0x-I)H9G{n3xhvr|0G9ab)N4s zlzdBCTGw(9KlVo9MbfR#sOXqNdVsyT`0;!~xidc^$79{*2v`y`D}h~bO2 zrolJnY3qf}QK>aHT`T+exx|ev;_rJ5kdiRpzTMs|Gb}*{M;!4oj)(Scr!-b6GMqRn z3c(C>T|1*SaxfBbFx!)Ns^qb|rPP>*$(W+C9N!@-L`9&0>(=MAnZs7P zlv+{^l1vz%`XUoo1BvA_^~*lJa5-zjrXkE3FD;lSIoylyPz3p3mpC@X)PD2^>e%!9 zY2nfZ;iY9L09k^ERSHK}=hsK+S8FIy;nk(%MMuNL;O`9>*)}4xitm5r^pX=_Ju`@r zk@4r)fxuJ*2Jjz##uMJxub$0Yzg&r>$f@H&Fw3vwl%W@~P`8Hkn{y*yRgof{jsnpZVUV@f!*SHvFm{^EZ_qcCvFi!)PF||B^Y`~RG0D{|XJS-8gwB5tkD!hzIv;wh#lvwG zqG}n_+6p{F3^3p&0SlcX|FZbIpBY$I9@ki&D_H_=$8sKC-suktITTTY;W1rp=ctfh zdv%IoVgo5|g7{ug?%4f&t?@$h)y#eoc72wZUlN{P|4e^DD#Yf|J2|1LEiqdMjBi-C zL<5qS=ljfDKYu3+g6!LglG71TnF?ZmOnwEJ95RlnpOBBSomEbhQK*am^x zj$vS8L5;anv&Z`*TtE^W5|iCqiBvswr=*i3aV?{%j{i9w|AK&~HpNdlI*@tm?LIbAFNQ8IC^b{3250(pqK)=*iG1jJ z&{!P=Lvy0wfNq%-wD6H z*3eAV>!XADs1CNob}9)Ldwj&mGTdbP5p(27@&>iUzV)aAw*3SLm&EfZnzVOnMJQlX zZIyg#&qBvJhNs!qL_M+axREeu?W0ug6)7gga2grq!K?yUYhp}a&UnJ%8YSOzN`N1EIv?zZ6 zRnjBf?D9i;Eo#B3U9_2BT*YuNLGh5fF_^@}K$bw$v&F(zIBVldkWs@Xr^xr;aIx*T z03M-oHdnxy25!)E!UU4nH8Liwb?8=F>S$Ij9v&VJ4-G*};>w9&L=WdjPw39AZL}_J zsHvG=nW2Cv8D(JrTqk>HhlTE^4x0YC`kz`gIc^E@@$1N`fXv$ZkB zYNzZI-l(`gxd_9laA@pQz;K z3e@RE6Fz=)Bjx2_!iCx}l&n@S@t7zwVaddB)z$rM=$I1(%ebdiC&bHy(ZDp^(fltd29Ln_ur-B6}rNF%42LIRbI z9sqFOeqm@eTVY`p%T79K$YeEtSlmBQsA&{*w4&QsRHOtf&@F#bM8QPzDUx(33l=XB z?URP4@8voB_Dq z?z#Cmo$F^Z;`wvc|FKp0y-e$+%D;0jhJW)tmdq0Qx)P!`9`?m?ptljwuEU|pcvaBQ zWdwuabnc@aPrmc@#&0l>IYF(Y{^CHe{dl2XRB39a%{pzgspIu$`8H#U_vqhFkjdcA zQR}Z#dD+W`ZR@ic%~(f*_-dfbs@I$<(R`$i`Da$=XxgT~KNg8I9q7#pf zlfj!6&z+%>ksD-e`rqQ61UoihpQ5Tm*to1X9S#D=3TKMdyfig1Q9t8$GT>htbFUkX zSh+g)ef^0qLM6Tt?1yjC1Yq}R_X&BN9{5&L!NBZ~1%TFV-E~wNEP`B|(2=jDD;)TE zyKAh;;L~=vtU~sYbvoA#b&ebrI*T61W`lKph@7*;h`mvHHr-SI!&4$fe)|^WGkM78 zlJ*rO(Sr%p;mOzxX?vy8i43I$wr{*h(GYyqK__KPdUyQa*QlrqHtzoZU7BOi-8&RZ zR;^yH!$eu2;Nr=rE3uPK*e9I_Mf-Am4l9jbSDWdfy1#+M>DxTNnOnA=qpQB%u zIr)IV-rnBWw_8Ng;rHVY?~OyPOT;D` zvq?R+8{89Eh#_UT^UAHlDpy&5pcs^IG96z_>qejZ8uGXifKvG3pL&#%qS>hp0& z_D9(66IY?@skIa~?YOKx=?6~YpecH@=zl)fsvzcNGBk)l(3L{i6KurZboUr3Eza~= zh3h1tPEzkE0W4yH$aktLNvzXpA~2zIk+=bTEuWizrOY@?dQAcEp=9-q=^tp?E)p zi>q1i7v$-UhnJtM*i+!%gQDV1{emY0qD}O{dSLN~>G2O{bp*vk2@X<)6A(3VyN*WD zs~XHCT##KCX@G-LEj4X)In2xGBKFTJ<%Ub%btA7Y4Px7PSFe#8{_Jkd4Pv=&qQuDY z0|-uL4h#PrUEhJw0EaKYI8hGPsjurM_$KG)f6h;jS6!W|4ZG}?3IQ>)K-j0br@iaB zVCry4>29;@@%X*k$J{5Oreq{QrhA_S+K6HXmk*9Xcm{T&mxeN} z5nGErEXeVq@BOet7&8J2xte9t$vS@gRM7~EqeAG=@Smu17)ccV6=Fyzwn2pM{yFo= zBUiPwO*sR)($carvML$XQ&;K~qe?B(9Bn5nsAThg5@Xgg;>CxC!Z%m$X4xXkWFHBV z7fo@>@_ZZ+5aY{Iym7|JngbsAd>JP`)`LKM}JJ+Rs-C!Xi1j zJv}6617Cg3UF_s9pcy?`gj=(7M#pXcH4xyn(3IET)vr&-BgcaG>w6VRY~`Qz<>U)F zNCI>At;-l^SJ$;r0oz@E&qB|Y0Sn?!ShgG@PF?#U8LSxo`-obGe)d{gTKGJ6 zOn?H}+08{RVMOz99(?7@+XD~d&E40xD{M4F9VdxYUpp8ri&~1NXqA$38{w4L7ep$BSLjP`o+` zq3$SS;=3SSW=58PXfs~78pa{w)id?h^X3Lj+*$|JNL(iQKuzu1JNubgC$^X3U2OcV znt2v!6vJY%GWOj2Qd*20wAFc3Wd)^feQmv>>oGZ8LJ4Q6UY-~ydpnla=Ij#X^1KbW z!p=dK{V$wrd*cE%5sRISh~TmF{Vx2N7U+n>Ypmw%Q}Tx~FpjUMJw3wZ!umg^B9pqx6nn~4fX=$Kf!`uCv zeIVXrn~a%pB-vM}8gmq1wPk*HlW%|Cl{DVK+Y@VsCqs5TkG@dip@G(sb%;D(TgnY_ zZB#EvHG>ld25nq`x3gza%*_}AsppbqN9#54W# zoAhFW^|^L1AF^$f2nTp8v=m3$cwyYRF5m>2Ab_B2g3!smMEtD}>Nq_Z5dcgCS=Nyl zuGtGqXBI^SmFW3(k}7F{MNyRkUso{=w98M2DlLy(|F`O0T^DSUB(cn(#eh1oOv1n+ zFF96jV;vN)+Il!_PNaf*pG@8oKr)m7KK$*T4qGOb1|=Hpe0*GYAE~VK(mo|`#yCJD zs7_-Zc|oRr^kQ&-&Q52xp4xnXxy|K>fR69F&>yPAFh;A2Ia)PCI_^GHcR!AP^*$Ig zx~h%!yUCR%TXEkR^v)(SyCYi;7?o^yvm`H=tcu~kXn#HLytmuzcr11V4@DYY)_W)0 zFV|4WssU>kJv==HU5;x7#_Y2f%y%-39{cLE->+XgU*Df2a}Wd1s=G_u>!D{NJBV#a zfc52}d;Q8nAu6{mp$pnc3+Mi|YQ4JqK=v>mp5t+=#aIipx0 ze9|k3US|PH&&&oD@OQs&5LLIAwwLpd)fEdI(0x1p`o0_RjkC;?6TmCLi(A%=p^pet zvsfC(J%twPU4)VCwTpzBB4_#XFyHs`vOmv=szr|q`NWXew_C0TpKOwj01zWwtsBI@ z&L1Z0GM;PV$^h;-c3zNn2$Pw#6Nv?^aYGtx5iR#d9yFgsi{w^(Y%>qP?Hcl;mb#9$&M>W0I1F4 zUL=7^VqYyxHT?%tFh(v9f9bFGd~XL#fXzN705Ec`Zw5gPg|_GM97hOgiVMo=sq!8- z)UslbMaSVXy1=#&mh6Wg`-?&@PErz(o58=w4ltvNDIdIP7~6`osiYoRpoWA07(g(X z)Us@DGwu?HnU1N<(8Tzxs@Iyb(G%XGqOZ6PBO1TGdQq8 zAkya%$|vf)@at|1Q1PVxzS}5KIAN6Gh}vxf`RXyOTuq=;b~4kzCF@>eIf?=oM;^!1#t%xUAH&p;^c$v#O4dZ>c?2bB$grdHOT%-J0Tk=; zdvnbm2Iai(c9Uii$|sorD%Q$Bzc~4Oaqf3BvIh;$4&mVw1j0Zs{KWeDuYRW=w%*_T za*tY4F>9}0>ls}Lb$>)P|ArHCkq9iw;H^7N1V}h`YY;8r|2swqhE#SWi8kbNMv%|K zi{F2Qt8cH0u=o$Ba(4`2f|d`DkB=v5E2E{QjAIcp6&8o8>5KFAap|!&nvKZ+)?s8C z)59n8U%%`~u;hj6b`QQ~*U4trgAX{hl}UOs+oQb#4D?*yFyy8-@soDm z>&329{+euDFMYpLEv+tRkd115OzC=$uH^SDkfrbQ4I_I^T6#gK|M|NxE2HUqkMVnt zzPtZ(%-=pcrhsELGC={KisXg=xPw+{Q#OFh%Ozp8N_BXu$9cr+&BubLpW0;KBOMaS z`4)5{?iO^FZm(WSJz+%BX_i-_0Z_Jne;6|crDulE~%5Zbjt5+Sjh9dnlPiU z#sMBE@=tnB!E|;2AM3C{lZ{)*y5=X13>6^cGayVE+hsKP_-pct?(^f?9WpylFBur* zb(RGC_TeK&q$`U4YfQJRoa7MIVX5$a({bt{%7>nMd`)CiJ@jHiT#)`lH+Vvn0ROx$BVK=Y4IXW?Rj%I&>~ zP2luTZ|Vuw2D@>OZS;qJF%hB6M$z@|jbYf=uY6y&n8Om!eB>DnSh>lWXh4ep53?yr)FOb0BI0;7)Hr`Mf zB2>=dC6P#~Yf3ISe)tRH&`pe-89-}k+08_rHW3s32xy$Wh z8j7nT@~rEf^I925J^SM~wWYO9E;zRyJ9Q>%lo&H77X_i1`I0M6hm%g^k6=ft}X3s9R+IlwXojp9HpgXy|lawon z2xx@GPb`pK-P}Zg?(N&Pt+%^F#SHRv4-Axr!*^7bzmp7c!kPU%d_`~5q3r)O~|BA!DQxF6piJ2gJVy<+`FlE3Euu;m6|I5k`I|4(5Y~j6ZG|l+31?+$7slB6lLwYz z-SN~|xNYbz^Q3Mv>G1DR&c<<}iSe-El(|c*sK}}`r?N)>biGf`#I%n)#IyF=Pl1N? zywMH*@a-O9DAdax8+yUhTjNF0< zy27H$%u`nHJN|50(+UerO;Z~*9ZqT51gppVes&D)QuMInCkD4`9LDxUT3OR+Gp#Z; zO>!y?&cEkt#1&jjrGuJA+O);C0*tE%llEiT?EeD}#+(jQONYR76D%$F+0`XYX#Cuv zn}xZv;wo!Cni5g02QIu7{(b_GcxH1jhR~tIj^($5_R_#v4vsUb)1{gybfxH2oxe9H zw*1FcT(pFgt(G`rsdJ(#Atl(ImRnb>ERfzU)1)o^O&IpU5l=aYKve?|Ld1=eI2HWD zh8R5V4=CsWK*TKlXmI^uhtB@Ig>+X2g%g&P3VuY@3#DK7xJ(P21t7I_>G3f(ncXtf=P$fC^pX>jyEtHgtv9rA20&(+n6FB0XdU;y9Kr$FK z@dNNuyF4XMwG48iv}M^Ze1ipuVFB;{=G|IHnMey!f1^8%r~a2-e7yg=ZT_55Hguf) zhs>Ycv4ygC(0FU(0@UtkEVWg)9Gcexoi;-k6fV>(_s%YDGBYhnwg^-3)zd9iPo%<3 z_+gblu3D-N6>RK0*#adt$Pc_7pbv94#*Y!$uL5Nvm%XsDw{9LuYrmC>_25wGcVZ&F z8Lh-pE{_tyXA;Q$BF=jDHfg;V0l;+>GSWu?jsv_GvCwEOZ}#%?vUX_ZU~>$| zLK^o)G|~Bx;8i5{QEDGPXqVXaXdS>eWS+%LzQ55i_t&G{dvoGipxOU}toH~*5{tMx z9qn`mrm>?GCE2b%$foe8=G#?Ip%Nmnf?7S5wQvzeL!UA9-hDJkFtc{x__~KW9EL{AtS^9T;q`y>k&+yi3zshz< z==9zD1D7Q$rI8#>EbDH%rUWKcI(z}mlSIrODCB(9tTS@9g-K#mg7*BcO=CCYH_EGnxjeqw>FBq_2;ui^V z+O&@xzJjo^vAcIZ2tOSKyrlpHDW$b7%3HWh|-wi@x4 zs&dKcbAoYmM0@91lcy08B9UJe$<`?4mrx3PzX*#zi^MuGNXtZkDF!cSZ(+PfU;UVU zMoCjwU7J{GQ5H*ET_G*LHhBD7Q8k{XPk;LCMB1(Jte95X9lETyx;Bocrh@Hc@FinGGbgooVSW30qudmsa31fg(A}d| z7Xf-@S5K!jUk62xaPhdp;_v4{UDV{b61`9POiU_&fZ&Vs39hG{YJbVtw8}>B>_`K4 zfE)kDm-%0fvx_}nX069uXUd{KSN=qu2^<$#{L83S2KbWfsY%ayb2~H+-Jv zo;mjs`diP!a(BV|?i>2$AXP)86#KYL!*&;I^lKW8H9p9Z$G2Z&GD`ACH}(sjpD@hf zxyKUZ$}n3pju{m(wCUia3Tt#H0D%#Mp0Tx>9*G$BCSGfZWO$Op@e+j&LL4+|O2&Ai zbYobS6g7bmg^Hgb1aql@A(x~0;`G8!2c_ot%M6!wZVksr9SXiqaUd+K&X{#Ng%~Ji z8`v;p>~F%vx)Lh&95FX`%)~2p%R3D73nM<2`dOz;-0x0b(%Yr&Y&)`Hv0NgEaNe#O zW`&i%Gu>h>ey(z;2)Z%Te=?aAof|y-zG!>j3%JHpC`goGIH;xbGXFj9_UgXm+z>0& z#2NzWL)!!iLHf}I`o9)d0|!4Q4D@Jp>ppN25Zb4Rkmtx zuzEZIjOh7#f2m`cHpUk8+Y&-O6QTJ0aedh0-+!B5^;ft7d>fVT2KJrNpXaah? zRq~d+$C@L#g4J6kBoD)xn*}abv#ucn zPtHUI{{kxK`mo&Yd666Z{54a62@pB*+boloaVHle{(HnooJJ2;I0_~Pe&-Ye01(1o z)h^pMt6I*hk)y9~_#@{V**hxj@L66yGb4A=5Y4W!a^%F&{=>lTKh^cdq9Iz`jA-b0 zfjz-Zq-J(2W$V2(U~X1OCq6lF%md)i8>@>9aLE(uZWtNI&fh~>Sf7Q#q?A4T3@6B{ zVx;e&{9A%*CXtX~!r*pP%2wx`0hyVDNKJ@clxFxea!mdo9{89(?q967mDT*5v;Bc>%J;-KE|eaU!Z3J`pmMcssG_h zYdxZqLMUEzK>i1&vAdatrvC$4L8ZP=PA*2S++42Qj_YPF8{un1?z3?jR!3W2Z7h+6CKeaZFSic{=OeLzKw?(4R_GZTI4OV41h zHTrB63S*Kq9V}|^h3n>hoEK(xetBYi6aXrfjER-@Dma69$|7VU`t(DmiCD`tO_X*9 zRO*E~F#JC1iYja*PX0wx#hoC6XJC$NaQZt~i|%fsH|7_#9ig zhQtTKaY!v3l;gorv~*f%g;JK#*>X~xrJ-iCtKrlja)MNBAjpGv40#K+y;?J&_iS01 zqB>S7^1|=s27t&W&i7T3qeqSc!V|}zR0^l-6I!XWOLO9_X=Z62QB985eF8W+UT5ah z3+JWpfBuoL-F@3_FL}uezVL;Al+@yG@3o+ScwBB6hMS!}=dL^0SFR~cmL=dV3lY&0 zE8kvX9!M;fryyo=)@h>PeS8Xklp1t;8pZvG7{wXCoJk?X4T~p^yqkAA-MlFByeJCO z?RMwp=JPynHk->UE7Dl5k9Z3~1ZVo%>2v`>>`aTo06>yx336J=(j!N&J8|+fqAQ!I z*g*I%e)5+A5VQhxtMQUL01w*Q-G2C{P>ZrNdUC8@+M*wI4+P}Nv3f7CIrLo!ehGw` zt+nH|QDY1fuc3@b!^#`A`%EGmlnA^1K+ys?La& znTXn*PNq@-Xh*x+0pRN=o&-ORX{!;D1I&a_g{})BqEgOYn4?72ob5@8&MeM?*l+>> zL~8=Qr?AINeRq((0j(J$1OL%%36!4&Yhe4`Zu0Tl0?crH8m|C#_JOyefb;`4jx6AS3ow@n8~^NsdIeKbuqxEM$Xmc$PfkxW4NAHO*R z(X3D*zVxnL!HQE}dX+SB`Y`lVI7B2%#*#w*#*?*F3CZ72E z{^4K$TB%)!UL-308f|iQl2d?k#qY>fBHM_ZZXGsV>oL6IqpGBCb?fW!Im?FM=4(EOK55f?KCzNJjU0956E zdsXb`x#1GA^c-5xLn|=2SS=Mf&U46Q>~^38P7qN5keJ!VcDQlVBuNri1-tFeXf36g zVgw<2*4jjAu?Lh?Btw#t+%u$ncIK>Tbd%!~h<<>=E z4jnph#~rtR;~S5KOe#cBN}_8bl*~>Y9~m?|WnX(O@h0i_kwTC80$EU{X-#B@ENcI4%b1zb=+^b^w`(pFB{_8Gv+PGb2Z)I`{y}^D1 z7Y_agA*t1V+lXvlZEcr&-^Q{k^jw9qUm%?epKygk zJ!GL%-jd`MZBS8IFWsl~VVA_YJb|->Y4sOE@n}E_Y66K?GDvt;5uGBki{RWa}y0 zj%<=#h@8R}0`V=vrWaJSx5K!-BO8`0#7qGIr>6FFIvrw4lSGO2C5xSzo0bFM=-6m@ zi}d0l_9I1z=735m2}B-_ml$8Tq~t8M#3SOA2WPqQO^0Sk!eB|RF4ZGBLO-yB3 zw$NIVu0PvcJioMX%fX}I`{b_4X@z)t{yYPJ?XgGiK6d+Ux7|E9H$O8o%iv$ba8RI$d`#1X0hHEEOvFl|QW^jhqF8tL`derbVo-pHmI$4zelag)B9@3z zj4VtUQ6goD>PsP*DFAo7c{eZeJU4|g))Yn2YPCDv?)=>R%F0T+-L}RmR7ztv&(ky& z52s>@XRvS15@2JG;<|gsM*mDq5S7K=MsQCkvyS38cS<}&TC)1lD5-*EHhx_Xag2z~Q*wwJur3j#PADE$%W*zZ@ z8w$~PN4~(!LYzM=ue48}ncr39^?IF|EK#MBnZg(so6C((@jy{}NtKdC#JBz7U;c;R z`A-U!OtOevn6Ll$H+~BNKl;&+64A@BACLy2kh6FUE(;%!NS27?PJilWHPHgc`8$h*et4D#Z$ zV(H2Jz{6)4Tx2H(KvcIJ8gp8csA|kwD`sFKohVuL4{M9eOibT=dO0ZhA&cx^-t70Y zfSWh~11kcH#H1`aA67}4WLb7~DI182lWizD$;Q2=TiBrqyH9GJ}R4X+# zQ4`gLIKml;O<|mknI{VpG=`a-E$V>8tWDA!9UX=Kt^Hw+ ziaur$_SyFY)4|YQafZcmIY|=dFxNXa z4RkNA;ZXC^E?l-jW@{}dB%()8JULyf@1C3%n@CZ2O6FhyfPlB(b_@WPyKSwM+(#+3 zYjQeG({oGn;4S z_}~(8xT@RjW?8z@YFkUDD2k%U^L%NkX^d&L+RdhTG+il*0ss|2r(1|Qpy-7Pu`q@i z>hCzVoeTfQ3NRv3EF)UikOpgBAy(oo>ADN)Z|GxsE*Q!(Xa-}(D(FMO@`QbrVK zUlM4w*9o*zGT9LITAtC^q?UWnA|M9C4vzB_CJf?KA$r(j{yXf&(R<)!PD0M!7?qOP z3ccA{pmi7(F*Y)V>G6p`d52D{d^$3d^2{{+#4l8&l@b{kfC7B`&`QDJ905H1eKM^ zDXjDH+O+n%0u8@jZo9s5W-CXdi({QzE$hZ_edM(7svbCXPQ5LmF~kZPn3$DPS(ct& zDB4|fW_D$Ayn+A=OC5R5)yJ}hr4A8)?rXD2q7|YgVj@)PRo{9vO%taVAuyv>iUHha z9`{BBOH4!v@PW@h4w2GTDnOVuI5Hbl0-58;0yzQ$D5bM31%PfrvkR@UTEa~8i*05K z+8i@{{rF;^A^Oro)P~cyYb;d9R8BN^N%2Z8O<2 z%C;k$Dp#JIUS4c^?U^I&$Q5r>nW#=r@A>{8`1$v~_YV*dja3RwVch$N0e~&o7rfx! z2OfAZwjmXX!CaW$S1J{2t?aqRD8v)@8xod4TbwfbP2U&JKM(ld#K;6vjtHX+s4i?0 z5BZ7H3D?R?+Rc0WS?VBUjPGuY@jFl)WC_TaJ)A8Swv>Sq(+nR1?Ty)GOoU5SI#?~i zCN%86A9`WyCTE>`eH*dE)ola+Yd1ZOISrn$x zShChKgS7@A@F)NPg{m+GqAH4_Fau&0Q65yLBpG5CfO7N=`dEosngb^7z#1F#Yd=kME-9Wq? zxeMK1P@)u9fpS-^icQ)3Jm}F{1oWWXLBsxeRaq&C*xHUhD>L}tLbDMe zNs>yXBJM{+G9k--O9Igg#dB|F=32E@6#2r!QkJFFYQ_kiJXgU33HRzdmUt*GjZVD+ z_8TnUaOCJGKJke#kOIKT`~|q^001BWNklr*NUTOGA8&Y9p>KP ziUa#6Xvd1>U` z7GvY3=VQxDa&hEz_z5hgGfwA607Rveq>7lP>3ctO7E!jjFfohgQz9yiCE{8&V*q1F zR@oKGHbfV}l>x$1zr4iV8o8g@5Yab}_e@PoGsN&&>@$K2#modi#MV+#SSA!@Da%r= z_4__|&KPS+JfaG^mC`XWFvHkL%@SEl))Emi^GyfGrY36MW0+GBWP^_6mi>kvaE|ZQ z-QV#Rr8Z=08n*~+|L1g2cF5_~DOZ@BUPf%X4!*W6-Y+|{e!1ezR79-slv37MH`OMz z)*LZ2w7(G8fUN4{Oc_sbxW2Rh$!!Ni^6oe-J&pJ>YL~J;^IQHx!i8G znk%htx1&%YfU%aD(=?GlPa@sCi|BS~RjU;M5T8wA2ms7PZXH6*iYF!}uD|~9GtZo` zWYKp9V%WQP4*-7i#N)NG@xmAuJ5YvWhi@dZz87F)dFf1J)`cPkV8jFC)1gYk>{3Rh zsj&%mTjsH`(iA*+wlNz{!)Q<^WW z0N?+b*S+Eu|3rG1PV~?GAHVw8nG<9QkrXTR=F$}5KO4C}wgY~UeV-ftmCFRy14sWs zEN5kgV!e>HL>3U!G_6!CS)~%Xu}?>yjoug=s#iWsl_6k~CJNQcN|(s4th7JziBIj? zHNCjlK!wI&eSC_T(WO+LhWR`74Q}mrTO72ZUv`o(P>($R*jQFG#)K5IEPMNV-bF;r zV2Ox(RTsY~-gAN7j;cR?@|Q)Zxv) z3T59^8OZIhQm$3jl6dbx7+hVsrD$txBR!_nm%T5p z$d_7pfBTtcX@UdJg*pNNF=|AmRFYJbbJ@?bQmYguS`l+m*rKrA!kEGmb75?e7X=y# zH}$~wADC{lpi~EAZH$G2mbqR0vOKsFEBIqp@cI2v(D@jf-7dG8t zrq`Zqt635vOi%B5?T@_i-S7H62HDw(&JM_fQ?m5RSN@ZafBciNRbZeJ5HSL!R0vqi zQ7|a(H&GnqW#J(AgUHgXGNfFZU9UL@j*mzprT9o8*%;mhDH)Ak;HH5&mH;vLgUixY z;TBRw!WM&JY{)HpG8^j$r8)^$#nl_%deG`KWINPJXsn@*2*Q!raY3ABOiSYnONO-J zcwAla2dAbXGlK;Jaek!K%+mb6U3<_QruA`Lf4iridMcW#GFj4zc(|7!<~-Awa~r7v z&MwZ8rTXZ&7$v4ja{TO>dyd^uXaA_1J6 zCG2I%S(f>zO_E84K<ExYm7XVtV z)|s<2_3<%lsoie3JDug_mF7}&so7*^Yss($0IifID|9tvT3QkSwN{-@7hE=aO9D!% zq9_z%wOR!RMBKlB@5z&=nHf=Or5RXjaYz8*o_*Io#}3~Zqp?R%9cSp7i!$)-vU9(L zw-^Mv?*G-l@xO-#g3BKQ_7iDX#o z1l{(3u2!G{(}d?Y8Jcf@)y7K58wX6 zmt<)gnqaxJ^7mhPP@(FXGjnfhC%5chP>H(+ZrT+3^2_$i<+h%stwK(R{IX^`L9SXZ zUFzx=!yGT0tgnXF&hmOe_Yg8#KD4>;bW@n==3KH)xlqY*?Ncs~T~9Kq z3lj&?Ypf+!s1z!#6RosDrBs$BX`&c_ybp0A&Wobm$=mI2mkR-uh^jtTMO2nZHdJZl zJrog0gjaCB0+@)5HGljUZ`WE&uwsmy&O@~F2>_xnIF(NVwgNC9F(VOx0u2gPl8D2A z-b7C;l-N^6N_k#@DuDCCSgqJ*S(LP5bJ8yA0bKlpV9vt5DV;r*PS5_+`PSJIwSNlWhe|gCOGc^xoJ0$Q$4FuHS`V z;pV&ng3O!u?b{nXV?zG~82Tri@m~IT!d$W~E#b40>&y~Ui)|jmeTVYRa867TV}e=2 zbMcKza4;Mclo8H{emttRE;;%f{JRGBh!bz=-->WU9|)6F*= zIJoa4AN~XpiP>qW8HFeqGhh-Q6qG}yD{u;hlqkHZ!r9JOQUKh51fk{$JbINFLD+M3 zN&sBQc4wk)o-=c|n*cR__~D1^_4?7HNB`(g{@VjzeaKzu&-1pOVq4&l zm$=uJGlg|jWxI2 zaHBJ-1tx~>!udf@DRKT|Lq_!8T@jVmYGQnxnJrn@+Z3|wl8X{MFuD8m;%rR&hfTM+ z^ud4aJ9}6Od&LYL4-wNOdE-z2Z!fv;ML+$&zbQ$RU_26E7r_MAZ-S3n`Kem10>Jdt zRH73is#GdznkH#dsZ_Hxsa9*DXU2)gH5tFi z^}y$hF$Z?-{kE69?CtM+w@$Q#V*AF4C%KgSfJ%J_m-}v+BCw?z$L8N`cqd%_)NLoL z)3A$#CKo8?mQS@edN+>#UV3Ul)?bb5zQsCETot6Q3wjRe0mHk?+DTpTChIzOiAipX ztgDdQat;+_gHQE_PTyF)Z)7wmt$_lF{(z{p_UpI=0Gg4(MZ6>iCN`D;Q6mCsoq$tT z5sJboT1)_dKlaKas6=T(CW7945LEz-0!^8%u^;^0<3YQ2p+OnEK2sJDgN!KW;1)3D zxU$Grv6zL*YZw?Ufn_3MVbGJqutF(eW3rT6R)<|6<=#EDi+j0%B!^E*V)N@=Zj z9eR=HyrpKna8nHJ13Tn&N48AX=xmp%O*bE#?l9A9OtvR81pq`)N=;AieeG+1<(=>R zFW^aBY;cN%Kkx(J_x|^PC`>E^*Ndl`K0zF$ldIes1BQza#xvVN?1H^sqN(`|dww5l8$sqbj@g?L}N<0*ygc?idhtF|tdBBD1VBP}48)_9hRKm7p*$W)f z3GNia=a8C|F-<*5^i30!^iq{_BymMH&^kZ99O#3gBF0j;tLY856U)uRZ?wy|>>kG%(L| zVy@L{uX^<>KKOx;2{{cLh}gk0R}4}05b>V7?<$NDkEP&^-DF2L4y*(qA_IU>QIA|5 z7-F&iMG7@6G>|AS3Z+yx&xzO=Bdu8!#+cnq6K<57LFPb30BAYf+sBVPW&7?WtNv?ijt*;!|#5FufRC#=yTP(XDIpb}lJ z)$TfS)5A|cQRD?NV1f!2s8AG;R)=1~VOs1@U|_ce9~c=4NcgU`WUR5K$O}Zw(o_ZZ z52e(4pW`DEatRnvkz!RJ8v|yx#DEG>Tx=qsa%w*Uz)WLaqjU2buX6R@*WF`bsd2V3 zyKiFGOk-~U#IBj8`F#_+T!}*EF`RA8?W<3V35mnO##m#GF{kEd?mKqZzkbu3>h(IJ z(ta2z?*r&p=-jXnh7kY;)>>C<)vQvm=kl`6#nw#tQj1=uBfaL|gv8@iFORI1@a3B%ud8k@`SzQb|31#S zHuN0c1BM(eFT`vlE0=P3gQskrY~g5hkqaE_Ok|y@UxCUOO^yM9h(MOi6#x^mm3>pl z#9*u}Lc2E^5q0yT(=7@^*1Bya_0bBVB4Ttgnp6*Oioq$OLKLM%Y5nNaEvFBFY~27+ z?gEhv6AT1YE}pc94OVYISI34o$X12bkFy(`+_F2&R%Q5@$fZYOO~{N3$%8a}|nNIv73( zoE2_T!lR`OmrELeEHMI1*C*zii!$_`U7Vd7pYW9sR8Itemw(%L0O04o_<4+ql%%Ps zU5NPjsi!@4BoaUN?kk^JIA0i39~mQ}xkf|IS!uOu)k?QpB&n`eDsTAlAO6&*K6m=` zj4Uh*E_CL!5C}RVVqO&1TGSdC2nl=;9|m9{{vr4BPLkpyGE-nxR*)Zq+XH2Zn2fRF zkkl9}PD`z|653lFG8Tnlrgpot*l4s?T6vM@-P~9U;A6_W_+wT`B0H{KVur3Ag2Pw< zx9o4NMTA7_>#skowd!=cX_AEcmT*OFO)lR^z;NvFjoxwq0D7i*Vn2+L=B*IVEiEXe zr^Y9wUV^dkufF*$Z+qL@j1dD*AfnHH_OndPnw^e~?5uvh5^trB$Xa8qG2i#nZ?|Gl z0G63gJ^eH>p^r~c!fDXorH|bC9M@ckX_720FW+^`tzUcUiM*RDrBX^D1psEPdyi7! zxX|~~4#DSv0iA15wpIeb8&jB~D9Do1D$%+y1`(Oamu&d+5+5g$Zo>*SB9bLrn7o?< zfHgYFQt4@vV|D+W_wiATh;@`_V8BOC9A_d+mYGk@%>d%*g;_*6yEw}Xk-$T@k@mzg%=G7cU#u%r8lm=b<0_WRv*j zD&%x?65mu=y+XHsCcOSTUby}?JLhY&t|9#NqTuO;e%QENJTu)Mf_?vNe!^?Zx>|mN zfb_!Mdj`d{~q% zb`G*2O*MdX6$U=`CNsEusxqe_kg+2baF~Y_M6t(5c)N24@yS%r<1o` zW8@V4gl0#s64`2Ux@p*ShnZeuvYqBf7ZM?-X`24r&;Q2U+_`tX^S`7%0Jj$q^}z6VkYkpPgs-LJ6oqIX1c>3<(yv2Pj`?Pslla;=4<&jS zr^W-Y75ub+5Z4A zh{}0d1h;OD?A_!u2dAjOOx6;j^P6F<1w>=@rvN zYn`>0@7;5_+v#epfBoP6-v|mT`qwp(01|Vi4TWKvDYf1Wf zVYuBAh^JTq^YJfM-CqX261(oCW*6ChP7WR%)Dp+etA%>C8fz4 zQ)w;RRvQ2kt#zJcSz61}UwiXg|NU?OM`l3i5&j;B*0RW7s zRCQD-6rZNh`w0Nx^ul@Y&{mESktIt+cU*V#{4;0S8*C4@O|SWI>ovKnL><`*;qYh8 zvjAj7flH~t^7Vb+uPi(rRtuX?=lW!mi+XwH=NCxzLYRHuc zAX8Hm?w!kg{eiknD@0ZHYPw%HM&U7_oY9OK+kM?z-}=_y`mNuR93Z07>Yu;ixzB&j zb3Qi3oFyDQD^K08j#;r9zc%T{>a43rp~EFe!{ITT7K37raytr8)@? z1SQ6olr$84kT1uTFV|8O;Hy;6@l^t3OFg7_L>7e^z49dslmN2Mtr5i#Q7dsMxzKC? z05Myp`q+3t9{zR^jH6NZ!i&QrL@f@LlzQakaRDIHmX01gdf!XG(<5Cu-EAU%^2y_0 z|N1wqwMs#v1B>P5<&}E9o@kY2>Db7~PygiWPnvFDK833Ht0pnpuK6PTe)@w6(BOZE(&9bthETBlZ1(i!X$~#i$Yd3I-M>e z7Dd5Kt#&8x=B-w1d3l+LI^AxO7nVrqm9>Nb2pG2H1Ay2sGLu-IBK80-04Rl)NGl!I z1B$}P`idyQ6O$8`ECZZ7H*1V-wcA9jwaT(IQTkh6{@tUs(LB!+tv~ab&sM8d!KqU0 zV|P5~)?;@;NYj80Q0Gv6j?@n?= z3`~zQe#>{fdhfmi#+afg@;oP^>kb`CQjHRC!5db@nzbYqa_&Gp12a$U-tC_8L@UIx zvC&`nsW+`GuK+-rrdgJyXt#W~zp*XR`qghyqabJO(-1_%#{#PIQ_{To}z~7}= z1_+f(HLF(ABn4npN+sHRL+Fi}@rsU^sf1zI-HVu+Z$Eq^0M9Qq&Mck}gR*c`={wdE zGwq+)JvlZ`5`tHL=+F6J=UV-z?Il-DM%OFrW2OUFYzjQZ()V6^7s4u+K?a%rrCfZ8 z_Z}i!-|H@YN;n*Ht{c8z=;t_d>#Ul!%5x={#s>d>aRddVpaTRz^A?J8SGPB#IY?l9A9Os+0w8UzuQ(z|!>|GA(44I-MIJ-f6t zf9~8Pv$M@pr=Iw>mwoHI-}67kh2kI(jDrY4Kk|z|3=M?@{e(hWa=YLQFI-zHa;Y|A#2K!N-HC>3j9n0f^Lc>G#xi(L zsbU8!yeNuLu3)2D0-$m84Z1NE@LD=QuHiU8fzlddh*@c+Nn1sVl%yX9SR%nAvO-26CSr*R#Wx?m@x?Fw&d{$y@ug*p zqL5ULA+1;p8*A07QO1~JvC*p4Y6zgU+P!<%8{Y7mW^?8J@BeE=JazKq$&)9w*0NK$ z)9K95FI1})L?xSrdkw@)-W_d#(EyMnnteQONhg%A)0Ob?u)I2&2@tyZhm zYIi!_PPZd~6~HO7h#-Gua}$wemgsG=_aBJFg+F<{-dT96o~3rrn3svIHDu|)f&EF6 zAY!M}F{XI-*^@<4hz|!#cJH3OON}J}V26MUDSnc;P1ymV#ttH;rlx=7$KUv8?|EBy zWK5xQYArA`6VvA(df-L(+&eov`{H|F_~4fx`ug!lvn-RnT6-s^XBuPyfj~|F|XT#R-J)1p zX=(!DVT%#J?MHlMI%%(QZOyf(j_s>P&zI<`-(4}J8 zb=-W71M9nND`eAsi$(B8d!l~Smb)=Fvhs&Bm!0gSapZmZRd8InW;Kmv-H6~ah0-8E5@Z7l%q zpJ3SGl?P#($PAK63Xwvc2O-Hkf6E=yqH`#Pp*#VYA^;*t5J-jMn+xxH<^O{NZ+B)O z;)lQRmqfI<)Y`LaT(sDtFlnOQT$3S5gekMv=~n9;S1UP%O=WF6a`|O#atfP)!Y>t@ z?l9A9Nv=|08YGyVDuq*1yO)-ptk)+H_q_6zKbj=TOJ4eeZ+`Rr@x)bLOTn=z4$ub} zfQHe%%3d-D8D9xXhJ&#e)L<`JIxW6qz+{1$#@pcsa~RSO#gt3XZ?`Yys#Fjj;H2Sm z0HYxfp&HQ}oDOCw&UPtd;T&exT8AyngH;!bCdft(-g3(=3kwT-_wHR>T$HfXL{zC% z@;t9rt9hOSfVlEpTwDwlE~Rj8J^M+qMc}=Ltqm7Mv>A=vvUv1&i@f;tr-y& zg0ym?zWMAEqOhRCJFdTZu!8{r0zB`|djR06r=IGafQYD=xY26Lfl0*YKL0*(I1nOw zg={;=6wXP!0npV70`N+!1gC}}`$V7;crm5J{Dy4}D0LRAbBYEDg68NQsQeO?^G+`JUuY+GN>D?FDSfiCj zg~2Me_pk?Q>iS$Slgw-_DTN#z(OR`ua;o+$Vz zf61M@5I`xEcPKGriS~~2<;^E?P@SIezRy4Q)l&-quz&x)pc8)Q^Y+Udc6M%g-=4ZS zxr*Pa%v`CY`GO7msMzNR+)`z<1dBnH0>2jT#ad?8N6B)nw86|&Ha`WE zf~RMezW(n2@zyv0yZ$<4WG zpU(Dw`&!z-4msVCt&z2R+NC$>C1KMYW_m5j_M8T-TY9-i1li1rh*_51efJBh)rwO3 z-g{q^rfG@0`E9mk39q+7JUaB`-kjOf!`QSl${TvjM5}Q|h`1+uSES)gfF3rADP)O^ z481!?UQNU2fII@$#Qq)k9NEmuYg+hboWqc}P>?~B*tiHKpd*(Etc+6#b>a-ryjl}V zq_rL!8!O1If3P$_1vkB|S@kNwcfa;w=~e*B5!C!Rg^ zzW4w2t+(Esrm0d`tJS8art&;*HkXq`pP!vgv`*3#81ka1R5Acih=nl#I5t|d)^<7_ zt(7r`EOqlP0OWbm?RLphVT6NWF?0=E!sBOFGUEsuV%YU0%C2I?%q~!Y_pBx6!vcFJ$LmTdA*YCV^oE;5Z)C65 z8*9J()oD4>2-TpZ*v$EdzwJF*r^3#i~sLN8nS7zMXvb(M^KwLzl zUdCRI0NjHmC~w1P3m{^TMIw3@3BbVqCt~r^Zpm5zcxv|t7rx2bsQhPF<@Tn;)L=q{LpQ|(HzI~3l|E?na7H5Ow0=4&mVYZv^HD9rm~Ic zD}Vc&l0G7cZUO*H&5q1XjHNWug&~%>TkCG7OKIjSC_Cizs*=l2PA?Lhu3KB)(WEsw{bw8_-jaW zC?E)EwUptIj@%-YE(Rjp4~QY!;wrj=G>sU_3Au?*d5_me~A+Fn4!sSFH z8**E%R*ar|r2()&7NF?$22c{CKG8{%5HTcOPzsVnAx_sP(JdV-2RPi`8D`{z`wsx{ zm%jX^cmucuX`f$dAR-fI#z?kR_AK2RiIj@ z-2w?fuaq%X0)hjI?@=fUBO0L~T_!G!DT=~cL&Qm7S;emlIXlJz+kNl>JGrD)KkZgr)eTQ4pC{HWLc&Z ze$RJ(YqQxjg<)o$XaKC$YFV0QS@w6Ic@Qxb6V&hs1be_%t0k?byomrfsOPr!35N{! z4h+c3^}4C?2|3td3>($Mpk%Fm=u2O%)zUL(Piw6e;>^;@*@wTx%%P_MxV>h5Yzz?r z-v5CQ@7}u?5Yr?Xuh&OMM~o47oX%J{Ns}~7(O7A(+DLtLYOmnqkP>+72LL8wV~SmS z_LiP*>1iMO$VaQSn)ozON}ZW+hL{b`Ju51Vs4@L&IpJjQ8~)M_=^k}s~p z(p0<(^icKd-*EpE=T9X`f?;1<_|vNb`3THx$-d_k5B%w${^`KuT$UceWEW=Fhlv7X zjr^WvdSqmTT$nFr?hQNWjnLWKsQ6hA#J{TCyzm7tlnIztN^7OvLm!yC>q5Tr!$>2Y zhkz7|aS6x)om;#TCv+5;}9*lOM!?V zeC&);8kzGVFN)$Pe&Q$I@s4+V`*Zin;-$6p^vStH`zMVh1Za11^rDp#>xO^xGcWwL zKlrTddJMB6CIaUb$}bB;WR5DRSE5&03y~HoryxL*sHk3$fn|rjl>33lW)MK!Ud5D^ znNL6U6$z*e0G1dL5{=f{N|qMJ0uTapiIq~dYL?IbZS}x+tgn@>ZgL8J3gwPm-Et}9 z^kT5-4l})$(!=A@2z^ zq!!;UKCP7h!Q&YD04-%BzG^5r4LJ#D4E@&lkP|sAILeF^8xAccs;o+!EuSU?Aq<76ZWTw;cn9Z#?!$P^w&R8H}}B=}-ew z?l}9N*EyemV885no;$AVeHE!TYf=p1A`xtw~*JQtbFVES3wGwuFJ@-gP+z&l8Dv|=QWk}8z1|8bIH<#9+Y zh)2x!Tx=Twn9|M`jzq)yQdtgXGX>bRcc+uiqevo++Fvh?9tS>zkm9DW^GCeioW6>r zPdXkRjl`kmuyWM1_IIZmr+%1#b-xSs*(I2>j~$9Z27p;cc(SzgEWnCbMM%Zh*D^(X zl;IOt2na8%B&7t}eme;C_@Yx-xQWv-eJ6(f)xh;B$ew^|o#(3=B>YbqCC_iMf-~q&5t~*2~ z05qUzUVcxfIji^Yx$sy?DE3is3exEjCNGrcrGODcF^YTU+kE0(E(fPwP-z1oEtN5Z zCxNV1j?avjd)~#@x(xva@t3~uDABl0^#W=-or9OOhHIKM^eSHA5+7a{as?btToh^E zeadZnix;?;^1?Fy=Cn;a-)H*~qHx3b8sxB;C#K?&&3LH%u@>}6EZbNuxFvds#gN zThEsi?@UOb7Hg&oaG<~ceJ4Lp)s*-8(LX!i>7Q5(6Va%) z@%VDMG)TBKQUFx6<+uHpmmX>lKZaw$-ofqQii#h{`NyuAWL}B8mBYhW8A(Z;L1(c_ zSTh#u;rl%_k#YQ=Vici5C{pfwTilDOU!7{Z-_Z;fmkz9J0P@l$E|JjAtS%{VFA}b= z>|7~xFQjl2W#90~(*fU*vpN~^<$8sNe_$Os&j`ND(ACwg>AbhUyJ}i=QsO=nnbX2Y z!VN(iJiB*r#vdkFB6xei$cuC7-n?6yXO~A%o`Q9V1~FR?jIABL3GwWoGo7eb(cezP zL}sR|)6V$Gm2WDNREpcpBf8OebBj&0Z}*$NW%l5e+6@L6#8S!+c&{yt5}b{pdN;0pLc-N#Azri`3I+@-Q5WCP zc0=vcz&~byWiy9E!m?VJ`F{KJ_3Ti%emVk%2Q1YXx?li68i%#hZ2*d3Se=C~A>)XL zN30U99M``}I0o{}ehm0_GifLAp#2zt++7!;4{+%w7)!rJb&2;@If^b8IcgNE5%OYJ zS4Kia_enhWT(C|Bu4KTX0tICM+`9zqO|xjAVuYCHet^vAml3hiS-Ze+Xw{BOW{c!N znL!%+<59Bs1!J2-=4h2nLOVZ>t{2ural8oAd0=cdp&5tbUVSrZY5#N&QBbwaZD30) z`!;9kG^>+(i%!jQl^XEFWi1@^cidpHl&mbZJQ4m&tp3+tmuFbf?{??@`R+NN{n_jzpHOH*Ee@(jS@4I(8f;68++SPEOKWIV>in0ShlBREbKu)P zHp=Lc>)|vc~e|W>3Vs`HFA!QiDu#akp~{kwU`zPeAXsMRoC)=D}ELeN0Rk zTV5@3q98r};lwcGZjMtHu5UtELjVL3irJqB#MU8?v=N!3 zI@M)oa+@A+erQ{tGkq{KJun;Naz}UkMnZAAL5+ zb?}VZf^!vz9Nk7SDu}Q-N!!2T@V24n=W@eYLngCDJ8y?@+D~l$`fIr<(z$ojz9Uocurv@p*5~v2>vkeLEHZS$y+`JuFC2#@ zDn?eEoWT6@g)47nLq zJmDQMAuq03{+?4!qP)IM6b2GelEqH7jO@nEyUn*GMr?qPSoG_*-NLZn8LzNDhQoQd z93ZjWh_)Z74goP}@DAAE)-4`T3HvR95bB%KtRouRDO+3d%KsjkMQo-}i{R%XfaRpG zfh(6?r}+nsaN}(=c|cTCH#{nqDu=U_)Is895HF_s0t@$D@cBeRz)3LjN7z^H>%y*w z*$!itJO=)(JcFQ7PSPxJx=t&^It{D?kFJx~cJNfW*uCU$Yx0fcTyy+G751b=?`RjB zha~(D?LTKzhDs|tu{Z@(>KzfRUiq(q#~nUl?XF<(MKHqiC&7^&l?IechwjnwarA8P z@CT_#Zh(KT%ey2JWRqp&x>3q36=HBlcH8z^;&Da`j!Ur2(d<5cCKpji4(Y*Qj%2oP zr}u2m=E%~NCTEi@v1cVlkhk7?t|pRbVN~$FYGYycfRR~{$DyJBQkGrU<<2Me_cSx3 zJvzG02qVQO@)y44-uxJc5GZlYUp@m*&m4X}b)JY_3E2XA;I}`A;6AJGF{8rq{j_^e zn^*c#^|}Xb5s4q4fk+qY!#s36GU650!*@6V0{LfzJTtLuJFRES^Zod6}W;AudHkqZi^TM0RO z37W4BPBpq!+IX)g@4GU;HEQTlbj9x!F)dt)9xv#J~Upx}JLWn0iZV9ypo7b+j=~ zZi6gqUWTRcnGN+_vy)r%#z&I}cMOGT(u5JK6+{5XZ2R%GkW}e7KYfa2ZuO>ql};64 zLr(oNI$z8KsI8-;>-R6e;U}}wh>issI0OrIwA;+jS{5C!8lsL@$S5uSk*S1dLjQV7 zt_%LFk}C}(0_B|cMwCX`t={wZl1=Grf-5R3CANkOQ~9S}V~D72m+@Z@S1wo{U$UyZ z#kZD)ex@(933(n9Wk~e`SZZt1;_dCn12K|$=n)g{D{CaH#`$+D2VF={Ow!XJGMQaN zlWAbM>`pE}oYW!?4-!KyB=o7o(wmHuw*d~^Wik(_=_ewS^uA3EH$AGZR#9#oFgV&N z2!76HyD4>GcA%nzaaIH9xY|8DR6lQ5Mx^zXVgtBNcaHrez)z%ar%X-jLSoJ8%=4f+ zwl(g@_fKOw4eKk5g@zi;(p)v~Oj!xgzd50$%QBz3Y}9(;(_Y@h8^r|Rvx3JPy}f`gQ2BwQ$-Emgy{?4}W9xva?eCQP`OM%MFJyAM>8^zRuGv z3}6|2=ZS9e7BDXP65y9^0rMbk%CC#|7=z9ZsDw;=Bk?vbTF4G!fvV~~MjxZpp2u=l zE^*%Z?M{TDJ4bsY{53ch+QB6XnGKo(#VGfKfk~NngQVu_WQ_P3r?6I1ogq1<76AL8 zcB4}9CxUY7M@dRL(GMID%d9526=?6rzE_OcNOXPmtix}Ga>eGL0>h@+QuJK+T{h-B zQ5NP{ji0moRSr~@+1zCyNUb{}jUk}DG%e`7(k6SXzo+N?-^~)|kFC%ir0?vn&h$$? z?3B~(u+w2mN+YhITDT4?k%e-pM%3GYyFQ!RAGk?e(wom+f+t<+VSZ;m4H4lnRU^D_ z++EjA<RjI2xD(f#c?hb*v!&1?PxEr8l#x-mh`KT_n0>+&HTwMD4|JMQle9kKsaE!fq znJ6SjRYTlrc0J)DrQB!xr4e%0jC68@M(9G4+oT~+VbZ_a8y^GFonN6wl%%q@qS2xQ zCXUj!zE)G_y3=TfKP&Fe5_0{b+-*=tO$$SoGV;}>93d$d#E~ukk)FZooAx9pUxbjqxs|H^fy!Y<#|%2 z1dBWp72a{w`Re;jK)!_Sm8_oyz$wGJ!Re4_p^C@lNUY9 zJ-k1*VD*=iz=LkhU#7$5WjdT{p{H+0API`$0^l3gx8uyKwG?{5qogbke?R|c}*R>_qP*2yS< z6Y)CE0=o!^84#u`CZlk>DvK`%-tJ2Ock112%97_Y832RzpI!oOOTS(&-vsUAyqua0 zuIn39OyJlV>P915_|Y347a9QZBXP|_jF<&B{y35%rS5Iz1jJdr^~E9`Gog{Q%n$?n zO^GQKtFF$-ae@Rv6N^AHsessR@2s;^KK_7eVB^)LSKXEd8?y*BYO zC`Yuk%YLNfV-i#q9dD$*{jC4MB;c%8;|E{=H{;UIMMHD1elVOirmks;5-f<^EzE?x zHM|dMP-lzdhikL7cNaF`RGw=Z%`kb6HgU|uDwPQ(;%M=$3%!MeryloYxpMMRPS@R{!+o7p8kK_#fN8j{BL#vz`H zSM&h8^9&s?D(|5|R00hsH_4*WruYJ=9jUx|nI4~Ez3W-3w_ucocy>%6n>sk^r>|Fp zXXZ6n*%|wt-MuPOwtHPR@cL6sT@-G}U-8GkPtH$;)NkLfuqXUfgH3dPHiuc(=f0j>ukWLP2T2U@14FC+7$Iyc##d$ zVeIhmi@t;X(Z)EAilX`&O1lE8>1sAhMtXWYwPe1;`JPqgZs7WsDj5Ani0PuPc=pB~mE^gG-6QHaz{EjI^tR&B^^>ZDc8 zV51WsIg_=TrSx%{2B@l+!mXYrN*hh>{K3<6$>gZ6!j3mO#=)Ac*QtC+OoAWC@ctOvCC@1-#OF1@^)$&}OWtoAlowfY{NVC2a6hpQ#8dr3rxqp8 zNRc5@-AXoX>_b+KtAouS)Yz&v9;~yoanz?K12m-GCFeO=tB3r$I3_^?An~Wr$^tHd zq^D4|X99;f3}OyGLpFRA##4DM(|@2#(R4W?fMzE3qOn2Rs9$yg%SCz zzsnX2zmS|XcBZVl0m^FoxcjlJTEJk?tUPGUobD?|*FziiQ{2jJDHIB|wH^sm8^slj zMSriQq6XfH?fG43C5*M7E?tz=D>cIZA&@!pHd>_%J5O?9`*JqZCR@$VFq?Pbk@ht` zlne)O|#8z5BP`o&`zCZew)-7|F0Qn=X)IGuFWv3m$2jM^Xc8r1d zTH6h#T^-e|HhN+4$Ofp;Iah6F17>3=0=3T(rSu&K%2MD>N1K-#EgcI3)$1tU&j>w& zRWc`CpQ*xxVMZPsWUN8Ckt?G-;p}z*>!~V#ySwS>` zQRDKmT=4Cz<7+<+q>mnl^~!Cv1RT+g(mS~+c>wC6;XnE%Gte3Nj^(2(1U>Vw?Q~m* zagA>6#!!^2#*c{#HC0uQ*1okroG3|9ukG8{>dD$b8-0${I~C{0=es*ifsX-&{fekV zyljd_4j*#p%(FO9{tQ5GGDiwloEl^KsS(wijDC6~HkJ86@MX>OQNiO-SMY61dH(=IyxE!(5><4fc7{rJmm!YCU0H*X{}58-rnvq9Vf(x!1NT~Dhh2>}Nbce0vz zfp_E2)=tNpQSOxySCp-zD!Aa@8o%>_otR3^@@!jT(y$t|6UqO zaYx6dygl&mqz`dx;HO+io|xF+^lbZQ-N1rVrST(q2Xp|;pZSqi&#t1MURJFy$jf`N z^3?B4>9-bjHnSCcz4DwA=zlW?%g1GTYzk}66B2%C=z2EM+llYI9UW`#Y?{fB#?gZ6 zAnLXe(|OHAru$9=`E9pz+?(m<$7bPjZBrraALuDZA;0CMl*y=I4%vrD30w5luD0lA zC4&}Nu>?0RjVJ|WhtO1?u*3K(KuJkym3E0Xopt!&qJc z4=|L{CV?!n_@^C5X&BNTC&UHKT>pAOSREy3QWt~8K-nVBcagk|v9Yuj7iBE(x(ka* zDsj&qijTHO^sw z_(x`BlYBq*9Xv~?%0$UCJS#z&nowm4IDLzLV+-ji9qkTZrR0^yO^^}5I$SF6^3tPM z6Fxt$E1osU1FNl$OBR|2MF`{`||yXZg!pbK?BcBU3%Jo_#S>)q@)VbWH9Mh1jI zRX^?|bZv!bn0Iaz6WT%o9%Sb}_r@o+FHUL30|5AV?}e<*Ee=B^r-q*^^|IpcgdvXi?Fle&)%NcQjsEc;&PPNdN=lrj7X};uy%6dVP z;;f&-`5?#c*1O;((P|#Cru3u3U*W@DXDrQl7(r9FxEEHJC71sx!%tR}Qp_|^T_p2| zmRT5;Ir6+$w#NM*W{KPYmR*?OGP8{GMU+*aNX_EDik>5A822KsOTG)~Y?Jmo7C@Fy zP;k~Bx_*Y3uUE~ar>AdPsxK2NRM`1Py2X%T2jV{LYEcNny(%tf$#B4#Tk{L+K%JVo zNqoFm^R*Dp%>wZ@ZbiYD!Zh-2KNMwisVVTP5KSnd-T$YSAG2IX#2uOX4!%O$$g?x&#x zIY4#R#|b;&AGjW}t35@`sS>~lsfo;W?I%yvk5iH_UBsWXf42Pq-cFtPyiE7r{){@y zasKr+V3+CnMGWy_26jq+iZeUnq`miFwsU)ROK_X9^~mDEp3-n!?*Cc*a>N7sb3 z%WkJlZ3WK)YDb!~;S{X@5{5s8m++2(B1pbgD~M^N{^oc?K^&37rPiM%TYV8-RFS9w zeERMDbYS4zGE*n&cWK)&Atb@e%P-uA|1F*h?O#%gJCpax!dQ2azeJad{Ykz!q%Qpz zn3k>-I{D*d^u4QnwmFbAUpSzzSO7S=z1q&#R~iKhKl!Sa;j=+i&j$&UnGHm#{*%Lj z&a#=NN&$Q^I9kffsSR59l>8Zd+FskG%j)Rb68vq%>rMq!fMHA_7xC?)- ztf12S-VhYwy{LoZACxF?K1zjKK1_%fd?L}OoYqDBv+zXjKb@NN(T&lD>R^7xx}uK` zN!W`9gFUVsC1oU*&*yReQMt9Iz`R9lHa#e43k4u!s;xd#H~73)2c7IA`GME-i2goY zg4GJZ)lU=6AVjmSAnCWm`84TYbZ;EZK0Cx$=r2~ZA?#s!*`so5?l;3+YSb0+x;$ne zGMcon?Tk-V48QEv+u!r*gl!y`%1V@dZ8FdWTdDlXxzwXFajdWAy;bQM88JnJ_3W*h za~=LSkB{w-kC-x7EK-b}#VQ}#~RsrT#S7L973 zYSvT0dLm!s=NEnjKoAg1Qg6^XtxsS;E|LSWcPh>*mrUKGN=hB7Hl57T^C@rEQ)xyT z>e5_(5VFzu`G!f1XK!inAH=ycOX~z`Fni$x8S&@;0fLN3) zfPcCX3JAk?gW?Npyr^0F9ib5(#Jsy-@8BfK0+kDn91?Dup`@s;P6#wq#z)J?hKta7 zz$6#6Uvs_E$)%{i_UljGIPz+kpX+d#n7Xue^Ko?c%kr{f8MHW^b-fgB(xjSM^N40xN>?z<|@nOQf`@>qUY z>+F)>VqU>ZfCtP05_tL>J@^oAA%VyM;n@Q5+K4+sk40pxKqr8kp^6wFH_C;Pphg?|_i+RZ)NC9!EQZnG}^- zN;4HFdO-T)Y(L7n&QAs5opnI)Lw~uKnkp?m&GQyj0kg!6|5@+Iu1o^~3ZiHevUZ@t z&H4fQyZv+E_@zhiWqJEoy}-+w+6(%}(T2y~b#7}Rk8!-u^d7A>g3-S}?X1S4z7D@k zNZ3iv%qN)D)=mUMvw+UDlbM?zcE~|EVx(p&856NC ztY=|7Exq>2B)|cuW5#ti>t(jxMZe*|884v z^51Ar6+U>qE~j$yn<#fooKl&bx0uTFeJN61Q5xkd`)@s8srAUy(4~k9h<#TR$8vL+ z^_)cQ`$E!nJ49+~VU3dU)>pcWK<1pT{h2lPhrIb6+6y&ThP4zii+vj9QEm)F zB#`P?ItD$GD@0^klrITbm64k=EgBiHVMHaa`#f(ly=>10A9daJci@1RDUtDMW2Q6?5NUE$I-=q90t&KpJ^dezpPmmqT8-_cn1_qR z;momi0y&_AB0m3{!#yv5gtBOi#}SfOAbFJ+N$n12g)}JZp67yrnqK}!2e_XN(0%hA z^6VfNVhXYjj`^DDR{H5Iay)#!(fUpckB?%=fa@E(d!aX^4D=*PyWN--PYDILZy?Yx z#XlC|?@ttfjW|$^ELbm5H6f>93~oI;TYC+f7YvD)?$}W+E+LK=;1^sx-@qHmHiIe3 z2=VLa0Ucz%u~0my2>pPB{`b{Nv6r36$$YHPXLcej*9XqH|8JymCoqbwb%ogkP;R!Fc7+7l9 zZkBj-?w2?ZX1{^&`+BxC_)QVb0wV3A|9PAEF;0G>x1Y^{_-QtAu!=Zn9jq_t1*O?& zPJ=e$ftmOBlg5(W1paj(QNUBZ0Ghwge zTj#QLe$_4N^Au6fEgBWBi4=71ObLA5+nvF%xzKBO+ly5^)93Sg8u^w`2A!_}zqNlH zMv9rb?89aaluO2eOr!h9Q?+)ExFpCRfo+Sl2_WjeXLb;L79$rn!n@T`ZZEPU+u$ON$ohb=}4`u ziAN}ET!ur!DR*t`4i!!U`mg?+-VX~~BL}Te{3K4eTl1VJQA7er%d*hR;%TS)O{qQR zpJUeVxQLlxZxZ60*)tgh>`}(nxfeOMrf~Fo=mRw8o=ZjnF#wl;p0h}l=m0Ykz-sP+ z2O|GafzGN10ukO>e!gCme7Q@wFb&145bULl{A<&0Nr;S1rGJwf$MH#R8I`mDhg0gs z=dGy?8CkcB;esYlu1TUCixo&JzXxbvS1ZT}1VRqkwM_>9Y(F2)FbNXwV0|V`Q=8f^ zZIMdkmF2@5>!lCT=sM?aCRApFBqnCX@mqeK7gk{37NhHSbV(^1B^)u`?wcXHGh~YH z>ubPG!jQYGV~!RZk15L7xtpA%i)t!K#>hFH+fbt_5I*}h+qJ!jBc8j}0w)iltFc70 z$-|J3POWRwB^>>prV$<8!%uNF163`9y2s;US|G}UUVDBLsd$Vu4J$jKCVsN*ATn3s zYz@L!DPnwz626tD9mUO%-9GxA5arK4s9a+x1`$xsW~Kl0rx%90P3zQ=Z_}Ig-yvb^ zG$LNU>0}lZR|~`Y_7DxE9m+pGZFxJs`8yj&#CTL9mzL>v^FqQB?mgsTvifCgX~6WI ze$a0vkrCE3O1y?qhr(^&cae#|3S+~#0(WJ(1*DyYMH++zmu+d+O#<%;PJ9*@Pufl- zqA*M#I%@2rczDPh)$G49R-`1^4J=!Pd?T#4^Im105yvelIg4P zyvAmrlnHDm;H=tElpwrO#=dHy%V)v4V#2XRFqNFr;I?`u}05N8fml zS)i(XxUyB)Vn^UiVUvuA<3i^YJ8Vdnr?Ju$X)Gf~55d4^s~+OcL7iF-04>H*`x;^@Hy@uo$~ghtlQnA)IK*4fYrJIYJzu6im-#c zv{sBDF#|F_dX3Za>PY#3<~Q) z5V+PFW~GQ;SX!yD05326%W+mNa~#6AS!dla|E2SGa%4TRkvTddBBHI!ixb60Uk3u; zzw#^9kfJ-FEb?icotqWCHp<%}E5mrJln~<-h$NfDlH6lrWF;xYqAIl(XZh z`}U#N8p~y6tyR7sNBT}@&Ie)%;hD{HPZlQM!Nov&9fI^a5l`5!VD}Fo{v(Yv(3;rO ze2-hWfXd*SbyRlR?5`}{P)2`R|GTULPpplx^zZJ3JE%Wf+3&X0H&y=d^DpF4y2FyHYrC@Yx?H3BjO2fZ;EjolR7lldT|S~lJZdG<$A(q%AnyAU$h!apVXOH+e2DokXIciC&uZX;%ecsom9&q5g#1=ZV$Kd#h(s1F@V`rimUa1<$rl}z9n@d3y%&|% z7^rSvYp+2OjRewm+jNn$s}~s((02!2;k{O8D5#{Nt?AvtJgF6Y)LjiAs$6uQW4nscK*fvhko2iks+Uf}Pb(7ac)1yL-YqEikXi=p z@E#>j#faw307NOiv|a#zDNfz6@?IgDpx(>dTM1#h5t(_E)U6^YTIjntO5cl|m$ipw z@3!!HGHH;(T0)MtEeh3)=h{D9d1XoGX7cvEaB;0+d8YET6`c#sFX2&>9Da~LU*Frg zmP4X!UWLiugAvz49RjWN*=4>GnxCDWopV9lCPF{)E+Xk6Sto~Jyu1!p8Jm1figu*c zmGlN*B_DG362tf+%PQ{+B!|BUb-A$eOd+kwTQ+>Z;wKzpz50PTybQyS3;XuQmEc@m z2!g8d4j}e8=)H2=FKFny^^9=oQx;@Fq_rK!hELQ*Z9cL1j^Fr2%*MRhy>9KEJ0L3M z@y);Ti&#QNED~%mK9g6a;*WT%e|ax$L4_HQ59l>aXB^?fBey`R+f4%^%IFfAK6&O% z1?){<_|a7fi9K|GAH;-fj9lwR()ur-&}u#pq_=<7Na^QAyiwwn_B`l)r;eX~u| z+`QM1Nz=9K;hp~;*^VI*82tu=szKF+_)iBF<{}MbJm*`s%kvalY`yCHf`YAk7u@{( z?Z%5t4(%lOjn197oj+G5l|nPh_6{>H1DZAo1@=bY1~0B|ekf`1oixS+k((&gFb4@Y zM-=QtTK;O_N~VaT?kmzp4*_b&a)SP*KdN#h$0z*{Xam_?io2UA6E1VMlvv+;qnx%G zPx)q&mcX?ALAV>cgjD!RnLlx?M1et(_w+|`75rrWpD@WCBRn!LcMz;o{d5krU>uJ3 zBqSs`V`bC4ePxJ9S)HoN#CG|7=tPCdKI2w2c|GZBuYt0xm3|rgDf83>BR>j2^JVBB ztxpx)8in#i=`mAwqr!V3Zt~(MB%G@v)q`y98(p$3ZpF+bVym2=3m%EEeQVjy`c zmY(vuWbr8I>gV|R=DXdL*k^Yab63^+yELw244X!u@=KHHk>q@oxZ#Sc_2b6BPcj4Z zzvtiJW>Hb)6$JVoho^33;(f*&Vx_mIrq%0y6|OBR#51*}#K#ZDQO zIn~!^yUX4D-WXr&j5PR!(UvbM{dWY+M)E>gEa|y|SQyk1V@z8!_D0oRuOqM^>$zG% z)~>3pG)5M0m%(3kk$7=dFV+&``*gF!(`2YZfw$NgoR20$Av8l=ubQ{xE4 zPJ^?KO!q_&@kLR5Hid(b!p>DYp3*Baw6Y2$>uC;<)gu$>5W{a-IxNIPVu^}N_G?8B z6->jL9jL8&>L#O3NY|)vhK8~=4ccmv{|x%tU>&!*8i4R9k_0hg>sE|#{P+bUS* z%@ARFl)6iJd15$YxRxAulu)X9Z{`KAuZM*7I-oR;NXa1+&T8eg=fJJc8Tv zN4*LEl>Da8#)?`{h>0jZ{>>IPT~Q-Kz_SVtohvX))SL7JUvY_E^I+rfMQ3F>cb+j? zA76^B*Dc?mA?qFFLJvcHf45k0N_uY&CLyv^2N>xSUMH_SSV5q4rD^FPZMGR81IgdJ z-S-J5o-@zQ9am!yHzswB?Fh~gdEB?#*J=HOVFPYJ-fw@r(GX`7_Tm? zZ}Xz2Y)8>3ZwGv@sXu$vFx{%G(IVZ*fvyp*UDa|4;ZDz*kaU%4AV&Glt;52ADmLQL zp<|$j2#AlijhWMJ(T=8P57bYEwXl`lAow6@zz!W~dijk?wWr_8({UjXiX0+0VPL5D zYXioq)ORk1kj2h`w2>n`{l(8=gAI`p~YPjv(<2&NgTa{2s1l-;q)EInebo1J6 zPYUb_%_58ckZZYW@utD8K{ny)w#Sg`>bicf^UR1TeLFJ${x9!4o@0svkxnjjfd8Uq z&p(ZGBKDP(0qOwD>*v|idYAl+`z+088Os{9*J517*HkuFEfic_3tZC=35)&`%q z%TT1yxxcmU1+53AxS5@tk6k-s=&3^6-o}52c>37QLo?90GrhUEc;5M$)vZ`t%g4sV z&Sw+<*A1G_8o7T*ZHG)7N%-`aEw297&YOk8ASl`cW=zl&5zROhTVD?f9SqG-= z>Pq@(VvTnnYR5DZ*OYZXy{ddflH1SLePZ{O)FRn7avw_3455NfjeN*M66gRkG@Pt? zv~W$i&&59Te6D8g6*Dm|;^iICfMxRhrClYJR6x!Q?Mgi}`zsySi;KKMxo!(`D3s0( zci55QAR7Tv*-9htP42&~Q=~OvF^kQp&M{}P<1g{6*Uq_?@AW$1ILz`@j1e#&xEyU< zk#FPEo{snqW1$_CJK>C&IQRDTCHvFQ1F+O@a+v)|z@#cGKE)i-OZN>EcoOj$ghhOB z7b7G!UZ=ZNGa21`_xUqr%#oEBu5zL9ZjbK{9sn%VTwjsqwu_7Kl6|cM$pFXz?aH?; zZC$7L6iy=!o`81qlg^BI$|iVcz~$h9$<+elHx3uuoP%7~cF&6G?30SH8W1!4n%P%5 z92^izakt010qlQ%2Ok6x+Yy9@ra%Px8KMJ)aUD9NeC#kr%%(%SvC`NZU_ibL5M<$i zNY*m%y)2zJ;Wx#rXtyub>cmzZIz+Sy%nAt8PB9eU8WVu^IlZ(F2C7Om2SA`unDCob zBbWl8PBuQ0Uq_K~Oizdk?X_dh>~}Y zaFjIQ&$N0t$A=Fu^98}ugZ}xthyuNMxni>g4ni&=x1kO^KQn6c9?#9y28usl77BJg zQ;&Waovnga)wE`3nfUBQ7&nWG2lky2@HQ`bAiU6$!tRfAzjK`KE{zI4oD9_~TY$%S z2WJ4tW#&>}zbY`H3|q7yt_5by5j;0WC3>ki1rk+c0P;H%F*4p8luxQRr+h=DIM^0} z>!pF@LM~XLpQ$;{>}!YqNF-rhnv9 zF>c_?OX^`jR(rUk2mRRl@OoNkSq%H=oh?qo;#4+a`Jdp^uBUjN{g$2loSYp07yo4k z5r-Au>q;++*sKK3F&x9z{AlFJ=&SNV!VE^r8Fx+7>mO}PHvyQ>1#`dV60pR?p*Fr= zv>y=pm-Th7+jcI5-NM{6)I;uhe+~k=Ts{$xJ>j*iv2s1S41FL_o2tusY=3p_9^|(% zxxadm(zMc0{=VEMzbW;%aI4*W=N?BmmEdFjQPsE{xg%_zVR>`0McKf-Bw&G8UX`>3 zb-t@hmia$k0TKBhkb3^!ANN=Ip=PLq=gRD*0Rr?zX_~;apJLEyyp&!oGwG;UwnpAL z>u}xbFS!0@388}*^KJRAVIpjR)oLmy+UNpJ+T2vDVf|^4RZGzBeA?{4`tg{%Xv=1w z&wA$8@*i#T#>pOxHMjcI@+18ilIQ5KdFZ)tX_YYGfBgkJk2`ketM+VLH;tnXN)Vf> zx-woeqs6|mjMuqsqm$UY|Abt7-GO!ht}-oPo{%e6@(mnUlcKaJhdNR!J1hNdSaxBO zFy<#p>BzHi!ZgevDv=MF(O8QM18$z+?cY);(;Gje#-=ih-ns!JEw=4+xc~Ujlh+O` z(YeH;YX-1OzU};h-srHARDqm(-B412#ugl9Yi(^ko-aO$7?UBso}N%t4mBd_QbLI5 zmoJU&#AS?Nfj(Tjz;CQsIygyyBtm{BgO3%dn8pkn%j`I3cvyLR40vc=6K?@niXI!! z4#c|Y2-6#p`R{<2h6MlXd+@G4$YONE#1EEQHhUng4w#&=n3C}Sn74<>7&`J2&Eo)w z-TxaXFJrtuSy}lafNkix_0vcbu?+Pnp7sDJ)n(dbxlp;D=~vD6*0gI(vjHut`P3=|k<;PKa(E;3 z#wtmPy?FcQ!1_#suk<{sAJbwePaix}h+2xSqX6>-sPsbl}l- zk*6R|WOaPy$Ju#_2%H2Y?)Wy$+d@H5kha~28kK>cx`gBG)DN(NXHl1*{D>%?!>q0+ zEEYN00Lk!=7vKa$pLg{B2FN5Sa)G>ySPP_{FGPMlmrHT@NSo2~iW_Ytx;XSa z4)851)(UOhTxO+A>0$@u=Xj8>1%&)GmLBOHJ060c%$DI?FicZqD2fCoIC=D!sjcaY z7Y!NgNtf`l?6QaK-8sz)`^m$?vz&t90^~t{@_rzAhq_TaD*f`lwhQw(AYNaKhbl!n z6&Kt)Cy1c0e6FXwMa-(BesJsT|j+?=%7*OE3V~9luh4 zqRX&6q4iR2ol=N08AC!6c_7MVLpjEU@P+@O=`4fV?Ak3H++B)Ga4YT(!L7JMfda+d z-QC^YwNSihAryDl;!@oG3Ruj5Z@Fn`wc(O>q^HmCrWay7!9v zTMCz8#IP1MHgNSe(o%(3#}%BS{>n(fZKqc~NYqR!kZ(OS+9F@|ow@fjyi%5xZQ-;c zVs#07TTy=MYl(-dyul!JU~10lj7)7+>VKnb2)A%QnR%><*@lZ~=U5Fnx_pbT@>IY( z2Z#~JaJKhz-IE0?>6&skuSG9KD|=9#Hr(@QT3cM|$Ey-gy_uYvCLobb1joz{R_F&G zoo!qe)Xa~6-@540peQIbtDyh2C19yC8CGdz$Ca~}Gf%v}?iA7GPhP)9Y`wRVxs<7O zJRJ}hcpX5ZEHn^TNXAm9i*iyY#CspdzGUE1s8tumS)p7pXWtU(=vFsJWHUK2A$VZX zOt~AHr?011uW{Yc;bmr9F~{%!+TJcKMJ@F&7Y3wC2oI>!w5km-FIskuF*wYe;hzx& z!9(n|^wR&*huW%|>$UMgeB9jZJUq0dI#qiU7R_pP`I&h>&sm3z9qn-2Mh!kk(<#PJ zFFpW@u_lP|*1l~xdxCi?DiR7LJVm5`8*Tie@^Sjm^D-=Qo8XTHg(IA6(K_a9I|u>o23QO zzBM)oyZJhO2Gd55&H9}ga>lqswzmnx0U)oFn1;QheT{K>SykVJ>Dzv7bnrb{9+1Pr zEg$v}|Dua`a}D|Xs1)HJm^zcL?XW3DV}KX12UOoxSW3SiJ==h*&(e>fl%Ujl&N9df z58PHsxDaS%%ed4hsL{yq$y?64lCa1mD@abM81{(5ckc`KZe=7oEw+Bn_vkq-A%Ck})YBpz_x*T#_?XrYxZI2&6ANoI z@FE484$R@upDSE*Y8n{JU7st2?R)FL9+{SY=ohE1Zsi>x9h<==I4r;E-T*Jm)&r?2bDYXXU78V zubpYHt!&S{8{W(E5YL)@FHm3L<2FtNjY^nBf|MEkO{7kCW0du+k3bvu9%4X4D5nEi z;$rn+1q#1mj_Gt~)(6`ua$9jtnV& zk&c?RQ*71p7M+nRqXl?7!Wk@rB zzF#vAxZZo+*>UdL=zM=Zy+7Ybu`+a+m$J$rMHq>qrKJVY*60Ih1<`R(Bpp#f0*-Yi%^ZiZ?^1gjI z3B`K({4i-60{3fM?vSLbyN=M2`Bq zQQfgpQDTxEx*5k~n!XFbe3KR+Jh%k=&=9Y|M@H_8@}igPLs?=xOOe$xIP1RT1k=1y zrlidK%jER6QHl8{)O*Ke_YYxv&+M(wtv}1e-TxB1&P>1^B4ygQCHc{|O`uE}3bfH+ z?7L^bFAGQ*R;0g&jrL9caGE5L$x@3>)dC!mOtIY8ub%?inH3~@Z!x#F1N+7oGgV&S zVzz&ex)|iVj;d@>JJ?yYLV|);`LYu* z50q<$YGZ|rwai{ADLqO^spq?e$g9}GV1kJYW>bQxlP3u;|5Q#_Iuu8y;>O~%=f7LX zag6z>|B#!xfJv-mldQIjYg7I%*UWs{#YQf~xfoDMB*{(woJa(L^{c7cq$NHKFzsq$ zxy#sAr(z4f9UogwQ9uJfMU$fJ6cRI)$p{IgcD&*?4~Q=zJ3Frjoc~sawXFLACW?_q zqx|7!VF3dD6d@NA!&1kr>J3HImLq`>FK^4GBIb4emeX*0sMLL@#(H0dVr+0k9xrG9 zl#vd#z4=1sIh^o19fBcp8_xTyb-{YWV++P({b^sX?mi>n>LN{z{v1x05}FdO>!s0- zj4=?(m9o%upTsf?KWG|*PRz!xduF-Y(xYKX(DU*jZJ}jlWhKK%;McF8rg?L#gl2Hm zQZ@f5vsGjlpIG8%Av!q$Yq z(|TJQJP2`7!t2uu;NyLu$=|7|KIhMTxHV3N-`v}TN3JECLYMN7A@Nlq53iQ;I&FVD zDD4zi(R4NEmr6ekO-{%_yAXTV3u0=R?UT( z7Cc*T)n7yIYT53Y$I=Wx%t1)R^ut54>v|!P3Bvbzsz!uQR(~uBNaNLJ9(Bdm=(}Zu zNKvip(34gmx$*!)gsy8h;+#(5xM|LVsi+Mg_GC$Y>`8S|%vg80z~HNr2Z+Pi<3| z%JfP8POWZpIq@+_7U?Rr_U(xwYC8zsYr?JpK2(Oxue8AgemgUYR1k#sHw)t=YGi=~ z6HoplM#qv_lcR`o9GwI+88KcrU;FwO*TMXM$?M)_Tb|zQG#^=pI!GGo{V%z{O}A=9 zU(+CDHj7(zd;g?A1=B-^msnweIN4cAP}PF3Zu0k^SJ|q4TYbktAEdj((n5KBsz6Uy zmnC|DAjKYo&81t*@YEF&B*B8@Bh4Yf^m>RikPH>1YSm+X-$H7AA z{w8+#KJ%)X9Q;+3csJ~j-Kg(t4*7V6svOX%@gas*QWJ;=TiHcp^)e0_RHpj$%K++B`1$atJ!e; zOcIt^U1Xz6w9=T`or0Pz>1a%3;koW^b%0+xc4U8EvIzoH<0sZcu;$32bnr|j+Y>>; z*DyK+E5jMn=^M*D!otIuE0Qf|XfknWwZjf;y>eW0E(uNX#^B1lgBIV~Pt+{=vT87+ z_GbRY10sE03;+PKd|$9Ctuz?a=R9DIkg_+E1 zzD~7_l|#?%;~1Nom`54!T-Z2_yYwq&bnePO9diu3;&0yteEmCXqRNNKfT*AiUD$HJ zt&wEOCEUy>G8>J|Qv}b633|Prkm0m}e4}Eh%032PbW%4j5;#xx>NJlxiYWfmj&NHO zjG@@BS~)*+n|`4Ee=h(=ZhV7tAd%2qTSoxD%zhLcghT7*Llc=g$qx@4ZThv)BvXEX z@sBhdv*Tp``20vVK2Ul4*5CoAKr~Yq=Bt}!P9vWMfE{e)vTr2sDgi}tOQ7ACGa3v? zUW$kucX@v^uAv;lPvjH^97dJuPq&(n{fQ2r;xwIuh$6NMjQVDmdY@J*laFk$f1~>W zxWMV=5O=re%Zdu) zM8?_{(9g!kLI&5ajZCjJothan%>hjX$V)i`jlk0hgjiRY}hsnO-%GQYd>&1kL7MpAwi#AAkp z0)*hI%@lP7Y|i>aWWphrjC3jj)s7jNpI7N6=3fS2^=gGr(sMqFVM@a_JRm%o0~!k% z8yPF9CCf!QR50(a0;|{H?QPY|dBFB`QH1hTu6tRG5>lkg4GOdxE*vM$-@U6{#94cf z&&$o0p6zC_q$fY2*ruAJqON9UW+1P+%=bCBXD+wq)48d7SEQq* zm6nm#JL3tgMA5s$qo4r65^g+i8+yODVQg{=ae)>)3zw;t0X`CAS3p)CR$5bsHqho|&w5=WG4=gZx6**Mnf1v>bHDP~?R&}HUa4G|h%asy9ug1c!Yq-ufQ4<8)ebz&+&d_vw&boR&cX#U z-9Kflv9z-EYNL_q4sdD08h7o(U{Z9Ct7|eMcwKjoz`LI7f7HkpjndWzf#ip`L7-Un zGp#Ybn zH8=2jr9qUSg;$n~yi(~LHoL*<2#*GkT2?*$wb?fEyK}kkQMpwT4(L1AYpU$=GCK+k zMSL8(OC-%ciJ*lm1wi!bRYuPy7{{eyoY0JMpZ-^-<{>ZmU*}QPp|_8JuWi-cV9QwR z%JE_fr$?P;Smm zXmVVaBiaW~x!T{~9jlkXH{4SU(F+*Aam)h6!Xq^b#JNpU!if+TtXVlzioVZS)H&o? zZ*&;{f+v_%03y&F+Q4xDEOa4#kk`M=BW=^IT!~cXF|n?!RD7DaTd%`l0nnZO5jrG- zh>uEwnp}=lW^AES*9IAcji$rlh?rqz^eA^G4R)P#neG)gM`Pq}N0)yE&)aS6?UnO> z3LJ9SG>=b?Pu_cPJcSJjO?fEBLYgSFm>k;l#wEhRU}*^OSuk(%&bZ6O-@j!k<7iUk$Tjn-Z9UuGr&85z&wcVm;o%rH{U<^e`az;svkcr5ofA!bGE z+qZyckEMX!=Pj=na;a;?%@+h5tp2Yxm(??+WLZ5NwzVR#M8`;_Vl7HF#WN$lJqSml z{=1*5NvWxTL5}8phdOKGmYC>XYC7yJ6fp{gcXqYe%|D+!&eX1es-tzc}87JLC z+=awoo*53v)!H8XVn#FYt)2$i<;P+<(^whm^xZ&ycc}W3O4Od(_T}x~_bl@_Uc8G) z{+~0+D4sT$0UuxfrR8;}eba^W86nq}Rn{KW;WdC?dJYH7Ne3&K?z&aS-BE<|ZO^Tg z{|ug6Y~c*0o}%(xHP*GvI;(?Id|_QlL?}#m-WoHB`J>kGyDYGZ`AYMbI<5FE*Brqp zr8?c;MIxI-#Hyq3MxzP6n?ulg-) zw^;i=x`}=inlu$!0>CD+s%1e$tGl@q1V>@}hYC2YEnLKYz%cb9C19CUn4Vr zZF!_OW;Vz2Q245!UdL;j7gy_Gl76}`K*bHVHZb$EEG2Pa;NzPfwb(Aj!V#Q%go4k? z;8Bj$pD+2#NZrUiA^p1cNAs0r!=C3nY^2^7@dy+9a)wW|F42>kj6^4wOCj4!j^O7! zj2-uhxTuWK2E9*hF&7;+s`4BOxHOjCW6SRWPa6UVgo6(flX$sFT&>}m&z7xkiMx%@ zwms7DujmEJLP5rFXPqKO%g*h>pO}e5 zLEX~5;JfcNIZp9KVCfVOz{bNPAlmCVLX)AWsJQMtX2;3d3=uMwj(tLCd5^0FdO6>GQ{H4JN5yRcF3BNW>neWoS_veu^Tm$x}+nvl%-4~-`@ zQSCnN5!1M%K@8QKhSonz<0L7>k2hvm3sJRzXqn}!@+vsnkHPyt#|(fhg1e*=$j%k= z)8lruxoV~rmkx6`rx#}SR!Hr2OHOo9M&uXu9aUfU8(dja2Oj28&{!xy3?CUF)aj{c zmpH8CBkk=SW4$C{n~IEHF+_Ts90O}Gn848jEM$wAgaT>~kipT7xT76Tg7qTD=-Sol zz3jh@S)jsm>GCydK{|=})C~wxSEoB0e$T~GRoE!l&jne1(0oY$J-)t}ZE4%(sA>RG zSr+`m9;w@b;v1_lL*em<$=Bjn+&or*Qr8U149esC2I2^hWzXUUdxo{95t<=lEq4^V znvZyM9_ke8r=mB`3ri<-I%dXF0(-wQR!wHCv)c2VaB`y&s-RGg|7vevwr``%>N0s8 zdGcb?0ito$EYA~+y3{OldHmDSC>t_5&i#6v82M*P()jY{8@qWoYs@$Br@^_|!f9+u zN<;63v0Y}1I;A$JCMD%I^N?>Hn>}`R-u{1hI1Qub?TW080fD+6S(plrL+WRLWu$Uya0MgGShA7Gw4BY! z$WNzX>3gE8A?7=6w^wG90v|3urBnG}7Q5YYc^0=EUkDlaX<$Gi{}A#~g-D$=Ay(Nz zSB~CYM-1GwVf}a^o!pTzKjMVniGGnm#T0*!L*pL~|3hTM)t;PXyJSok?Krn=3*J)|3fBM_?-mPNQSPVdE zgBUfSbTi&meE+TWz|H|mXVCMS2{G{GTj8eVEjgle)nc~LL;6ff2~|wYhE~m;;TE_1 z^X;+EdB?^5+64iT^~FY4Mc48~Q*0OnN>H)Ev3O9o__&@&QN zK$f+yn}7I>YW-ZNr2rxma=k>#5YiI{2WxXAp;7`VlZxKG^B@`dq?q)i{IuHR6Fw-S=rF?Yj%0F$y7J$Yx{mFtAj#{tnfwy zBM{5W_}-}Hx*aD8HK`@_FzdX+Sr(PLuM_3VF(rWQC5~pQ_udpOzSOB0Qm7vN5-I!e zT!|hc8#%|hv34$+XTg%kHMH+w@p){PUnWv*A~{eh5l&2!j{>C;AU_?R2#}pm!y&}P z#~dz9ub31(R_)SoIhNW56ZFo;0{|vBdA&*E8nKgRB0bN>u!;|Mo%f8j$?_?SxN2^HeY==l z#z~G3MKo{tLd6f_07LrWTAV|mhPd=Zp_^g9Lp2u`e!)wo`>NBs9oV0iY@tayR3lRT zb@8YO+@dy*Z z!-HbI62*LKY+=7d{`d&3M+a^S=q`LzGgBU<904j-Lc|(_BSU#JRd#07)g!+osRQaR zBg!iVAZYCt<-cFm;Tan64DlRXs>#SAWq;R89rh;1hyGP}xs`4Vm(I%>@qS)@uD43{=xMTDI=2y^rZPY@VeT9RZXqIl^aw*u-(E)%NL=F@bHWyXC zVFPObEhdOwSH2?ua!4ix;|8(bblDnTtmxZ3nbdak79T+c!CMNeCL$Mi+}3vTaj7x~ zCgD)Ynwl9gn`ajsEe?Bllh2=cV7iM0w}QYDN8284_}aVR0L{y=&vBm0CQw7WKr&o0xgjy^TMhtankQEbk#mDk=_kD_F7HK^)1gX&R?9 z$LwfAvawK4h{~a#P0;A zjX4i~!f6su>ezq~$UzCgeuKp+sA{#`-*S2r3seQuTN}-}P@Gxf5QaWP($HV?R5Ks*lh=iEf zZ*1-TwfWi@6>xua!ZajR`>bJBdi zd7u2Ls`A_I^3bSP9;WORFifM>vm7G82v2TmtSF!4Kh#4vm!%*u;8jPFq@3p+rYvS} z-EA65Z*Rgq%PCdb*2jP|$5d1lo&+w|nWy}VklW#qLW^So0#LqKVAptzS(BYAX)n?I zh@+|aJ1p*5EBeAztk0Cu9Ac_q*jV22H&Fic#hoYF!aa+6sp17q)_flcW0rvjHD5yW z>`;q`5#|t!&Co+)UeQjeiZx&c9Bh{Qd`4_M*H#u737?8E`>7+)rTBwY9wBhjN!42& z=}l(Y%}1)Crab48Q`EDAcNv#LVmMhJZ5%T_Kr$#Pk+dNHbkqByO5P{B7r3a&5ZG9} zl?fl+*l2RAZ%)ED{9T6`Cy5VS{PhytNI497qjhodc%+JxiVRxdkluCTD4_~5nKdwF z+hn1MY-(G~1n<2Re=V5jKxVP^p8dO9XDX>ohVETdz2LJ87vSG8#P>bZ>0>M+~m2?S3uO)Ms5I}+kv*CszH+_ zYOtH0%9N`#Q&OV#W=IoII*+I($R#L^l4)PzGgiXd%}Tln7oNvv;tvm5qN+j$${osL zREJejW4GYq)e~Lr9lV&+iD{RKvaq?oB#`b!cpm&jnZ|Vj~mcRDvS12oYAAY|jC85=BqbrQxN~Z!2YQO}jP*a7pBh-?ibp|dAnz+HO z!hfB#1x#Y`M-g$!|4gIVgsN{}j+g<3L^#TuQ-1ta9rnGQ*U+4~lC$3aOP%!7WGCE> zJTpJfr5?3)R7$tAiG*Qo7Hfa_E?5&oFZy{W4y)gSr>*gOXFa_X2on`RAw{;;$dTH} zSc29U?YNL-z3<3p}XS@_OHc!kM3jarg>8YmT^bQE)}$ zUKO$AomSF`ys}+x^NyEkH+HF$E2+7e&tp?d*ML49y^GmjhCad#EI+2_&<&aRW}Ba#$-*HlRB%{rBY_QSRz>e) zoW75bzhvvkajR{zEo8F7csTXF1)CosOdND~a+Dh*I6YVPi*IaDfI#+#Axr|8lWHZk zlf^Ps)?$~;OOdB;7S2h+8x=Ahp~TK!v_AuP(n&PF0!4% zdp)fz5>X`L7zwx40(J6iiY1k2c*zE!OD3Etd z+iW=Xy?v0yZxxk5xT!cv;SC8>8TOA$t=AhQYBRGyXQmc7~ ztLKK?Y~stp<3QvRn8%QDGsI>a@lJyttSAOx1`GyL$7dx{+^y^z@;m7^xs1m{ZSPNY z59*cVZ)iF+W`&(hFanG`7MK2APRg7u|0v0JgKt^e9kWLJnQRXchgvd&5}~kyH^QFT z(K1Sk4WWRc-}Sry=LThw;%-QtWkWuNmSDBtd<*->QtVwVa zJx`tDm%DChBRREub|LoYP+43GWRPFA>I^&G%|_Tf86h5r7aWsT5S=QeY8WNiyp_iE z(3}eqa0J$;I|%Y7k%_V)Vm+NH^qVdssehHef`-Nnp^!ji;8PbG7xBin;TcIhXl9mo zO9TaVYYi|%XK_P+1(38iIf9n0TinmqXS`o`-On@IU-EsrHjHLYea?%*Od$H_A?6y^+(#9-Uo z#@caS034Yy2UgD;ivvtxf&bf7aRU;C1TX}b46UwomF|dmvaC2Fe`ACc;bNgq^X#c( zacBLZcaM&;J(hmV+16Jv6H9#UD4f^X>wWH~4jr!MFr0*+ z8x9(0!TyaMlh4^3IoXoI?JzsLnroQkN<+`pLBvO|x^MnPyj40*=~+AO`R8MFatNZ} z=dt2FBM6k0?rrNdX3b<=;KR4c?BNFw6Dxp$<2L{ zm9)|xaef^cT~2;ODWWgCa4_PF^54!p51Ew>b=8>YL3~%gfE5Zjt=!rs#wv-c7{ms| zhbLABFvgpiFLmKr^=#xdWD<*?Yf1~oj1~&Ux39Gr`#RYlR#7;XT{vzAc7jjNs&nTv zScdeSf)fJ~pBHw&HfP!IMGLOcN(*9ZlcZo~Sby8j#NzVCA+`~F3?3G#SUOEJuloEr zCkwZ*)+z!M*KZ-tqMSvDC@TaSlF!p9Cme+V<`*;}3f?C*fH1F~R7Ax<;}W6$x{O@I z6MT}eT;cgI(Y+!Kib^H6SG;|U)Ef$|Ec)`0Ny}pLjbpB!5l@AX9}b4-O-7>~ITQ(} z=;O8@!;pGK#2pG#c~-U`H=-ml-Bk8BsxPTzHqcNfs<@BKhB@ zyq2B|md1ER(iC7Sc{>Hljigwy;_?nLknG~Bx=eW@L_!<7JbIf*;*sC|gOdLt6mM6` z5=@403ioe$nfeP~;1R9g7olj}RRxeCHBD!pHy<16}#t>A5ZHm{3ZFqkgReE9VPU9VFt8N-bhq{nVsJ)4*_Y zc<6KQ3*>(TJ?JA`P=QPcgoWQumR>(0V&HOql2T2FbD``!Wa7em&Yxc8sCG~2RqX&MWKj7ovnAZdx2ULr)-6C9>3w^R}364s4#_P_sB z$ISkO0SLxI07T*s{NUxi+f+hJo@ge~(<1Z>SQ&63OGc8qKi3^CUJL1fbY{`Vvo~WF zzXF3rI0Bx++XkJEgF-M~6GUHN642oQL5Z%NgC?F7p`uT5y#BAoqE9_jz8Wf2n11Yz z$>g$PUFp4KKFB#eO6J19#e~Npoe|X+`;GA4%Y2Q}x_3jC3Q_6wf32fM0iWzAvS`uY zn~V}P=^wm?l88ClKWl~1@=EZeWaUfh>WW%%%$e7p7=vuzTW9y1f{-F=f~8L`f@MP? za{wnR&HiyQ0IixL3Og^H%EmBZK4EZ~-VJ3SI?i!clWZV?3-H$zSALNO*PAIn( z{##^{O~;n$A=kG?8k2Cc|M2fVCprJ8nyn*(8~k)bNf)>+=NcX}@+s>66rroLnc zQ@?A@?P5_#+h@{ZxBcL))!l9Q;xWSLW?51NN((xn%rsSl`RkB|aMJ3wW{O%X?|^I>GBN)LRakHaD?+g_(Z} z7micfeoF;TuR+Q==4P4lOhBe&2ZvqV_9{%s1JwYm zc$I&i2M;|*hD&~-iTCjrM8sqZZ!ZEEEBrhn!h$aU=5Z;gcBs&WP9QhuV3CS%VO{ak zY1NNrM9dwCdyst)4MmzL5DlKLKbLTZAOvxeox=4~R}2aYI9s_Z#XEqu_$n&O((kZY z)M@OF;z-V|a}tUIFsxiaF%UI#$whOqd{&>SZ63NfT%&FXx5z;kP%(oMvDF&E#6&K{~j%rpq2vi1c!-DLG%) zr>@#))$pId;b`#@gybVIE5|d%&C|o}b^sx*O{+V!nbg9?<%e!2^t!}uZ{%>)cvadf zLcu-;i1^N-#9zF6h(1!O_?@WtJ`S;h&D{#DCOi#=r+ryIf5s29YFne#iSd*qhsC6< zK0t{>K42Up!^g>@GxQ3gqyku5GXiI>Ql|jL0D^{bY{2Ud`LDmmn|HH#yuWulXkudQO49mrc`BbshNyNf?5y4i9v!JwfmIHv zP}(-44~URF0Zs}6{QSUYK!X(en)Y}~Xb=OrA+A(v_~v7pIA*DxT%fF6YG7vEAAz|7 zAhwdj#vf_D&eY4#nj==6L%m5^C;WP644rZ7GH!7{LF^IPk&HldT}D|rv9;0WzwT{o zZ~&XS2@ZHw<4(YrqPp*Xh}B6!kp|9JRDkWhk(8q)Hz`!V8x+O%0LzuPTr zDhUr%o)wAQw3?m+^X8&j9KndBaf7d;qYOFEuGv3};{Ga~`4&2$_tqVh5Cbb*tY9D) zb?TB5_e{Qvoedrz5&!~CmxBc@M+U~m#*R-+1Uz^czl480y+FvL3$}+|o5w#RA|fE_ zV??-s*JcwKAlBJ3YNbeXn5heJb!l0R$Q}n2n=LV+Xm@rv2a)2;PERMAg5IVcaQZ&^ zFS$S!(vMZM1qN2)S7~A-#5IBh2d8Dx+hZp?w^yp=(6!*dZr1R+ie?_ z%j4qlvE4<8SI}q5?_qLsf`hZjr~jt6ZG()?Odv15rFGk=_AZEpe0VKUlXc4P++~iC z2nFW`f(6XW2IoTJr1xtM7pQKC$5AT2kj(4JX~0vO=z9vQ@4}d`c`$qkG?eFs{)ffX z`NqN{A!C+qc9!nbo=0Myl|a5jJoA*gO|L!aZ6~sGcB5$Jn>+qZZ(McQ0$^^R*ls$? zugnnYPQmf-v&M$N7{zUylb>tN5?GC+P*eBVX%z zd!-Z^@CA3sv~3MiU9n+5bDB2!#KMiKneeTSD~tWfHp~EaLd%ZlFE?w)uj~o{Vq^=g zGLXxb{zcPKVz8KbwCVNr&iFkkUH|!OcQbe6KTD2`x*2R>oIG^PC<|&0+vp!^cEYbb!)!8s zgN%zAR>0-@60KRsM0@G__K%Rt6*!RZVzUtdl@g)iil|es(F< zk%r^LOyTb6=V0#R{$l#siGOjZ6&}Xb*Ciz(@A{=_iRV2TUzzcbEv0~HHiaArL!1Lvn zm`V=+1oHc{jXd3&HdFVYtZ<}AjXdPwtHLP?v3&FFcoD>EL&+_(`mK9o&%axKm%`&} zxcghb?#_5OJ$L&NFd)G0)4JY=^j^P*E#rVWv|Xwaz-2oVxu}rPy65xl)$vak#CON6 z6;bHvOa@p9+ye^ed?6TQZ46Qo4?_s zqXP;J3kx{X-TH_o_j@`w?0TtYctt9^b*ZgJOBRTAX$=qM-=lSzjfkoZ%DsPUg|=^( zYvSPQ9PppzQ?iag=%3?U0N5*OwRenjbp(7e#41jtH>L zfzKMB{O)pSbZ*^e|myxwvH`;Dz7px!#>_v=`PAnw` z5th{84@@9dQzZL5)9A(u6*L zU_ozbHZttPKe=?8vhwQagoK}N17+6fkpzqde!v6xs`+^8b1K41O( zZdZ-Ht6Nqr6P?U0`Xew2T-7|Z>FeRS)UL*pW4;MvhCL)AhRlr-tK|d@&^Ef#0kz+? z>boVxMiP+gB4+XD?NrJDw|0zNpd}9;yCZId5@H*wi&rZu|Dnx3nDy>->gxkG3hP$5 z!IcdvTharYFOJXj~m_;S(7MC?5Hm+vE_ptysiY;p)Ua>`JXimYu zP4u4*K1sXBqm5OvA1Hn7K5*oain8Aw!6f`#1Ut%6d`aEUd)Rtw?tK-o@P8f3V4^A6 z-cCj3?#_mPU(2o5GPXHF2H>lxT&#DX05T0VF0deUhvPk-F^J{MyBqjb<~AH&&IQc# zkn~T={bF+jXe|V(EjwdeRl)MZv0`F#X+d^gc&G=X#)ku92WwaAGf^9{6vLP; z2|GxK+8-a3E8=C^vjGK*j~=EB5e$e_F~6Ya<+_gJ{5BhZMG?ItvdGUVc-b%x*zm34 zoHq>oCTIHj0`(3*Sqob%x4F(p^;>g=a!xEs0s)`nOqf?b#I~=0_Upj3mNG<<+syDg z2@8GhXH$OnBgC=mAy&_%$aSY-QeGj)2;)GgUh4Zvm7Whs#7`8s6Y+6Pp8qi#P@(x& zVD$1_Oiv<+`S?gDdgV9emmdj9ZI}!PrcoIff4PEZjOtDz*O#c5$~L_BrLL$c2z3ap zMc_B&$(l%XgDW2^Z6W!q562&7&giVZ|1awp+tq77!(J+NopsE;Ovx`gqie-F^LXVC znD-0!ZNu@X+c=0czdk+}UT`9i6vF<4Twz%&{|9kFj=mwFF-DoGwH81Y7rTDep!coo z%;B9F^nR~BG6MYg^DZ$y>LUOMB4*a=I;&&OtWgtEU5@V+<@8K<=kVCEg(I4tE6Z-T zONPjh^y!2Wc8s78ttqND7{qqF6a23FOk!Bw^;H#8NX$7z-OLNXk3H9CTL36PH)F^- zr`vio5^PnEApi8GyNj{}#1DP+eLwi(ml17^cj($>YmRnnmu<7ttsvXj^c>51HWN9s zY+iZ(;xFD9KXVc%QKy>Gs4!)Y@k2i_jXyO!dp1sPB%&XzwQ6muzbbHvFhZF^G?t7h zU}Eu_V#RgbaNk%1G6)byvKceL^=MAU0LytdsvQGi82Qxi2`2;|2MBQNlLJ=Xv z5ISB!I}Z{PGO$v}m^UH~5{2j-APPvk-A2Tbk z5uS6JcW}(zUe{Rb9Uxd@=AJ9Mi`~Wfg`NBNl)Zus{na1;MXQxRc<|t#{PmybS>~HB zG?ce$ z!jdnOf8vNka4i8qLl}Ujf_UA+reLlfJqs?q46&ozzkTyde>-MmEezdZL1pINb&po|Mqc-%XhAY)b9@B%Agu{v^r4Z0h#G{IpQxck1X_{>b z&I(eB+9+B~8ADL1h(}{1#ujhD<$mrHVR5`g+G=U_#$0K1PF=(<7kUc?kL;PqTP;?u z6q>k!8)`E`5hBX-Jd&EUZxK;=*Xt5^G#EibAXBq5g*5$Djwz~9U4Hpxis3-~7HMA! z0NqhUgvmzX>Q`UGA?7$Rih%bDs_BPx^+L6|#o$3z*_o7}u7^d$5UKA}jlRyp2!KQ) zGSunxdcCo+(XuR_^{nsy+rNEJQIsJ1go+D$lU&Ng5f&G_jvW#TFbg|zuHbI3J2yMW z!ehH9dW+p_pZv7f{>;ziS@wYse(*D2`$C@O8pc$cszS-Cu_pCRNZy}7;HI$&A_}ZE ze9}_t;z8{N7=)eMy=xcO!^(*dis~MC@WJCJrgrYyDFP>^PkLKk0YLBKKtRY4B53?| zuk0eRaI4e$*0=A>@@&rqd-6Ot)(}M}6+lGRT13AXu&}V;!xFE997e3oLF3RHG`yO0am_Kyz z(8=jjx83%wvMj83UC~Stsu8s>X+L=hzDb$cIWg7B!Cm*a`m$@ns>_R9gM11};F1Cr16XzlVfPfsPHpUos9uxo3yKf`Qf+zqV z`{IXw<^TMx^KXT6uxyc`Zrx;?oSs|R#-`^+wwdW!$}P9tuoPZ|@P=vFZKb@dpMj>N zEQ+GTl=r2nHLgu%DZH54W~P@n&QD*csegcIG}|)!p|I{iL1>;z6u~>9nwr5Yk2&8}(0#yV5Mnim>|_>+rf!&k^RPiN}M{3Uy#5 zU~pQhhGu#)8Om&)GP5Vuyuu1w%B_@Z= z((QJSPaf9*ZfP@#NLiHMy5r6#f9GRo=Z+)dj-Bl{zvGywCZxmH~ueK+{K^)x37z8IG zL^3-&r*jxe494RF<)31xjzDd^s*M)aVaG?Or#}6!|I%uApdP|5Ek$i6BYqLCEK4LZ zh%7udHgd-ucih?QEzB=YPM#==GSB+q77iFdlXmrX=m;gUc3-U=(%@bqk9y=~>N!^} zOhSMsIyO0Z!Ja*(a|TI3#1b(JGBabcW`HpeUGzy3mS4P`Nuau-?i|RkSEXatl5?hq zqx!PpvO01K73zWk7j7sATrm(@B}`iS)r32u#yJ^Xc8CZXPSCKlP9Z*LVG=^`90qg|;!lv|<}% zGtF?nQEL89J4`W=Lpd# zf)^=zJ!>rh5~9hhbKGjRtj)&8IM4H|zT@%7C#OE~iBFd$gXhr!%po8`x7#zu071p4 zT-odP=I7>S7fwSDdilbqKJ=+}r*ru5;aC6q>y0s)&5oWvkz1=kN?DbH>)4zua>AWf zw^>A#S*spSeS5{Iq=-?u?eCTV00InQqqcx^WynD2zcseip;{?{6bNPAw%ksRUZ?t{8#4KU%Bz?&Xv!7#w57}Bi{qW-C#EDaT3_%Rw?z5y_h~wyHW%2+3AOJ~3K~z2f z#2EL?k2dP2761^*xnh2PzPE^uUAxt440OzV>o-5gyZ0qtIF|3@UTN+u&fj{=jZb>= z(;Dp(2hX%z`q?>+YFl71sAuQ8-L7MvpYP7jEvPqxI;b6xo8}ql-Q$k6hjsdN;l!RS z&syzvs4V#N-+uFf3okly?8wLd<&$5y;j0gwoZLM+alxKFn!vt^-4C6d)EeA7zDrmR zP90S@0?s)Wxo~37L(`M{C-&$=e0qL%W^w+)9Ty15LnkKzPyjC6u{%CE-J_?ALMKi2 zf=CEMvEho#xloKY)1GD(e&&ZBlV#S=fJ6YXm0J;=4uCKqq9r0i z$ASPSPcJev01`r5T8mJgoIM2qyLa!RpqZ(PEXkY;5ZJLUXsWdZJBN7&%4m_o+^k+J zB0kHdhrNn>LE!tZz1SEdo{IYEFp+n8WDp&*ZuSY}m;d^s_lSsLEC58rmF~#VhYnoy zi1XjQX_Repx-IJ?+t_roWt*94mby*rSu=^s2_ZI^}@r zhj?KF3pTi9BWzR(@>0|b33Ke9*aLt@D1y3U(^%g4wNbk06?7>$n`RY)$AkD;Ap$3U zMbr9+#CA1q;ZF;jUec)ESc*m^KoZLkuxX=9q`AUGytS$N zA<{kRgr|;Ax5tL0Y_@fEmx`*vOP~KD0GK>}901}bAtjHffipD`0D;Qo=;GXh>y=rS zRm^lfMiWE?lq|D=Xsu=E*BiRst}#YKTxr8QW&|jTlC9Bt(0xGI=y(94odi75w8kQW z@@c(<1*|oZ93pzlIv4!Uk}&`%BF-`ThSSw}M8u(?p-V2g7!cVp0v4_$B4!^33Wgy;|utTB;}R`|MVxHGg%&E74tm-}rCeK6LMukGLEW5bdYG z@;S|5r_&~)>Dig1)5puQ{O#ZR?-yTkna@LAoa5t@CtJBuGvQDxehksgVBojy*B=dU zz+2g97u}*)K&RdDE&?JJ2T{khbtQP22`;AfEy2cPAl$578=iD^n+suyMf+G;mLje! zN>-=3179M84EC$fEQB~ZI;vTk7#+{^tkrH!9-rL5Z~rr%e(eQ&E+C@4d-q;_)ztv- z$AA9TFW+#zCh|vr{?>_+v5ApU5n<;&`Hpmk@+?p^a?hFMOnV%BXl7YiZ11`P%0% zy70$D%)uP;8IPpcF;2qcyHg=!N(}oAR5gX@ zjx%|^aep2-M0-!^XaAf$O-Dr3IVRLCH~UMpOllD&XgUoR&>(~Tgg4UUH0O=`HdsJ} zhFUp#-*{n4S7Qz(L~UxcQTll)iqY>UO)$&gmLCB3grvg@xPg7BdTgwKldL`c3`*Yzphx z>b{c*1prtKk%qW8#t4J3-!SfLhy61cHw$x&j-}Se!ktdX7y`gYKJwCQpZ?^}eeTOn z96Q(R^+fG36-0_4h#>^RvfG=TnK?Z>4J81=>t6dyKm3v(%v-HD|KXcIb<3CXyoJGg ztB4>5lAiR3P%q#dGl(TqxH7Yux{=bh(6Cy1w&HJST7E)6${_VX<}A|9+f!3h6B85h zVKj!AO_pUu_`v;BhYwG8yM<%7xX`uM4h;>x^P}%}j=}p6rMRe|{nIY?UiAGxf`|(G zh|Jj7h;uM8F%cuP7e!f?j@cDODI)Lr!Y6H(-TvU+d&hSH$i&DPA|c_axtSxUrT{=# zobboL@X4!>KJiIUeoDNZwvM{Xxcjb$4jr5-%AzP-w^wG?-gD30w|)DYMLUPsTZk%@ ziD(M<>Yw=8$z#V_?TiT7fwk1@@%Z?d4kL)ssRaSRkt0W@Cw5y6GZp3&n1q%M_3Eui zR2;Jf*|mF59QRUb48O_1>w80732WsUBCvDpTu~IgqUTDNUNvwuOBHtU#xGPlvU!I7 z<>FYZW4`|DUwiQnylB_1T>$XTcfISPi!S`0XMYa>y!JIe|MOuWo$oE^FrrjfK|4Fg z>>bxSW~gPOHeu)==tMXS1^$hW)rG!ZU}NOrsTM6Gt0t$ga(J4awLY>m+*;|qRs;Uh zE2MdB0Ph=gpg*zAAgAleOqZ|IGXe4yR0#Cf$qFu9H(8duudefM$mnr)pnGkyNz8P0 zm#p}#b&?gYY*nkyplnbB*^@EWa#5&qFF{p~Z#1k=DEyIh7m$c!$IOWIb3go8-PfgN z5&)1{qdWAOeN0sSA+x3^%DW#pp)&$Cq!{AXoLKYHifxpAZ%*E7;iKZ(4>w$wU z>)R&9zn78zJIz>-yS_c*|wbN|mBo;XZyV28V%#4!3 zNIdmOO?wCu6G?w!=El9^-$rKAgE8AKV6y(w#Vj?TXS`iJ*vKs;EuPju;~eONp%LK5 z!YZ6i&q-T3{j80p6u82sgqgKy)Jds_ogPZ+5;2E7AOtia-}B683&8c?_?keHy$V+Z zhNp=E7{Z0=87RH0$FpUo4G~atKVLJdSs)^Su{In;L@)*rtluXd@FF3q6{>pqWML91 z=B z5ho{)@7c3wbab>Rie9hx(2*lWugEj|{*V7-)^0uLNzeHCSN$v!856c#vD|XoEx+|g zzeB@A2Oqo}#O&RF(eB;5Pfbtfd1r2RHqY`b%TAn_+PinJbMDBIBi(M-TJxSy{WE12 z2?gNDsp9}}AzI}U{d3JWTbUZ)b z-Mwee)bZn;cAF6HK6a1YHDN{G^(TL$j%&UBGRxG|@i)Hp%|!UvE3bIM;~w{!>#p0q zdp7`_IB{a{-n~=Dk7rpvK0XG3M~)oUv0~S*UGMwEd(6-$Xyiqk*?} zVnV8*eBJWBF@}c^pk~mB@}UoZR7A?s6-7}xx476nd1|gKOZ6!hSI(9k$>WFED;S7K zSjZ5R;G>|4yyLy^JTZ0Rr+?~|K=9))e|f(@nLm5`U&Q|D9RJzx|G&)K>-FN`1^|EZ zyKj#7`h{P4eeHc0dS?2y0aAV8)BLZ%KKHC-9n-ER-z%GjuXwV)Zn8RZ+IM(m@bqkD zS-7?2DJ%J{@zYAHV(XIARWZ}`gmO#tgcX!7)Cp{M&sr~Ly1Bq~MK@eqmR48WXUR<0 z+O+2Y%LpuEaN2m95d@HoG1gjFmX4W4bY4+=uj~FDzrJ4aNAfH80O6%+tT6LkLSIjWar-h`9uFddv6}4S#lM2-g_h7`*N$y+Papk>ZkSSt7kxS#>Qa7j1OjJG@P+CfbbwN$P$te2L zFV$OBb?s|bWo1@ne&36@cmB8$@#1^m_vKzyS=AYRPW6}X#fuklBi?&AesSYofjYQ> z5P%q9zBqk}ncw=&Z+-aThZz8wgIXUQzKbwO2$7lg+{AwolOaRactkLS92aUi_(qpE zzhaxU7BNj`0RS{I0b+=6X(;$mGDMYgpZekv)vS!QhNwh#C;;-QFMZ+{KJdTZh_BjXm72Kbj1}TEwE+K)hqBaI%%l#ntym-WClEB_f1W z6a^5DZ`gI9{ zW~2&W0GDiyQE|1(Qdj2DqcPNKwM69V)w#P~`jR)l`SoA>`omxl4e4XUAdbCft~%}Z ze7n=`%vV>S0w~}wKlPWbq1K7xCqDSU{mRLi3)U7yl+;2(YFR5W5LcX3b`MP?LyUyE zl|t;1sCX}>e;U(%L}Sd%wp}0l!l&PG=gWoY`BO)VqTIV{^3;V>L*v7RwLsvAXWf;9 zN1p;~H&5;+qWuTXD0aCO;x2Sgugxd^drZfe$8F45)rh94Isjq zne#+6R9G`)xZuZ+JjKkr_usBUu3SY#Af%>|&iRukPwv>c!x;L+U;L?n+OB7(IC?s!T5 zw(0wd$S)!yYpt=x<(WAVMv$qCm#eC3&$kso2TNrDh>Ho0?|ph&@|UFU3bfXqo;p|9 z!a4WMvFA;zH9Rt6t$qFv|MO=){}=!Cdw%eHzwdj~g;P^gTefTgfS-K-PyED>zW=rF z{T3E>m3!>5$L_i3o@9xiJ|Ft;rAwEdIQm@gOQZgl>3C<}iK75ONL}VP4RI_O>1rb_ zBdvs-LLbBh1It-eS`9N@?f@Cg>J}oW&=kfB$mxLFE+Y*V%5s?LQizz_`pFyK!lL~$-C|#GCFJ1yB5}{8cT>}VXMj&g=LnG^M>%yv1y63Fm)Y|2&I%A zR~>rc@SEQFrk%TXff}d^gG3b{bc;SfzC#}oQqcTE7BqR>oVVHGC5xwEw zUDg)Hpayi+@3pAOLc9wgh=4J=ZO%V;ayB{ZCu4>IW}p&CNcWbB3YDh~a=MXLo;I-Q zHBYzVO9yW30@VHY{~2W9EXREMeN>K?VlHV)Ur)U-MMKhWgR;xyG&5b2F3+i4&gs(G zbSm+zE)G)6OWc?_u9bF4Z$`F>=g*cz)&I~ zu905D-aGMFs)tDvoDu;d0tf3zou8mO=+x5Yt9Eo>q+!jA;ME8~02xEDBV)|`^p&zK zSIJDZ0^#3CPJjIUKLqNHm&FA4@93HJx5UK;L!>-N6;4t0OCNgAsZ26tgvc+sBo4Lfe=K!XJD&U&R&_Fo0|__3=9Pol!ci) z?e^@<%>31>D(r86_jms55Bz+q)%wu?{h^P4<#UBC%Az3MOoa#l!)2MtNYzpZ04#Q; zMp2Tuco1N5d`U>;WnFu|Ak*>_UhPsvYmc{Jj1C>ab!y~P- zJ>Twhs%<-WDnKWqCyzdJ@V`8(z5)o*d-mR2YxeHlqc+hXz`~CoJ*Z&==G&cb9DBBM zUdw;<=(8d+Iy~Z;Lro(1m1rxgMw<0w2n;}A1PVZ+$4?y6Vfoa_!^RkE&B)Nu_~_{D z(WlAK2R{;V-1j`9@uic$YV_?vh`;uWzd%?OwxFUk#u_3+#u#(# z(z###-QW86AN@ZevytDkdB+dD_j}&+u6JvUH53%$pZeejQn}2+Qu9+o6sp&UFHjXdSk|l8Ag~;h**DfzD*3c!5o3(#<*Y36}sN3?G>B^Hd zN?LNEvX&!Yg{kMAjo}#f)Q*UX!ZMct;GAO-jBb>oH_xa5$C41y5E?^>LI|V^r@_tR zL*cuv&f+yrsq-R$1eNoI=zN#~RnC9>3&&VQNheX*(K5tk2j;%^=tDp9gFn1$=T1Tb z)tx~LWR4abs$(j<)Eau{>`+VXMuQHCaR-|=77^4k6+~D7;eBtJY_&>jZBjf%1Obg9 z&s-L!Q~9LLf`E7a(_ef+Sjg%IeFOjt#@ZmXN5Apl>tFk(xY_6yOB>{LBdsuPVAE@x zHkj$PNDn;lsZfs$9qONn0AjbP>7bOuyPPei^0~(?CPoWQsAt4owyS!)5l#v+=n2s; zWG^Lzh9xUR#tE#H1s$$bnr&Ce% zGMU6hNRoACW`!B;7b7;6a0DW;Q>cxnpFP@YwP^LsG#6Lbgay^xfc`~LC>IaTw02D-Zxc74Dsz zx2a7;*(ygyh86O5ijH&67*m#|jBpZ>2#{98Y-%x zVpv%9du50r#C}9?%>hqn3;twnM$DJe0Y+80Kggp>Yf5IcnVgJ1X&F;c7$DL;vXVn zT;-hW^~=$l@;8#iB_ARz%K}8ovSb#3;I%`0E)DvK+OyJne@eK^%kI9rsyfw`nGgTl zU;V&u{8~8bS;z~c)Po8D@yzVhZ~f6ne)D7hxvDBeglI~m&mlkvb|C@#gGjJ0$>x}; zk9Dy^zbg>eCuotEOc=MfoLaLCp1N?p0o}ep_QAOpgQqW|AGb|S}`+S?qjv2mMlRg&{r(|Zm^QHE`aF@ufJ9=x|IOae%CCu zoRu)sb&&eMmTULh*wq>Y5Myks3=<;uUZT&jpnrBZK70`o4Iu&=0wT1Qe&C(^6+Vif zFd$-S4aXfwo;fang9a=;bo>&B8J6m*VX=RN%FD*gU7fbp*rG_rn~220y$>ht!djc$ z2LV9k+zx%2j|MNt?-X}V_(35Yt;>U3OE7Ld?; zp1M5u?1@>bOznmvu~tpls2&GHB7heyXFR` z9PK5Prl*n#C-j>bH((-Us4kstAj?Kh%&blG*<)i5zGOa0HA9YHDf4G4nM2Y>CG*t4 zmkALXWAq!T1?J>exnVN-%$Z}OZn@|h4VTP*HdO#3Kal|zqdD13|01GuPPqvUj#z~~ z@H7I%uATUK7dINx;II-Ffe8_-s>(~|7`J^^xbZqK*d9U3j2bA`2$ zH$p_$c@%E}fcswlDny)~p4O8J?9}?$d2i81GAST}Xu=Zo8oo`kmcHlR?}TW3Dgp{m zF^Ewe$*W~(a9~>ZX@J53zz~s!=TV@R>Y9Tm{a-T8Qw3B#1SqwU=pP_G4r*a4QN0a5 z0hF5>DvDyL)!M&*@0TBX&{xiT?_CEvSxYT^{Et39JTfvjH}}&&|1VBnzF>__roaGD z7)z>5i$vSSCT223syGsoAQZ*|)+11xEJD3jNNcyx9N(|GRQ1$+zuw_{)UrsX?OIAdH^b!&gL$=fDAfhlk=bwDxIq%i$ z1+=~Q&a;TlC`4p>_HwElGsggHTD!&7!#oEw&&z!)g(p+kr6y6bLNRqR_>pnj}9d!0n+ z^hD!0VO+Oo=A>mty-^iMBtm1TEDWItn~pVQVZZ;|_gR~VCI;_WHE~@!rWk1!5SfL& z|J~0#FU(*~sJH42RQqB3i?4k8=iq}Y>cg8Q-Gby4V8iV6+NKR`dacq1GrdMh9h)X# zmyRnPYnV(nh+du<>JLm;o}A(}GNe;Ev&-+51I>mpW}~X9@)%QoytmHjcn+b`znUR; zMT&?yPHiyLyq-yPsUf-9W)3v-47tOBhV&W@Pd2A&kelRlU#a)*pv~fhHC_-FXbx8pL&bcR!JS$m`AOf@8wrNXnc5%YPK3v~???0IOwE2+1^Hdsb!z}mmrz7rx7GLE za?oi16AN*|e)a2V;*#dGYe`P~Rie+?1y4{U3)27qAOJ~3K~$`a_N<9g-2+coLQVk& zn4Q8R-Pb?oVyD*vhhCEz$u*qjTyJK&?5nc8H|IwnC`EBuS9j}+fQDqnY{OT;knt*O zs4VTi+jJ`r1oKh^W5|1sia;GY6#xX}@QF*z9^yVTMUOgxv1rH;d%AGp!rgblkN)V7 zee4fErgH@G!G{teT4Qo%3UxP0s$fzG)mYW2Lmw1t&>BR7@zFvn$Z`8zSy=DAe*0B{ zK)~qMNRFJGR*lP$Au<@fP9b57%{)30@$9cdf8FS`K~6W)^3n!2UHf#40#jHI%oL(y z(*{Lq08Q+%SnQi}`k9y6xGF)q6nLsZHhXWKGsoUKb?jzyOW!Wn1{KUkT zU;Ur{-Rzaws;Z73KfZU*-d3wsmgRk~evL8alb`z2-~Qz9x5^TuVJtwff*fhJoO3~) z%pd;@tq^JqD`XZjz>(SoYgGv&FXME|&Z2aq5ovuq#!$_AL)CG(YxjNu=~PvOYA7I` zPN&^&8)F27eNA{p0&qtP-merx>!OACULBrVV~~(pymM;5pfiC;;!q$kw^)<(zt{tq zy(gu96EG5UFgg~ljV1!xQyo3b4j2HewS~0+;Jor&eCpVt^uh_tHiRnhIXh=D7{7O_ zs&W-HfmcL-;g8sYB-=3KNa6yW0~u?LWDjHZJ4~V?)ko;EnloVqA1YQ3u)_hx5jHf|f zjE;@z8|d=%^zg{Yhd%Nj(~6YdYxm~uTQ*Gqz{Qzq062N^TrGhxZ;0>e1*yiZtJ|!K zr!FC_89ZHbDJd;|^0ZLozkoc68oA|)+$-C*&o`GCvXvrH)5 zEMc$&S6=Dm!{Q~&bWPF<3tjqUE8!TcWTw)4=tYp?iYFqHG2VOKuphL9`ZI<~MGI>X zg-}HN$%}1c(0Pg8cMv4TK8?$ZDoGJ>&VS;I$3(ywG{)${eDr)zMg)mKCov|nMqJUchBiKsNd5H@cq zlobdrRm0_o0M5%NzIYq}jJ3wv8u$_+VvFUf5fOIY>zewq6q}ncZIIKAw2ZWYP1iWx zy04e@(y#{}_*CypJfShk3?~<5ra3U}WoHSNH6R^C>X)Xb`L#L1Yaq)MgepPRgeD_B#;)^>-_=FsH_h+fo2S7pdq0Y4Yk#AhMvLm5)X~V-7~`DFtJkPf4oOq3@_+OE zse|%7>F&upL8D^10|YH3VO)$3XVZnwxrGNwUwJA=@+?*5yz{Q(HfzSYSJ2Mal=bO<|*cd7bYmC{ldDFh}9RS#Fw_p3}`^Lt`)XHFH zX69dg@E49BV%62NO0!_5X~lVQ8M&%TUvOHb?Z1<@4u4P&Oa0&YP>jhSSOQ8%K@tol*ZVS=$|tF#ExW^afH zb-G6^Vu-AX^D+r8Mj_5I+500WrIz%-FUpO^;-^6HYdQoUJNLJ4TBDHrc z&wTjAw1_CK)d;ph%_T%c%M{Hi_*0+#mZIMp<^F1k?d3Q}UY}}>Ml?`tUd3zg} zRVf?vSg@wZFb%mi21B2u+jjwf{^x$a({AscoNSfluARFO(OO%UrP_#Ti{Ad$w+RaX zd~1{gGo#4)%NMON3GPA|*kPuE>Or;MxwCc?gA~L$oIVM7E*&du0JBEN-m9Aj!eR5f0%H9iI0w!%wNil?YLzOnP(VTf zV3GSD_|n!b6V}>^iHSR3at9Ia-@m^otVB1Z*4m;d6q4$N`C!(-P>qG6#Gn!I-rHZI z<-G2d_x<<}|0pw`zi|G)ed?1BJ^9$?v2jE^b?JN%!+=UQVCs#hk!w+dfn{8$=JlY$ zYn5dU(lY544m`7j8})CcYA_)beo%LJwi zWxDn-b-8KjcU=bV9DKobVWum-X8k2ZL^L8qC<4Oljq%<)=h7B+H4@cbP|gRZTY%P* zQ2>e}0z#^uL9je^&N=7)n? z0MyDfAXrR45E00b-u~dTPpDRY>%xtcHpuBlT2k7;rfZgN-Pg)GYS{VnXA<>O;Fwdh z9CW5>WR5$jC%VxfWG2}*_Vh{1ZcWpstM?=GXR$D`m(9o&)7vq^VXqx6eh|aEF>|*toox%r_*peRE(rJJI*T8KKDkWRN0QaF96DVBm>^H=RjbNC?b6D*r8~3 z3j!cn*dx@E0$!~<6BF>&Kb1p6Fs(!h#;WP@Nc-2)d!y#sDKr(p&duB7I~2}NPXPdl zhy)0pE@xn5;Ml0(P9e^?RgbSL1{rw;*g3I%%ccn=M8M5CjoqU0?}A1yE6bx@$g;vGM;wNrag@ zoz96)Ij~}K3QH5;@*!YP-qkYG##Q}l-F;?R=(%sMmBG`& z*mxNiEpp;UKH9e^GnIjV+@wj>Ar!%&QG4&hIyeC$1vV+*JXe)}_~5zwUbYiabw~_5 zaYT|cD`G~3Pk#Q8_g=TrAO;IlO6I1Bf-r(*t0*0r=bn51o_p@Dsty3?8Z06b8MU#< zXG5Aqq|@n)3=h`_g02C^khMk~3$XV@WUW1Zz5{B2Vr*e81f^Y|o-2X(%*=oK`NQ5h zb^n@-GLk|_h(J`@Lg#Ux`|4+YR(|=qcGitdt6w8pk15&!+~|7TDrp0ou1&hh=M@X2 z_0_P`r%ythrS`J6gwpa$8U(Px7SpM8ZN70nmC)F<-q&rYqPG-}zQG?QnAbJUDszaL zL*7RDnsgU@Dg?XjPkS5Hw~%D=*|!xr_smZv6Va3{uWjBI-8!0Za4uo#XWpClTHZSi z9-3#%v2|YC2IS3i=3=K9Ge<ElO2g(J>P zzeFH}+7f`;nE?XAu8D0EW8(oD2Bchr(d4%(4W-l>-$9rE@)$Hu-+}zyeEu-yDr>ZIzW=0o$u|bq= zBHLXrWc7$rRaK8LupZqYt+Ms$Pk%bu*W7>q{XhNR|I|?3u`Lx^~m}xXNRm%V}L?Vdbo&UvO{Ka4X zr9dHzqR`Mz*v}rNu31#`^gz&WP$yG5X#x@OEY5i{SeT&f3HY#^20;W=kGM25|J=!0 z)eD235L~s!i4Tzh7-c(44gfsANZgy=`f0=Lbgj|`HeG{s>%LFcUBiCqm;PCUmO^A| z2}LEE2ASJU&8eoKIZu?H$nPn0`c&j}vJsd*r-A9JRMa43nJ`~&DB9cDUKFVHZ;;l$ z_rh)e#dHqfWU9n%f;spgY8a7-B*jY>DlGvwJC5@_U4Dc!C?7V;j< zkEh;u&UHGSyssf=P8ooxerSL!?n7 zA__M-LM{obuiy{Sjl01Dqetvm7G>pb@l4ixw*N@ zRi3?eowb$>>9)cKtxn&L{9BLj1m{qNMQ4Da|B7=MTS&r+z9$+r_|#Io11w{sd4c1x5=jz~u^=HetRz7rf2VNX%HIeK3Nc{O-#ZcIw?}dd-liiaKxl zXm}6Y#&f*y(N}mXd8ceF+1d@V5MAUj0{CK^A=7w~o3`h!FUnQch83#~JNN%4Q=k{S z^a6CdwlddWlb=#jA*QQWkH&F-h?eJ)*pYsqnCE6xa4|dXERh`&r%bE5l#gyimETlM z6{1C^&99OG?@a0hl}!S??{}uF&Y7I|{`?So)?MHp`*N#b>GEr}V?x@L*k4{fTiE-N zZJQl8=z%V7@-0Kq?x(b14Kenk%v9A%mJh|#id<$on}k{~isx!Qn12_m+foTx9~|aB ztpf-Gv!X{5zChw9DxQZw*DwK$U}7^#wb$GX-I1r64F-tvA^9MRla|2T1BX{{!ICu> zKh>q9ST?LJ4RMVP8ILyPAt(oYy4nn56y%<2$B&TOiR`^D6jzzz*0$2?HjHTTDHF3q zPj*QkbC#`K9h#&Hg98r_I@0)vHJd5$r9fn@$yR&!byj7a+s#0fz}>me z-lIgkpqolWiuayc^@rXq?HVQo7~{RNGXL$6_p?8=_tY6Caia&7F0|F_aa@Pg{Z3|0iD|AGaUTayRJrlT&@dI6d z)2&3phUd{n!zHPJ*HwX~vMAv1a`!*nYN%Zvsk{tp){Fdft&xMb%{T}0%Xt%+;M=i) z$2WJokFI1J$by7dE4#duo@t|dA=RB%-DAVhNj~33(Vw?@!cF>(8$_b=r)OgPi!E9T z(uNs=kr!X65dx<%;i*0mhd%y!4p-$sn@Lf5#}&0Ka<24;Hv0je^Nwl~6cQcIe*O{nD`OQ_Vw)8{kR4Gla^%(UH6!4rb2H$4{G5wP zpuw%e@39OT=x;}88}@YpK|@1$Zo$;QONgDFvDZdJWBCpZS|KVLI#qiQ3I=^Y`?uDm zpRsc4)yQE4+%rNKm1Ml?8yyEJgjduW|MHJE(R}8Ul4KLWA6l}-bfn6ovm+`n7gTdnvyU1a!s|8wwlQ;TIt%I zhIBcjCnEd4_i2Ik=b&ACrz`eWM~QU5OHgqDE(S$j8=mvjt-BvR%0E$*<~Y4^0uGb# z@W~4W&7gbG_oq28(}|SJ$=ktJTAQE!)|YrdWYsjdE_g9EZI&rCbab(ajm(U@xI`(D z&}B7utXlXND#-)CnHAc!VU!XK>S^%^Y6~X?2q_tdolqF6Ie_>FPEeM?N`Y>a(pnkETU)){f})LMbM7t% z=+cZWFs+yS>S?%os0uJkXoMbewR#}B)57kYJB%9e3k#y;>DI#zv1y!0?u`@%_v)B& z=LDN=UUt8v?dNVLq9~*C*<8rK!oFWcyd8=>RC%oCkq>mg>z}_(1iWtVlfI^4pXoQ)x2-f!m0WH2x!-)AI;Z_s_^7DMsD};Cu3;T(JFG9mu$a8*q7J%#29W2~Y2r1tcwX8R^EZ3B>|Z(x zay3~OED}LWp&>^C6aheM5NJR1UbwUF3Qf}$5)&Etpl#UlzPfQ22j$PpWNGeC9>ExI zc~#Px3IaFJeizpm(L1dUc#Fk9zB40vRDI&pH|aunJP5o-&DPsqC?;GAL*RC3sYqe= z*=xrk>MUqtRwcJ(ZiZnpki;CPDo%%p%Kb3>F3&ozDV(*G3Ld-l1T(VTmQ{?maTZyG zsNDNd0FY5#Sb38Hgr&47Am6Kt!N&VtLAR-)wI!Szbz&cOR8i|`<<2)d3B7WLav1k`_1;G>1}J$0R6B{{tf6;tNnNl^4qeua;mv;4BOC= zuXO$@MCq$0d!!_VLr{(2>l!vD{`PMlaTodj&GAz)bdLL6>lH|}k|5TrqyaC+P$-%B zgt2)ubjY_FDX$RqTEs<|_SJx%?_qv(^o^~yL!*-cRZl zTZ0$_`D(D7q+Eo$yu>40nO~VrCH`j_q)-UX=KJ0K>j=i%_u_T#p(JxK_YyQMnMFa- zezgr3SPZQ?d^mpRCM%!v5g#lLIxhIFBN79bEE^9Lhabam%cgCd8$-H3w)Y z_X7IRK+wLKP+CTV4$%4ETiDulhwj};Xa+)R_Yk9%5sza!{XRH^8!b>)K_O<%Q}uwp zohW5UMj@8ojrU6dmG!SK#u>tpE>!QAvr#y=R2V=H2Th!4!}X89Nw^uX$Yp9uR3Msc zpC;O9qn@wWb7#Zwb2=E!H~^{!$Vy!a|EKfLl?%BmN}!X$RVM=|dWT$C((rLiyX$nd zYx`K)kFs{CMO9^Yd;wwKdxY^V<(srJ_i)2r?svhN~RjbX80XvBi#mt8MJU-UYPFUz> ziXn7)uter_(0JdKE=Bq>)Nh=Qbg@vo-%hkfnLb2(J5*GdJGPrJJ9q`niZGsSXX<2+ z%@o3z2$poDkgaLstbu76dDPr=k2*a_b@{s}&)^=US8jL4&O-LmX!wvPHF8)d+0-#s z$;uQ2u1am5(OohH{_*MrAJ(0B-C5sa4P}cwAE5B}21P1HXm!6AYPc?ixqKv<01mV56|g|Yd|DRL!#CE zpOSxC$W?H21Jt5_9i)FtMvJPy*I9id%gw}U+W7C;%#D>I`Kyri6j%Mh zJJ{t7rSorJPK$PCxr|Cj#$56%TeSI%<{9fSO;|b5P-2XyPr|}xyLYBicZ|4W8jMD@ zm&}e+n4bCxej#xnNn$$|5~2D`IbE1o&n|1e)9B8X!NIpxw&4K1EkccS%L$S&g~C5O zj6(Wj8;RZ+_>LQgO49MgFaSSm;On(2F%top;4wa1dsQ76a$-iWUmtZt93`bzvAEe0 zl-twAj`^CbE1;SxtUM%9(+O@7K~sTG?iCf$UrIKzV*wDIu!KlXMdcoCJ4_3;p!7$L;=zMsIm;yk&()zzH+l7 zpt^e%9H`W)`zgm?p9v=kY|tU-w>RhY5mHoDRtCMV^Y1U$Gcj6LuZdBz259ti!U16- z70MTF&>Q(rm1+*o{dMbL7!RjUV&W3*?yv}`<)||XxQh1SGm;nhfb1ZOx+-gO0`?~J zw|*r5vo7tFn2$^8hP<&jlhMizirs-7=?`WsVN+N$|gS}yGF8|ndH#{}be z%WLp{)sLca(zgaVuMn<3RaT>TQ@}JiCQ|u?EVVe=VS_LiqsuKnH1BDd$_) zquQoJUoR6j=5%oO2N>W8frM2F!6&iUSbEUZbD8rL2k0=|7p5e$n*OfB&;?#}9cP*( zs31KGR);l|mH;H#dD*oTaNAp*T8Rg$v&1ysbj43`9p@~cDYOZ!nV6i|pajtEa#^9+ z>Z*Z)*^Z2H5y*+=U8@9Ki@Pab!A7kxw%j;o^;vy?&N?A%ceNe7^fnv?KH&uG` zH=z1Y2IEiyJT(idn~s%(>Za)|lxiw~XQg70+z8S=oW@jo6KR{d#2c1@c(7KkvDGrU zcPpD+*JEdM_=kpFUsgg@6HeU|blqgbWI0=8Np%NTaB2cinNyQ$p#c^DfsA2dkd7iOW33@jB50A?#)-W^Vs!lLRq2d|2#Z((o}BN;TCCQtQf< z850@*q2&oG_EsNx%ef9+UuR)j5)yG$>(8TDf3xAc*5@ zEnf^70G(SeVd<+R8 z+<>t@=uYT-UZp>*l$GAiKYc_1wd9qbtY=1i9y74wDjs6S({)itiDwU#4vMTB$2Eh+ zIsG(A8Du~#A#&Y+9WgCY^BByydJI@i!6(8Lk&I@>)EtpJa3GfID``?{FwLg(o`%_sf z1xm%HF%QH5^sOEZul~Lot*Xz~d~=ljyy0JO~_}7F;@? z3-lx`+Ma$aQM+}Uq|iJR?n9=#9Xx|&DJW=nA|<7)Bfd$MYwAc$2yRyG?Fl5CaxqGw zN6L}*nEsK($aRP5Bj`wyUT36-;y^6EkB(<8+i}g^yY>OE`vH8Mf)yLrgCX90=Zd#f ziLGxF$1v!yIucUG$iNSn2~uTa<#d1_uKZoj%6L`AZ68)r9OcgoeL8=23L4`H zl-z4sqWsQzSca1E2s%wlN~}j zMY&lhMAA}oHiaDJ4LoC&+Q1h6_tGp>Tlb#_J7H(pT_`IMSx+mQf^}c#`BKvk#p%X? zlxH}2nBO6Tl(286&}17Be^N zR_eYT=5WcC`EI%zS!(vg~$q@WCQ(0CTv|E%^Wi zsz^pNa&v=M6-6#(gw1vsS>iyl8`JYr4rM$V#JTO35}+#86`*diCncWds{U zdAf<_6@X^sV4G$@jW3(8rxJ!f^L~y53}dVAr!NO&?*S0Jw#w(fwGtC2$tmpd(C^!P zs{-N&kMj*Fs|7u6F&oc_1!bqX(TwV9eILjB2|(`iFtp#i*>1VRHV)RcZgAbo;u>?`q)-t(B+Q!`i~5!2SB=8frx3 z!3b)^-P!VeShcUyX4fke&2^hPU2A*A6ACg@EZOyTkdwdUwa%6LDW1a=(jbo@?si0Ve$k+WiGe6 z?EU)IV=`{jTmF`a=6YLM+WHgDbB!6p>+l?ZKSz7^rZ8?y`14iOe}}pjnWc0Y92V$h zo`di83)FrrdZB|4b+?xqzbr+A%RWj)LUivKVJCuVt>$9B+!y6m*~3B&F{YLDt&wa; z)W9fa0r|DFys@vDIq>!$fherk{%@njIc9sGRvj6l#Xl*O1{V++oi`}SAGz{Nslr!& zLnmalW`>2$t-*J_3k-x8Tb9P6mET^Z)^d&4uaOsBSE1G)lV6x20L=V=7eMmbf(ARP z{x;y8Ii-GZsNn6i;&+<-j|H)5D-5Em2(a*edm%iC0Ak13UJNJk?M_rrmgerhUGR#W)Gp9zz)7qSxc8piYaEbTUC23gF06-mtl;73N&hr`L3PlbdIC0$eyv7sfcH=P&q!U;@`KRGCcA9UX?>3z0hiK-FodVB=1yqM zv*&TssXG2tz>~7D;1Us-jI?pc===0a;mxkP=;#~X7G&|OfDa*X^D6Eh2zIels=G+E zB;&i7dzRdp;lK#HKn)E)m})m{cDXlz68dE<0dK#m1FqZS$b_6PqN2mH++&Or){ zFz`(0()#n8Ml>+&@sY-LR7SEx7#S4caqtCrNdZY|>XKpKdTUIR{JY`Datei$+n4gZ zH%m&RO5OsN%ExNpp&nwXnwj6`nFeZci^UzTU;lv{TUXn(F_&a?`LFdBZy6Mht**ME z5xGWZAxN-npY8ndt&q zd3p|0h0|UtL>?)zeTn?L%nAoY0f5TJ8PAtFe5Ri$4=u33)~QwG%O5FJg~#6y^Sj^H z&tGNypqERcgQhrxY};%D&a4)0=xWMLSGh{qM^u=R>t#8W85$E>^j#s=jt}EgC?)Yu zZLb;Bsj;#*A7Z$5gJ#`XXzE)P zuH@CS>d|NYynoLgA;Kx97N_rrmH0XvBE_0CE;;8fAX?jVQjlRhS!!wmj4BrcZ=*8H zB)+iY2qnNmRkYNDKyfN)Yg&RU28&*cuXP2<$6nviVVNN%eL)%`cA39BgDxO;wx%zV zZ(BZZU6Gl*UhHl{AW-5xX^aDDsxhk(NLZ+)> zCeUZmo}HNndHJ|)9l%+(po)*TXzUyO%&j=)-ASfT{~6ro3p6ueqVxXLy?v1=I*loB zt>AP|fBCj}p{;7=6c&>Lm@Ksg_Bc%^A^s%OS4TTu*8tJAz2E49GANrDNM!E^4Nw>H z!k`OdhnJlo0W}D=uWq50GE@)2Aex)O|E8*_K;SV`f&% zcWFt0@zMZy6h~(Il!Y_tC!#KPk<=eTljq!y=@%0=9+};t{TW^WvQ7#j2}R-l>bF4G zzfpU$!mWrYX-fThe0c4{M1{RNxlaA&lM&v+yW_2%ia&2&y?zzp=I4IK^S_oaQIdxy z2ZM%>Stji}W|xmmH_sB( zg)a#AEcvMB{RBEu$@@usG+ZRgwsCBA=Mv`U_HiQtbnLsghsZ+v&!L7?N+LI?RoLwx zG=f31SUtu3dl?z3xeT67z{vh7 zJ;Y(qPB}vO&=176brY7gs++vS0WMkSh553fS7Aw_?fp$8B(kR_N35`Djb1(8sDZ!2 zzLp(ri57nQ~X_8Q&C+*wsy@Pty#Z1*AxxGf4hs?<>i!r)&tsF0Gs%54-WR68vd{ufeb>@qg z+&jCK-|=nA5nt7MTZ*QnoP(bL6&3$#cZchV`bA?K!tCZ~LyMRZ%#dnXyV-Qvs0cL)b+Y7_*wo(l z;%K)|^JHBIdxyrv|3uc2C%M1<0TpQ&KEI-E|M3Wb-7v>S~wt2*LtlZY>{{LPywj$MmMB+}uuP zrQ75E;Q@ZYL!5q@51$4v!9DXGsY?w%rz7k$YvJvnH^w- z)_usd`Lr;cZJhET{Ec-nkY6EfZUp||sWOvOlWrUia53cQ-RR-awVvJSy!)qi8=6?c z?|A{9x193auQKRF0T9-lJhc>1KGFe1#VyWiR_lGR02cdIO@r}qap9me!?yjn8=Tm9 zJFKnCL^dHl;kwa!%k$v~89sz0%ujTscuqg@V`awjPR_!ZvrqF+-Q_0Mmg+bsr&GvZ ziNT0J)klz8Wq3?)^*CZ<{;{6tHUw zWd7ezmH1Xxgll(+Hy?(CSbUb_Uq&$AH_l&43G0Sr*-3&9IAm;6zw*1yzQcreD!_`P zk+yB?ARkcmc~OBR5Nq$0sC%Wkm7HxH(%)c^RR~!5<7C!9r-~)KPyZZU=Oh0rVO|a)9qhdSUh}pyx577`G$a>s;EJY+Z{XA8wFq&ZQ zPo+tzpQaE_%#2L5d*Eam(>E#I)WJaPJbu1QNf_F)^lh5Rl#^R&j@I=a-7`XxAAy@3 zmbwK5OOb1&dH5>GFPhdS2z3?3Z5eAM%bQ&neE{NIP86bYXjgZ6Q<5@+_UNX0Z8FjcaVKYZqe!0YGm98GjtCb%Y2 z093jQofHME@*^wW0$~2>t9&S}!31K~Sx(#i!{mg@3Q?;brlhptpl!;Lus6E-O)ak+7>I0Fg}U$h@^Afg*p5xG#^lGRB|y@Ifnpw zX=@BfyBl93WB6hG&U>11pdE3NrIp9;92Ab%^>c(+x^tt%Qh_kVdZ zg^(j2KH7W;^b`eP=&5f+nugF-xN6cZ6~fOIz{BUd(!$%wT^)+N|2p?Szh9Iy?!Ni= z*MWHFX7Ims8*%Fpa8=I2-w<4L?j~n0xnikA2z#)p4jJkrI`n3ylscnPvJHdRQ%J$vy!>16@gLC>+1juaC8g8E zVt8WEh64XSg5@du`IVBNn`1wg=}DqIy(_}zU7M;(%@~tl z+n=m0JFbj?f0-OZN5bSd?baAI6p78cCYlhZWt&9+PS-o)g6MhfJ)PN509 zff*fobivjIT8wG93DV?Jz=IaKi1cd%q#9j^aKp&Z(9U!0(DwVaSrzFVKBqsJ2(`Pc zhWJ-ehL`C)yKtI?3x}>rn*vZ%?%sZ;r62!-q2w+J{B&PnAR`*)Nh#2{Yn^#q%}_3^ zT=3b|aX%9o8!8by)sxIj=XZ={ijg|g>2PUd zv-34stN-3)XBwOM!0U6a;M$5iksu|=0c3wxT0C^6hq+VAh?7#qF^~FvRrH@L@!oFT z*q)&F&^qyiY&82fYd>LE+j~!z)Lg*i*!`Er+vqvdxV{u?hMCG@ufAP{X!RZ27z^Gi(FZE|XvleKid zU=*8{>E@SAn-4uu+r^~&yHPv=%Flv-6T&xR!!Kb{jhLW$H!W{8HJ zJ5_tF3!AngjUG}Fq+Ab?TFn;3|I#levED(BZ43;d4TK*#@!8k&d)kufU5D!Ge(*yN zprHSa&dmTe1`SFIfnJ{7p?&stlW$iWEvsVc0ST{%b83}hL)E3RJot9Ht3>Hi{Ug%# zUcpZ!nBIlZg_4F%K9Ov=O+*U&5t+P8yWpRXDXV&Yf4E%Euvg21qSW~wccb#Sy;ZV^ z587;PbfXg&F9udHf9RG`Z*RJ`>;0r#`(>fDR7N&YRtCL4tQ@-Hq%m{y!VlDXxRElB zxW5J5Z3wQ#<@4X@mzQ~;ySPdpTGcR(hM0}lv9YC6e59a&gQuZ_`N^_2v6%`Z9+=RB z8rrdTapuSKcm->W%tNum7n-Uk-j#9RX%voGgsISj78GdD$S9xkd6hqXO7MJLzY+3m zs;Rkj)_D(hH5-+oznI-YPc+u8yCq0`>P3QTfVbt+;J&0Kn(;I zj{e-o05LXmcLb?|pawKC1(FRgjSeTiJt5pyXsM1v)XF5%xf@6Qt0@yHkD(b()Q>E7|xrTj8 z?TaC}={X}-Tl3r5xm1xoP^;Y;R2#m-gE8hGIY_R3iuVX;C@z)(RZUG!W$_Ap0F1$T zxJ&lrHo%IW%ka#Gymv!A5Ggg=?Zw3#n@eOuvVcG~Fl2=wKgm6=ZlYtWdGjUW-2WRa z$d6Jy;<1^x`$1jA=P7FTrZ7^Yy|{UwOys4O>06Uke$XF&kCPkE4(ovcicppQ3*AT( zm>x@Lc5aAF99@`hMpsvtcjp-iXF7Umx7!q67-XYa)X;F#u=_qF;O4B^+MXY?)2wy2 zuQDy*P}@+zeb27hE3t?-IqOPX5Zzj% zODdX=WXJ8wD`_>7C9I5ed<0k3Juh|mT(2e}u2ioiLDOd9yL_K{f1u*fcTf(8`nGdg znx@Xv;Ik!tw3d?r0byGD!3RQ$RaX0hk|B_UfzP9D~C_5k45mRrl6g6 zcr?8pGvQkKx_al}$Q5Rc%7;M4$T?ou@x6DPGBPw7RnN9CIp99C!^`n;dRPjb8d0y3D{#|vFTm_>jA0nm zxAI{CpAeZ|3gADDwE0*^_I$Y8K4eI@;HO@!2o+0Q-~WA@$F*DG(>E?k)$sloHJrO> z#JaA6VK1qyHXK6iox%D4T7ZO5!+k1tW#2iew4ZsScX{-(oSjxLBuf+K3K>-KBn1#5 zB3%E7%Aj}?EZ7Y8`8cjErEKLwzEi~C2?@his9z+lOG@00wE#h)`zXf)@JPk{a^4On zNjT3%g@alH7^NEXhWVG;E6LW11s8(T?|(7ga*K;C?d%jr4rgXCIpX8vG0@SopfuL{ zxxa^x4-CA&YVRa0lPLOfu8f+eyEiOM#1m3p`5ko7EOzsEzNgM*3%1XLHNu@T?9YQONV-<_B7C44^1zOVJPh<*)Zi8ARw-Y+ z5_Dwbs;I}5u2ws0o%XxyG<+gTlF0L|kBgME4Ux8Gu1HW18zE(&00jPGK(wFh&c*oq z1!ubD4A=UUgou!oKtT#Re^K16@_xG1+vJT8Inm8Hi0%VaMw+F)l@epLy|yMe3_Ks% zJ|d`XY;Y>napcq7Z#rWVny(3fE`laI#5y6mBXp`M`^%@zn^j3c;0r;HZgo>&>QTC^wqL2-GsQm_ z5t(E%yQ%69PU{|4r2JNsmzQ6$+RqesTXo|J6JP`VyLnk_T^@z+li(xAHpMPXqWrn5 zOkkj=yHFwBC_^~V5u=ccaAQ|+RU>9zi6T)6%+|Vg7j6@0s=BC6o_|U^%vW7LRC#IJEUTx1vx^&+dcIA+^QTgC=&#$>pG~;hQzHCw`>M$pl%i!oFvgy* z8=XctkTbU^TUQX;mZ-1Q%Jh%rfDbO5hHF^&yGkR)A2v=R#Y#8jP6K9BU&ISsD_k*D zBjtdPwv!K^Z3+uVV=7>5ms7f!=CSva#6`_?Aaw=$eHoipP8`3~TNu5+XC0z&pC(kX z&dXCKPuI4edE0t%_5dG=E)C6GX60(@21#MnZE2zommc_rT;h=^%N4cGnUw#;#c)lo zo#tVR8i&DZXCe+TT`v%scl{JG;9UOz1y6DDfuq!y8V-j_@6jzSljb%Rq9_vTU=7dO z22eKc=}5s2v<7%8`H8@^O~2}AO|mQMQo`N%1<|I3OUWX5H#yB2SPVE?7+m4o)7OTaVW(YYOzi0)V7j z+V@q}a@o-j_OUF<#Kde+Zo)AU=Hp2#shD2UQ=-4wjpR&0zP0$lY2=P?_{UF`jm*(~ zy3jmRcp_lqPuS#H|6c-p7Stxlz`-;Xy?ZEcLwEU06dpNO#Wp|rSF1%#qNhrt^%J>9 zbYh-jrS@K7*MP!Q>)$%^tdj%3z@IJ@{`<|hnrX9HbL{wH}y~7EN9wa{ZGY}cyL84yMF3e zvN6DAHnOQ3P!A^~7;)*NV10F$6a2h4URKz$_E&E)>X?~a=upk1$Xr)n*>s=9mWZ_kO{H`#TSRKnVO6GT41G&uuob$3lCd`EfsA#IRsBU1;i8*KPV zcVcQ`svyTq|Hu%h&Oq`tyAexg6p0+R2UAg4D|uBxjG~r85uq*ydZg7ZdNZU@@5=h+ z{W0GZq88RPMORnV$X>Np1TlqO2=gUp>?A49Y+eg(n#Ndj67_wT7l|2y-sUQAF?`?e z{m!zdLtx(nm?m(VWPZ->`8hyV>a>`@)Dfj&MH zL?ifu5>q$;9L#Sult`-YfD6U;YD=um@|YM7ROsqS{aY_TG&{zW3(Sxg;;_!0_w&Mu z{Q)MIHgkYQa|Es9{B9NFeRv6o*{G%)1WWy0VPGp@6q!iCZS1E64#(H;Q(ScT@wK`P zrVTYp)J+iJ>~_3}Fc*)hLQ%n2CixFZQ}%n4(45h`<>DmgoeBgQ$sVG_NbiV+1XBFG&= zuK^zQe~1WpKs9_Y=`0@JtiZ9U+_f%-t_!cyf`I@*IEZB0_E7L-lpdc-V9?gZs7x}X z?rE8elYLF(pjdRdQ!*~pmE)Jo0ONz8{>Lx`GC;(Ey~Hb=82MjEl?jR05FC0);LYsU_#fPC2QB9|g~g>VPd%`WJInV^6E~$vT6}WZHuid@ zipsU%+PBLt;oNRQFdg%?{o}-bzryQR#&;dt!DF7#ML7kPGQ7&-&ry`rimneA2WHd; zHk?Or-*%EKli1j}zT!OohkuF$Oy?}wkmy}JqiCeKMIwI*n!5b=FAo??RQ>_$vxqP4 zk^$2{x#M+ud;s}gVPT=IL)#R;yh3zxN6~Asvj0J$$kSELWPn@n-H&ihivao}hMk)_ zdF>i&F!W$Wb<+%Wv5fzS=8%!eD>rarZ}Gx^b+OeS@EFj|Vi9M>nC=DD%P6a1S&{Z_ zOI{e-#DJ>~=1zY(vl8WKxhHC;Owxb&q9Im0GscsTzi`tA)IxcX50c%fNnq`Y-kgp; zSP3%P6~A<*V~OKZ$iNFzxg<^~5sZ?2YAz141Ja zExd*aS#c69+PCXp%1+T)S=oW?2Pkko_1Tv>Heb29g|((_0-?#@`1{T1AD=m9`pa}X zHv+w6wUmjkbgEqMIC8uM;5dRHC}}_#pxL&s1sa@{ma{5?uB<)|9e_4$;;(oph>a2f zpg%MO10c6BMJuLE0L@;)I1;>+sH1>Itz(hnc#Udu&qjw#EsUlzT600ROo`(e8v^$1 z2pSyI9Hx<9q$|}1D*lzI%7G_3E}Q+Iw+$Z@)@(i@43aeQ4Lcb9&1DSVf+l**L-)o~ zQa-o5?N3ds_V_TEPWRci6hI)z;;ThgV_bzBME95xE=`x4hbJQN*1OZ7nk|rWKd6)v zMI-&wFBeHp5gyAr1>4gkYLI*S2jFblgcTeB>yUODTJ2lid1X0mZ5~s)hPItR4YED8 zHGHgRfp#$!HYUHmnY=*{YZ7{AhvO=J(_$sU{881(X{Q^!^^<2MomPnMZyQcxe-t(x zuPqYxL@oa7xF%kL?CWeHpD%~B(5z^XJNUfmf-*QJ80ozI-fQ#Lx3>9ojzaUB{Nd3? z_C~fh29Sv><;sF%`iFErFynmWxA+1|qzawr_nkl(E^(tz-&O&YG#;XX6VN11`L*sFW?g*&2NnL! zWXJsmQugKK9Ib!@Q8|JB`6byruR9Vsi#Eq)N5_}?-CXdg(C{%4bj8$G!|_Tn4fJt=n)<56g2)N|K7kVbnl(t zqE1mslqPt<%PV=y6G+t?S~@@eHfIa6d0+8Od*8*Ku7YJp1 z?V3_$=#CpEJGp13sz7-9^bB76Gc%*I6O;2c(e3*eF5ui2Vj(!vtMLmgat+3a_{;hk zgtIVrCe0z`Y`sNiSgsQzole+mqWi1C#L2@;P*u2rvTuPI_ZzxV>!MNpKQzwD?>@V9 zmoDjVl?elPPufQ2QY&m2pgk{Aj~SU8yO=MJ)D55o9VJ)WnGHJC4&1~hhR>jc7KR+G z%_7`ZCk95P@fZ;)TL@0rNCXD-4|%M+rFPiF8R>Gfj2lX>8HWP^=wsRexM8Skb_Btg zQL<8>iZ~hn)z4#J%cJ^u7*IB@G`SH2)?P2;QEVzKZM==$y^ZgRgm^|4%tQ;*7FYC; zE7=~8>^FsBC*tTxL9n86)9=m);lxP8-Do({pGFEq;Q_IG$>M*BDttGq44&{j zion7ftCu>iC^gS{U7GfPIv07WjSn~xBJ(9PBdpV|k#%@X773fWMN=hM$%KbbLcWq$1Gz^AZXPxgr)u6W=u}yo980 z9}GY8?&A^v(6z2~GuXMUu*h=RX}I$Fh+_Lgx+_Lug-m%Lyb`VR4XnAd(ANU_(D4ua zNy*{Scu}EYe)hJf&Rpr>ZvF_Lk2nvv>dAQ@j#t~F$Tp<1e6;ybYJTKl?IrRF&Y+lGYFTprDGbA3VWecX~XS2 z3H!7qlaNI_&3iv9TVtgx#i4HhVq7sQ+F{;PC^eKUIVEUTL)ypfi&>&*^M&3kG+FX_`N0-|LVtzOOAEwoP+#BO43@4~+t{w<1jvPK_cwl z|Mu2-SZg5ZL2i?Jjc(63eI!r3eBb}A9dwmfhpB8=6k8TGE`Hyb-nm;P=74*~U#Ac9 zt~>l%cD_nFYXl!uM#94%HO(wF3c%j%j~m$*3pWDd%Sj}O)P2nflJX5c!Q^Da{soVZ z6-k3-#hIbjnMa#G8Ll>lXhAeN3iG?USo==`8{rt9wZ-|*Xc$b)%MnVMoOhwk=YA2_ z{Y2Av-`(D7{Ha==r+GGZ&D@^EClGyy*R-$#Q5>?244Jx|{2s0GN8;W-KRQ`p?Ca>^Okj0s8$E?WKv4xJK=v+kTx(ef!N$%@!U9(TnqOile zHKFZr;*1|svzf6U6`F7E?iZfn8*3vL80oKmOJFEjXA$NnOOxr1P@X){12Ch!Mq395 z2eY%Y7veUMY+qyZ>E0xRrarNZ;OEbft#JuLl+1T`<5Hu zaijG+>W(sJ3l*5K&ykNCcLua z?N%XH+!b!nE)YTj0v$cw%!~}5(fHSg&A0A=?MT4Z)3F1+omgDazD3GVzlM?}3#{4q z`Jiu&vkD8FOO7#MM@B`)iJQASqWt8!W&ik%7KLX$MrG$U*ASgz5b7;vy5u93$}0w6ff@X?i7b2#a&aZxNC8@ z;ts{#8l({19g0hFcZ$2a+O zf2>s=GG{tk;)b#}tZBs;m640iar)Cb7Jb}dY2i=n#QllGKaZmMN_@YMzSdPOyt2Z_ zWLLxI`+6xykN6$?l&8KSyWzcIpV=k%qp-xL8h*ILACS9TRj^k5yKPdo#dGK{?xeub z(8$_<{O*_&WNM`dsi%gzl)_ZF{8dYR`~b)COL>HnO#%#x$k!|d?fW1%vEm4_)e1(_ zu~bzw_@JLrJIh;}e%djrRkV{+W!$?s3pmZGUYc6Lb@p!g#k_dKWn8RcKy6tZKWmdc zR|`EL{Y3p?(xsF-_%~Lj^N@KVY?>-2n!n;_!K>HglwDm%i2U1)tXZ^mN~^h5SLF-t z(C8`_A7h99ZVB?4;;nQ)WI10g$(oRtqY6VTWKW{|ezm}cOU3KK0F9&%L#0&AUsNz0$kDHO|IIQmv zzi^&PZ+l*n2qPjE?{&w9BM0>`gZkpJWJ(F7u?C%hZ!pIsghdb+XD7`ln2?g_B6+}O z;4EipIVu2$Yzh_bSKoBPuA5YAT$p`Eh=wYS}Br70g>)vYrGT zND>1w|J61;j073)61kwY=h}t!YjAL9zjf7=SF(QHd^K!)oVT=FYvpOTR*g_CHy07P z4SMs}!`Xp?q5>Wd1&#s7K~-b7W(p|W?-ywS(VK%r9PuiO+J$)mugn-u-u~MMUp89( zH-eypOOR1UABiByxgLjp+!@+A}T z-iH8y>ibr|xNLE1ixTOqnny-2t)k>s^Ygce4a}_z?09%TVeP~r8V%%#h-~$!RW7o83Is66)1-JdRhZ)UR_O8Yp(KBA*3v5!Z( zA#udV=C#-?@EqqB6=WU@Jx{BA9Xd2mWb?4|4$W||%ghsM1gj`S{9_sAPaoodMQ94| zdOO;*hBV=;oj*rSe%S0=BL__;)0U(uQVi!#;EkAE+*%0f&jt^JrF(P?qBJi6w^DIp*? zaay(9KAcYmeCEZZdiZ*jWgXrr$MRTNGee_PrLk_xRq4xl#VKiq{e3F(2|d&=R6lWA zTAPk;VJdq*`P$)uF?7I6mBKoI6;;OA*f_3tc4#<*f(trf0O{FzNX79NLuG?^hAugp zQ@YHkQcOg{drul!13I15meOF7L<$ak17QY9$^a@Ldq8M6#)p2uPIf!|LgXKPNg50L zPZR`@J~(ynZ_H4;TQL@w$PRJpv^uS^LjXV(Y4L;6_qc2~DPu^(^)<@;a%iX*6;qUO zj-;e!xjAY@ivKbMq04In7TWsAc&NM`h=@T(8k*%=u=77b$@5xcHsBTzu+HHw<=36- z?-}TxqQ%<|T3bj16(PpQz*Lfz{Aa4M65a%@06T2(_69B!NU8!-AO5`G$#G=|nHF*BILzT#x5n_l!O#q`2w$16-emv}m3>DwiD;G!~&>a?CsZstB ztC&@RLnLj)2y5DeUyS0|o#F467NnP6~z?vK!PTW`kU zC7MFjh5ASAnZs+voM!j%Kg6l2!8tkQwIfttp)ToPl)p;Nv-!=ry2bxeAWpCR{2aD9 zNe^2nYW`5Fk~SjNrP}S9BjqUTw%olTjpLnbeft_ar*hn4TWlkC3`LSKz?2nSz2|B$ zM-mFAjU!PKIBLgsx%>GEtU+jFnv6PYisOpZ-PgJI?T-G}Syvos%*LK~&d#?F^+rw( z%dKxG&HO)p(P7+V;QVT=df>3LUv2T>OXOzyQw@u*7U?|N{r*O7_;gABSav;&!pq~= z1})_Wv{uv{;##!USo^!MT}s(ek6;EJ{7Usmg(z}|B6A!xS8T-Y@RSdo)FKnLt|7H0%QLg)f7W(JX4WX`@?49WRCgyl zW|!r33qc;<7R7bb4XURsD-Wud!u45qUyX!_SDF;@H}l1QDE=gzIVdd(V5A6ZjD1vl zFQvHBCTfz{mvswu>I2F6sQ3M}?aSvZ>mKbTjjThe)(oWG|75Ef!jdVK^>d(mtjhC| z9oW6I^UF2J&D}_W920tI6PwIJ6ZN-ypIZQ4U(8V}Bwdrhv%y8Ed+EBVA+&*O7yNhA z0^%ZrlLBkWMM%)m^wbLEUuKHb0sgSnvLo=RaDe$l(4sow9>Ee)Moz%t={0t6uRJ!* z7#0I0g5cFt+G+K$Vl-NK!Uc14dU|?$Tb=v9rhq^=8yHwv9bv^)=W)(+FM2^y*YMYx ziWENhf+L5JB_Z8TO;V0KQFX1lt24wJ!QBu&B95ex$^v|GFgzHXSTm8_EOgO?+HxsJ zChI_?&K4~el%u}k`Lgw#%YQUTDUl8IYamLjo4+bEUAa49)HjZS=@#|gXiG;-Pp(J6 z#6T`gwAXVvCYh><)F|1buVrC|`kS;4rl;eRkHqp~ZH~4nsWE(+O;lhyoV(+f_{EBv zUvD7xviMb$Uf}(-z!4BQC2!pTHmJ%Qu3){gz*hcqF%MCME@mRPPvq~nZ50}ek3s*> z02n&q?qi&E%ABniH~m29;|HhK zZ{>4Gx2>z%zO&3m0Ac5yAtk=GzAg8ia}MO^=V$WI&O3)qBHwIpC%67|baady=vB3G zs;U@SnDGmH3!|3E%Ig3F<;+;AoOgCi+ZXy(c6Utpn=K7rKJ+Gg>?o_mhi?((@<1kr zp}^Z5Fb@S;@oQz@$glc;B5t8qzdzJpPMg>D5DgvDW`SO34@dYXu+!a#J&XgbGXuWm z+|jt-wWiglUF$vofipZLdiGwn3Tbv-bQ9Z^T}{5a#>f!cFk|oE!k?Js?V7mt=5rw^ zY9@`LYmGjZYB+(V=5Gi$heJ7^eWcwpl2IjGl|p5=PVFa&r2^omtR(3b*s{!Us+4-> zye5|fwW@c^_0a*@E_z`I6%CtO5?z7hge1Ayc`!yYH@WDY{{{ohMAQnHtTkqu##NM! zTQX`MXLh5NsyJq1m7=2u!{Lvw{C^vihknr2ABTb6@Y;`u)Yc;lD~a|=Xk?9ewlhQ> zgw>LQiaF4d5@b>oexb&#{FogKo_vDpgBr2dNA@G|O)1k)GU-R^=d726h z3b9BeN2|2?hRG4MmPu>ot_qCs8L&{M152AV$>=#TgaH6y_bVqf&K?*eXh1WOWaUIX z#>M3>2HZ9Xa!;*iQ1hQ&v9M{CqKOU|>ZM(by@7dPVWw%mBBpkwCDA|w0Z z;`>=)siJ9M#DZLK1`E^)JaC*m7g)QHqs#$6(-0!JWrJ=3F6qQ?B(tS z8zW~6!OiE)y%M6*z*!e%7vn${`yvS-tVu_=)ET#86)&!Eiz_`l4?-MMgbQRE;ub6Np40&YUvGRpbT%Rhdg$(`u*_j`s~5c(cNaX<6;BnqrrrpR|Za z>ZxnCJw16pHO7o9a|RA`RU>1>4wI;z*?n_x&FyKu3{GXy$9u(#-H`Zo( zsnK)RF3sacfuX}k*qO7?3gq<4$5pN5rPCL*wYuNRdVUk1bxe)SVGJ6rgLi7eoLZuo z-G^!g5N)nV76~j`M-H)P_wjgiH4W9;^{?{n@ip~vei^pyeAu^B!^scKA#g8!5FNfa zr4A2}f8F8EdsGYk6Nh2{oV(uoJ62jixC5Sf2IPs+9(i{JC;lTWfoqkYXh z@i__Yldl9z`PsizMFyESsqL6S!|^}JDk=CJciKGa|H5&6z%&?^#~u}UcXI<5@&Pqw z>(9!@+g~)TlQ{ggZ_IvyTH3!u!lT+|nJKtLI!J5!kzIERt|)nAlrU|c*B|Q_+FCff`MSigrN=WJx2GAv4yQ!|U)?f4rBJBmYHm`!8nE6SRgoMn%(gP^7? zy7*F;%w>*RBb_PO<9dn=g6i#X~Pt0a|*RhH?2K;Dkt=Kz%|O zUn|B?M5lN!SyaG;|6U#8<|TbD|4ZLx$v2N8IOs=yyhR7}E{-J+Rs*2NR{m-aLwV1( zpqY^<+gtD5^^Ue(S1=|(jdzqgvcE82dN`qV9L#^RJB_8x)aL|bi)Fw}lu%_XqX9;U z(3DWe5@6WHjFA~r1v#=ku{F&L?Asal*O}$+$4VDXSpfnY{A=&+muj2qX|(J=WdRb* zmqqxy z{&0SOCW9O7wLclL+2vA~L}_?p04F98EIe;^oGoD{HaeCH2;7mefH&*Lu$Wk(l$h)e ztz-hfqy&++bl(^BHOY~hfWcb?zwxp+L19VfR5w4hTIzVHmD_Ou)JzgoVZB%s{O~|C za9pmx@504@INH|VV838kzhG1fG!i%=U0al6F#zq4bP(60r;IA-yPHaj*_Ek4;_v(I zN!N-eFl!fQa8om#a~$HLUH}JoBHt^8b9kO-GQiu%2M`$Hu+$Nsv@)7F6HjR}ZUzWM zh8C*HF~l5LBG7%PXV5a6$iH+db%IEFZURAaYZ)XNHh==Y7|YIWk(ZWu(-Z%@GiJk#nQ)| zihL|S#nQg5<+XxxNr!dA<>2GA@iBd1hE`+tyrN;{-oPB9RWQIXrs*mqX$|A4$9&?h*CK&@JstqyvA8EW#t9S8+vt(<_H;TeD zF-nEVDIpg)AFjVR1egk38mE#~C1)2-k5rwi)=n!Tx>k`+jqHEQRB9?8{0%~e0odC2 z6L5pllU@%Gdq(z0BAxjBaFjz+L47}S)5#*0)hN3MhqQJbKjHKn@hyK0ZZxbn?JoDE zVdZsq5MFb1{)nY8Z2hY;;ecwY7#Eib!lEPQI)sdCRcgsoQ=QZ?ff$)l%_sSfbfpQl zSH$r3$NbSj88*WGSv;)$$|eYM({hLuDjTQ0bvYC7SaXn#od&bpyqZ5a`!`2Oc!p-9 z>#8;$y+rMVNyHeTGeq4`=)S`y%(N3S-dC zP?{K=qP}gLl2a!jKn36T+~pPk0UehuH1NVyaKVx+x_t2x0s#7ois)TcgF-*JP&oDM z!%zV@nGKP{Ib?nR@hprqC&4t@e@6sZ?paQZD^o?tAJu$<4K_u}wjm`1)10-#ni(CB z+rC!A!&89ZaE5a7oS?RAwfE8e%E>S=?U5|`Kr_wtFwJ!&BL-aI%iC}hQ(=YMFzgc- z7;J8=U?wQ9K}81-aP8|e>)!>2cOlZ#4>-%Lz=r|k=289m``Z#{c~OORK!6{>-8{Qt zy^tHcNlaJG6Acj_<+pNN1cynrl{5&uv~taq;Bi3Agx=$h42Gm*gU=v3 zai;7(+ZM|EYe(iNiwT%*ErP|jHPum+T`b(+=8_W?_5J@fZm4~*ow>SCor4lOof4x$ zS`j4_z(>6DI16G&gu-K~04#?qu~i{qgnv5~;H!`R^WjEG?P2S=NJv+M;bfLXGFi9d&1hK~ zgQ9@`{>COIOpd%6&8g<7Z_O@j++pdoaXuZOqynw-x$K5~O@kde?g4@&DW$l;$A0!! zY?!XgZa=rk^~!b>1uDVnXK&d(Iw~?!5lp{3>JX)W#=LM>488(^=cV$NKP^1V0Pc&8PaS3nuM`&5? zrEf8#uv!lxT;wyHmG`J2M!C8X00`U<|2jH8n59#%Nd%yHptI$huo}-`TjgLAptaba9QytqNRAtUcyV!XX=NL5z3x|oe02>i@PuFD zEGKE#0Re$P8qvG-5Bc)RtO1X|p%$$#iTJM<8!zJRPi$vlTIv*4pEDDn@eS?ITYlBN+|66G7)<9>>>3oXRMB1qbnPi zXpZV5Q|VuDjB!3NYiWYK6fjpa>zmu4()Ng*gD1T^d}w0Ao1rFeyQ?H_ru3@;&66-* z_-QiQn7W2hzw*Z3<=1HSIUDtV1z>}SPu#DN#8c`40`TODO#_$4<8!2nFESRv@*O;@ zW`|prZ)lGAu80w{rxL_;Ig*m@F84Gd3A?<>b4s3|5V_@88|>-O-&n7{A}?^-K;`K2 z6;E9}30H1lq{IPET|l!vX8@hk9VDz>rZ^}+VBs!IaQ)T&elWY{Cu4Z*M>$M;soqta z{AJ|?W&>b;Lo_8!@hK$9=GV?A1I;+ut=i~Cot69_*~i02(!`qSgr+&=F^5Mfi_a3~ zeWoSkZC>Y}DVb0?W<_Ns_lxOvlo~bcAmcG=@|b~$;MJzwn9IO;hH_ zs%}&)8YbOzcwPhwGPXoh97a*5Za!p;;9)%yCQWq;&hIFHl(pme>ag>VBQhW^P+8o3 zt8`IK{cL)Aw!XH$wzf9So^;)kUKKUarU48}3RF{%?U$zJZf$Dvm^dqs7xC^*)YI3l zFy~2=Lu8Z{X1r*UiHAbivvoua8~>b4JFV)uuU(+5W3{~*%e!jiQ{S6yCAIU!W1MBj z9&VL4)Gqp)@x6Y>Gi<=+o1yP`l}+p)=+0P|G^WPH7!(PZSV$%CA*)`%&s(`ILq2yyek zAW9Q+7MMFAnQ3A@Q%qdxophLizB7i;?<<&)sso4B?dHJx%~By;^4tkGy^~wIau|>- z_YDj#uWl$!?de(fgYSF^-%j(jEL?>wjc}T)l*5ZB85FCxhUhAf`u+AI!tC+JQgmiz zWyOxyv=nN%nD^4(RzF{ZLB%!DmxQTh>x9+Es?+9XxMWb_`nK%DRk0k`*gJWL&5kJ0 z5n(NoZfS1R$`sMcJKgGQBV_GYWOlk!?}M~&2nw&|W0?6MH_H3{AGpR>iEYR$jgVj4 zR@Xdyn`k;yNztJ49Q2EWEAEQL{^SYg<77-4%V@M!@)=%8srRmlD=7{2NWcU^%nk(vQ zy9Ef~T{OQ)?|gSu`>gD|#FxC+BYK1P;h#=f}ns3qy)E%5ww?i=QVMwodZAq!+*fM8q4-Q;3 zd9%XTiA>Qn4!vBxAh}(b)BV~w{Wb7g)CH3-Z-*q4YL1v5N)uNKTX6uPtOfDE~2GpT=xtW%lRR zVl}n+*{p#o`3vxmqTTxb*8DqD$drpE-gTHJc937GhWJ4D5Y|`3sTEOgZpB1$PSQm` z5lo$9|1Ht?ljn_hf2e;bPJ`}gUnKVVQ*hJkU4S2V;&Q;P8{i_RNfadzKP}Zn>GR8@ z%D@)BQu=6)KIdi1x=DC?iROS%>u?Hv{^AKT%yFiHMMY?T!?<{@MMT% zniWkMTSEs$m-o<8OG-Pjk+=We$rw$@u$FFH2o*m})7aE7B4_Y>lLjzQBgyoOUohYT zo&0rh!|Rmztn1w0i!~&ITBuP*U{oKEuXd`KR@z)a@Y7Tg(=V?Xurq}g+GEXp!Kwam zyRd-0NY!x_eln$-Lb)E$X)u0G;XwV!xxWG|$eiQxcHGKy*Pk@+wx-wk!;XDV{a%iI z@z~~MU~)Q|W?$jMlF?|1R%!XSS~nfON(kMS4tb+_{{5OxXBWB!OeEH%b6FhM9G2(I zlD$x)^28V{&?Yo+-7l?LR;`Hyws%X0jCa|}e&?13mSb>XOT7OwinG4GQZgoBC2PHA zRH~}Gnj!K62Nx)jxMEw`Hh>q3J^}E*SMk}Z&8*pBTb*5t0i3C4gyDa(ABa27WJCGi z&9L-%%NNSgbLv>zAn!i6Uf_Rzo5-ukXOmmC!C3+|?^|UX^^Al+B*8rESWSc|oeDoQ3wcv$k_QN7(83 zq9W*JXqP8Hb^E-vnlr%n-;GxV{F8ZqG(CR~fA?~5m;c>2_Fp^&>^H41I<0ozs%*R! z_nR{mJn#@bqRr7W3H4^f?@!h=@(Iy09Rml0#u%#ewjcWHyRD-aY*BN-N2&0~tLG~3KMDr= zAg$jX4t6K+kDD9*m&AqwLflK!HX-F<(l4O^_mB2M*ucrOP8B@}*UZZsKBmB*zXkUD zaE9{XxGF32v}N z5eEhIahP=$UnGe}>4&(yHAsrmzWi37<|We|Zr648R`WcFIvj>AX67Eh)(*A*#Gw?u zoX%E}+jdq9>Q`L2yp~cT{wy%RxENai#^0H?Rf??jRC^d_%CJ60DmN`I2UJt0?7=6d z!UrPCm*wV?9cKp=HlAq6ZF@=ABG*b>S0}+FtLw08=vs>C)MaodUC1P5)UrkNK(Lv> znO;zA)ctf>PO*<>pcD;jhD7qidWR5=f~FxRL*4|gNcFKs+ikd2HCMZ>UfmL00PE%^ zOJI5eCIJottqhGwV$8IWI6`X}D8ye?}1^&4)uMD@0|Ef{fJi?=R zU0E+h{LmUn%Zi8fi#Qrmy{28RZSlddm)Noeu#An3L7$ccuhDK1iTv1fvq;8()3ujF??jb3(Jb7m+{BJTC9a*~V zv~eZ8i)`!Nrp2PTV?{5QkJF6%+FS=DXqrMMv=e{m~?bIz^SzKHkZ*#dewK9RPDE&b ze-EQypfs+8p|4u5i{)@=st5s%qVTZVeMGye>Dj)u}6B}IX(Z~E%g4yWD{u%?OWtJF`=PvI#NT>j!r5Oaq%j* z#lQLcaLxclCk2+qFxCCOlN$B%WY%KQ{?|QRhegZ>P_>bn%4xs5q(80~=m$U8*)}{E z7fBLYAmu0PG1?>CG6f4bt9m;5D45zu7h<%h7p~xV7qc|1Jz76e@|@uQ);r9vr%Y@e za4`+5H*-2o+e^CyWzthqFKX27xp!>H6zOqU9?5c)o~G4L-67{>}?=G&LCN3Lqm{Ez}7?&QOSxXClx z$H)#xy3ji7+C~mjXX=lqV@*}>yD6eFo%E^f*T(P>(ikIhRG)CjKnhJY-Qgns3c~dK zunB1rv`qu*>Fe4+^}ozjvF1J!d}7u`rz@e7@;=8$DikJ@2@mKX$X=9nkl?opbb=5NhBQy{}DEDCu~u zd{-N_sg2D{_pW<-f81+=QiZn-RWG+yZv)IbXCcVYIJWZlf9nXi{|uOvhXrEan%#E! z?&raFLlrkRdDAiBea0N{_!VZeB;ab-u;bj;9|q4tH;RN>^rk#= zCsj4>J@o@gn*GO*{izxwaVl_0lZ$wZhfY=%<6BezFk+I?&(_mY5n{q+;;Bt+oob?n z{DH%>CVSd0Wys8uUQ&PH60uCJrdWOMUAVZSg$=D(fSdC@lr77%t7A|UY3Bz+)|ELO z6%{7EvP0qALsBxx3rw#e$jh+G<_(OXtueEo^=)$%az{> z#9ZV|8Jy2?LWwP-g-kn8#*QV%`0c#xc&BTt}0bm8>*fptU8QK|@zd=em&r0rtj>+g~pB9L%9qrZVxcsd$|6mfXlm7O7o5Onrn}XnLCdk;(2d7fr2+&9;c#+sP%csilY$;^me{iw#uw7xp zwotYY{}7NM6O^9R`PF^$s0SlZ~@;v8&?<3-KN2T1a+fyB?ZYcxXn9ucRxbs`g}fvIGQ` zg5RV}n*kV!g@^91(8L=xjDR2i1B4v(hXO`b-jms=n*KI3Ed=}4C=4c0VqwRtpg~t# zJ3BAS(B{*Xa9(yM6ybOHl<9P)cN{ZS`<@eYUAQ@&C?UX9+4Z*E*~v;xy;84Uduy6k2U-Zz|p@_bd#mN}L=NI%_?|4P>#Nir^_;1{`wq{n$R5qI9RPRrI8xIBK zo-hC_aQ|z>{FBK0HJh{MsLdGJ6sB0+kmxOYUgvcvJ1_vdKv+sUcIHx@O>|!ee*dWA)^80ygbo0;*^`sh`s z8sXL$_QdTEdVuI1xq!oK`|iaor>GOyQ=~{oTw?Q}+`uA7K_a1@uPNFVA=*gc2;^ zd*snP&8yszT}f}BJfaTsS4BdX7V8_G9?$*JFr!_<<~&1HEL<^h*u}izq>VF#;^cbE zi?|0luMlb}gW(0%NM%0u<*xiDjj#LrIF!x&rRbX367p3^6cU%=KGJe>-+=ZJ&T0dK zUN{@-_YD;l=f^TLIQH^9U9Yh%(!U&sim0egcP;VxmG3=G^d(6&dGQ)m-j+2+>jM*` zV;Nx}2G5iq`sZqBrbH^_u5BATuUZL7o|h+P?XeJdg-wN6K=s+7$QXUJ+M0G4;wMs^ z^&Gd7eLZL5w&Q>XR*~XVwWJi#z`^v#^2HG*aO@pjX~fr$j^ue+SRdZF4PX0?4PSk1 zao)VAi%q|$p$LSIhqdcX7xx#ZedO-okF;(yAm`AHM$+`$<_u}3t-bIWxAm`+*rfqm zaY-3nGS_$V1z$);T7s?Omk@m9R8+9TL=LYS{1&|A$JLoKO%fl-xb_q??8XT1JxccS zYC3TB5dn1R&kYKU9S&{c=1d`;5S*dnh0s3z`svEMX(s$AQW~Xb9!#W&OQNRJ%lO?v zkc?x2v&lA-br4 z2A>!Kh>|r=c9MbN(&Rh?L$e&ZMd}&D?RJD2UcT->GZ3Di`seLR131{DwsO8$PQ;an zkteGwB935pG^U(fd2|XH-TMc6K?ETAgN8bB#hdQXkK&G#VK7O!gV$70m z@b9=Hh4<}~l<0$mMQ(Ps@6N0#*Hrz_fv18~6;pVCaq))#!3vbsh?qLb^55l?oIUp& z%+uN%U3^$nX+OfJ*xcj?G~e!FGzjB$O0SN&JbLd$%1{z0$Xj+o16}pEo9hiH4X><(qHh=l10?kwQ6?J!+lr)6deDc`!@_Mbu{E0YC#0;>7u{?8Id26i|*q5E%4M-zwsC++Fh!sXj< z@R-_+=6$0iR2)Lie=)g71e``lfn({DZ@zB5;vUt z`{4c&vFc7UfZKU@zxZRh6iqcBSGJLPZU%KdwcSTM*~(s?cG<-QJ7?6fMdn$yN+{x%C7UHQS5)FTIPIOEY{w=Y z0vTnf#88X9z~Z)2F}THqqd}l>Sasu?9mpqods$tHP^CfC*`orjFjb!v2B!|972;it zU_&Qa0uq*Acs&FRtN?zf14R7e%<=&G={hQZb65miWQM&lv1)VgotYcdH|Em;iH@ZJ z#OkMT29?D}z-ELEoq{mwFgHBCMG3&O+EFag`Gm_9@uIEkc?qiJxNo?N1NJjXBp9tR zA`qn3=m6p8M{FH_qBO)6J4h_qG@wF7!{T2q=(CJDcGL&gXI zL(xD$gg_r6Bu?&_1>ksi$|{rz1BfcrwqjdqHv%YBy6$Rnjv-pO<@7TiFue=_gb>2Q z5yJjc?4)K)j6;_pqP!dl$;*z-6m>~BenD>_MpfILF5~%^fJYBR&%MT|`1ol%4?Dj#^_I1|srVhuG9DeBv>t~+ z8JRo-D6w`&Qtdf=i~H4n-=q7`m+xiMQe;hJGZt3Av8ikSQ?=w(u~0z3OitJSuO)eW z0KCGV7#vJHrFyzTDHMEQcN8x3P|AfnF zUg&muq2oczJ#$#UCq6sfgCb^3XErS3+ROz^|>mZ_g#2`>X_QzRAX9&4~xFoG}XZ)=(rTglVojw1;55u0B z{ikR4S353N29J^8+=B-5=uOh%hykaAo+8TL_`$l)W>(#WtYSjntk8A^u1Wh?vBnhX zQcf|x4SYjJoO46PcVe`3o-x-#vLD#KSqw+>H?5LH(ujzN3}75}3S=rQT{$pJgc5s@ z=bi+EDl%orjt8Zq8$A_9^TawkA<+o6%#CpTSf1>{jE1&%{GwCXS*QnEMN#!-|I?r z(htAso;Z^u)Q(tl$Y#+B`BHG9uXn88M7oYvO(sPlme1PZ*D#iRgeh0*&T&+l`p^6< z>b)m^Q&D`148H&H887Zl>cZmrZO`NDZ_O0a6a`!%xBjlgcGh+O7fsfeHXBml1C|T! z!cO(+fEZZ%%__lA#RC(P>}5^QS^Q_LebFi7DBmCDxj zgGZxeyADfDmE>e$VXQG2#1N@O)LD4VxMSEeNML$W3t%AD1{&OaH=9F4Z$78EQkYZ# zIIW62MmjH*SlVK=jai_kwNil&+sP-fX9LoTTpBRIM;BH1$I!ktyt)nXlJLE>7B`wo z!*mc%lq_;V!;(owWc~Etk(6@9#-Kt5rZi@-x&DzfVH4g1BO*i2dGtpQRO+`C~9@rcWgzr2`DNdJ>i7C$C zR;@fdv#mwv5Pa{WUl9FDoY!9Dtr6)7n`T^aW?s@F5a`KI1*FjB=$auuGrDcHv{Q;a zAwdCD+-m{P6z(wL;2So>FzHRtJ0j#TlYoEBjE`@V85uTQ0<)EO!<-61*z?0PD;8R7 z?%l4o)`#=8HH2Y|^{0)Nr5Z{$tB(H+vvh5eB(rRAk7nHk(WmEmj!|j3O6cC|6qJoY zwqMU796T0Ls>CiY9%B_MK9~S5V*+L=vGy;9b>)uc8zs=cYc@YL;m4%2RFoWby@$uD z%Y?{i8oQ{`INFn34zQ%qd1@R@M)S1PgTb z!i)Gk<;}yj`&E>={PB_~G|!+xHowmY?ZeG_Sb@80+ zWkrQVXXk09HhkOE>fy7}7g>L>XK2o!x#JJwc85p4^mveVrw>qmB`;{LJJG;Z#QjX0 zq1p3tT&DZWd1tBZJRq=w`s+e-8JvbP>WhZ0`j4wO3^J9XKd2-mP=N;%&pYK;)0Aa@%nb*5@#)yFBy2O9PKG(4F zWnN0-nTXpDQzd!+|A-Cmp?&I5#9zsFdq{u~=QOFV=j&u6U^%X}{{C;7GRGbkhRdEs!zbdu{1}VpLcWM3iyc9H^dOJJpe8nZbht zQEE6}3mKxAii%7heT~h`X!!UwnNkJ@23WLR>=EvqI844sQeh0yD;FdK0i}i9#ZZ%X4oFDo2c^W9TQ(pku!SH?x&0N_Uj`M<@3#`=*-SN`Hn`u0s!)VZeLTF7w^0 z>4c?$CrDl{uwwCpap^X}iRO<}+!JA~3aCMM*Xy0n9Hz`#-Ib$A&`E$Xch_JM!_S{8 zAwT;nG#KHMxib1%;CdFeW5VPm;j$45rJ8?4Fr~;3{>){F8yulE{;2lxgT?rtqadrj zg8T-t*@#5}$Ip2NC~#d}_o?yi=QAt+L(???xBdSA)V8hFD^^>}+OAwvyIpPD*4ow9 zx~8_x)wXTh`se$*`{#0(%Uv$~JfFOu7ZVy&`_{=GjK^IUzbUxQUv767d`NfUx|R{o z&o1J^2GkLbGb?g9!*qoZ=*8#RZBBtGP+FqU>+?yIo~5Tgh_6_6Q8d7b-j>LnRIgvp zl-V*=K!-Lb_d5&Art|Qfi%5Z&o4F$~mY=oBUT8*Ced0BEAAxhf)0l#CWRc6-k#&bWR&rsCR~%`fon&4<6Fh0xOPta zog&2#vLLI23}dF)vIr_b5g)5MQ#2ZyjKyEq*PfQ;39-QE$e&`)YaTORc`X+HWyLr%ytZO*^TWxx?$T-a`9xmG&4^7yKNq zR&tlYl-h3VYQN{Dut_Z9EwEgxVV8GJ#?B|hQ4#CvYi8!}XW}TW8j3=?7$T)lrmBB7 z>(J#nHiJInql9dE|6%74()k>N7ez}6+Fz1GRj@hnUx`Bnq%)vGgK;VQmGUD3yE2iF zTc{~KXnsgDwzjrn+20i$+-tRI&*2=<+1{=3tB#!{vn?e^Q#ed+nYF*PFX+0hl_03g zFs6LgbQ~h+@O>u`rOiLJI5}iSb<|QtLFc)wtu>tKpYXe&hFzR&d>OCd8d-ktPkSK#hE3XZQv{;Qy*uHRW^~nVAW>FFLp9>P|K16CXMKKDft1kJJXD>g=( zzCGW8!oefS$ha9iHp@o8g^dZV7n{Tpi|fx15BaXEQiN|G|59)M&536u52%K{!oSf7 z2KuKT3pTCHZ}s*4ZwJ89CSCR44q&Zk@Uo@hiO5L@HBWSWzQfxG{m_UlY}(uNutJ?o z-}Z`D<9aU;lRA>Rfnk@~dj0SPa3_0yuwC(}O|KL4%4~Kl=bkAlFZwt;;e#I7wWdly z3F0P@oKTpt!FcGVMF@jd-jnP8;RYwHwVT32>!dHToA%a{>dlp)KQr52uMRqN70Nr_ zwe|$ne_d25*5FY1<%{E#;}Gvq<1*kxG!`VyxaS!qyQKI}b@GpP3JUTc=Q22zVCWD^ zSVSODUMtXmjyATLsk9IPu=9LF0z6LAU{nuKDzL|Lph`%@_H$uiNw56XC5*k>bNX*M zU`fB7vC?0(I-33)Bk;QJMFC44KV64Q4TZS#W#)atdhtP_wc*hO!o+=|7Fp9{grkJZ zGo>%yzm)Gz&QGtaL)q!_&C(fhwEBCc5jn26c-p5p$#abaLbPr>-bA(IU` zD4zJQ_hAb@uP6kIXr_|C#ziSk{=aAZMlMGcmCr^HH0cOUA8Y(OP`67$gJoVk<9)v$sEa`x$G=>f#1b_~d*roY>at>5(@kYtskZuB`xQ7h2gv^c(zANfT|?Fb|y5pD%HbUqPZt z4y^KFY4b*9Gd7Qm{gwDy*JE)rZ})4z(G)a4Hr)CgsTb`w-T?lENG}(iqNNNk7mYcX zH70tpltIRU{)=mCEFnNxsxP4TW<*3_8R61&p4elj*SY6g^#GejRc>kNiT@i$cpgK{ z&d*{M)|C4VJHMC#S8_m?x@Do)ONB<_*biVdtK4x z+G@@#-_(cSJhk}ECb5m; z^1FzBDcRKR*Rtq4r&WRt{H;%*ASs6a*&Xid<4F4l{kJ?P`{A~G1LOiE|Ir9ht=f-S zmY_E!+{XG*=3g=JpwBu@oBnSpqW6!=0ej)*5kp-81o*7I?2a;rHBUMQUlyIezWQuhl zhyY}xKtsb`w0`#gS^%0}8k$i#-Gn7qUK{8(?hNb)`GQz~V3%VBaB7-1Dg;vryuG3< z06>Iof9hE878y1E)d(jKYw1++d*TN+!y<&^SHYE*s5&=a*u1XPeF#j9SO0Ua=RpD8@gQ92=IX5X`t^MNFUZB<-NzhM|C?ZP`f zh&cNh2zW!cj!7#R{E~bkiD&t|_(juEwD}`0N&WS(q;|v0)J*+=R{wFCzVlVH8@-vU z{dBWe&u4bZdn7O$ZZ$E-{lmkxA~@xJp;1%J*6WFW{4Lz)cnfk+Z;m^Su~jy|1+@0E zbDdS3YzjE~m9Tn=&Oa5O-{F>AS1#zu^;NdK&psajy2ucqtPe9zn|XP!)8vG21g%Ma z+EJM$6QQzjH>U4n{h9a9F zVO^VVIg=fpqo7KvjI%$F=vLC@9CKTd&Q9xy%*obi+Ii`}#RrG~#sR|P#qzzSUH#3{RJ?0nm_i)1C0Nm;GbOhh;=rO3(id4|CVu;}Z z;Y@g5m_-@fPT8p>Lw-*^AG-1VhkAz!6sF*1jEIt71)i=!c+`2g z@pD>4(rz?>TRcZYLS$SCwWoT{FMRqyVCKejI>~sev!C0F-TLiwIO$aF| zj{Y!~m-qRcT|&%Dx^Ye**q8P8sL*zp27n}7z3C0SY2g&}v6dn;z%j%(eBwChE-bU< zC+iw}@};63L2y4?up#5sJ4^y0OCsmunM0S0Gpl$~iaPI-D02gNS~S3e(rGUm>T0UYcHq zvT1Zy(oWfD!|T=!uO)BRn7oQ{bjH5JLqdxjI4Z1vDo9dN_GJ=?IRXzjXJlkv7xn6P z`WrRik#T{Cjfg8&YGf!7F*eHRiU0BPyo)*G6T`F#%n#K6>BrNUG#whh@N4aYLE zB@_^^|D#zfk%DBa8Y89lGOY!yK^6 zVMkJ(k-EujZezQ2`=R~eH0C}=o&5Rwh1}O4k^9I=L39QcT}%vf;0so{MhB_&htP9u z%ErTC5TJkg;iG-;?B%SLZGu{-@VSr8_bKJ&e49HImPf63%(Hek-IBJ08Ds=a5E^f; zanSOi{3cX-V`8d>hZ$S8#$JdfCXJ#csGV^TSMP=oy^?;gCl%JXi!kcb(Q<@O<9zqa zjXDIE1+Ee&BC0etSfQi9w_LqDt%$n*!Md+5$5M3u#ltH&eO;~cC7P`U$s^9>2C)Eo!Sgq?SQ@+Ye#Wj|L%fjPRy(awn6%mwfsP~@}JNs+k}7^ zsFg(W(TJH;PtGma4bTR?tYZsVRZJ76zdM3P6}XcC2CMJOMH!Vm!)gHjs z;zzhx`kp+x>pmi)zm~)6KN76iHOSja$xH{z}APD~rs2lwGn zTAd^#k{F<0Cd6>?3%3RM=NFDlA|j!4`9i3!ZQ2p!r#}Vz$*+^SppxQdlbO)ya3b(_ zL4W9)n?7Ag(?>v;KZ8>d7*f469EP;;kJ2-7JmK+x}%#Qk- z@6m*1Y)lIWirbVVF4xV;?nBIPV}ISP<87@1Okn`K;&g!D&q{5n~G*PR;dg-@a6J5d(_S6P%*JqGV-@>Y^S7_M@^!()bS$}~SnEj6kqt|>ky z>QMw+yu#pr;^{w*$;S9DF^3LF;@^FSt*yu%(n{VBLlJFx>VzPwr;Xw>4}Oj&bcov8 z+VurL9Zu6B-m*A?c|ZH(o_ z1Dpu<3J4!soEdN}%`8u84?48k*SvqL!uJ!lAp=ZiOjP%oDr!qXMu`D{Ss0RVIhnRK zb%pf3zJ413FhX!qT(8Cyv-4O>(GCFEcAkuP3cre3IiWz=5D`nq!E)lzL_^n?5Q~;d zO3Ltk@pJgAbO#tdLylj=T%o`MOtr2k;uq#e$SG+Slh{~#)`#Kk1Nh~8RP3>vMM6{w z`sF`0Llt~pDMyfAK}$V<NOj=Hy zJ)f(RniVjPzoJc(DWp_(V*&3m&UgQLnAn57glg0ned!~2Et$3T2%`~@=5y2$KQVFu z8pg=_ zQ;P;FwF&GR9Y-loA>)WB>VCFPmAx+{D3ERxaYzJjMthT=)Ma++hf)7wQSwL9kSSqk z%=E9Y`^?@S1uhPxwO-0R*AoBa=n}?EYnRG)e#Ugq(Wd%(ln^OF!&(u6^{a4|?q;M; zsAo1(^?74j4;Ki^$%+(7lt;NB`hh-4T@b5}X#K1%qhoqrtTB`u3x9ce#|_ugc{pAO}kn#rfGpL8Qi>%S-#ykdl1N5c`2L5?-uBvew`-exzSY>P$&g z#33@M5|MJLW5z-3m<*?1SVY?Y;QSW2Z+q~AST}N>w`M$=Q)|u5%?-rh5oL}-SxzEu zk1jrb>BG6wVGdN^J>|kl4wL)LudKMeoINzbOqQh;OOHpn9RO+N(HCA{k%Ru6&6CX( zmkk5w6NFP>+EVzh;b8X*tu2SQX(-FN#GqHp4}Kc>!6eCi0*B`{X)r}E!Q?_%3xI*U zyRow~SIz{+?_bFyCa~d2HR~2*FLOj#wT=Pi@AYTnx+q*np>oNb(RssEVWeD@;Jt`B zMKsi1-{%>tzYN31wYqD%EG#St6yBFTAt)$M(12jW)G<>MV&a-5YfDV_-C6!m;-7J^ z7d~DEX|kg76aB>iFQ3=R!s`lXFg!Gk8VuK-UBW0lAGvwo-7tee!>@<-`c(A4)EUeS zbWFy|>)@%^Y4+T#cPhG9?8n-B;^YlSlcTrVtOksuyH8|aOUt9GLL2w}10jAdzf%;R zEt{B}c%v(W{my@%v;C!|1I$->ojUgG)C9>}Ea3BEL5NhPQg|}yD~qxkN$Vugr)kog zt07N8VrybRy>CPkN-~=Ab?PreJwIx*FiNS3krpl9a6O>-OJnh5?`O579Gs5`Iz%{x zUQcT$n80df8dJ;j&(#z9lp&xDkT#}p0uzUfiAhL2Jm(<+c~Q(3FfWQWbEdjdY3Z)QSn(^_&mL%!sXu@? ze(4g>#icm&&aV`OC~{W58K4d}d@X@K{H*Edqf$;UPddvYq)K^0D=jq65IsTgOF>qb zF(hk$P0e*$lN6WU147(eq{H)Oc^3KV(2;BwaC0f}&&JU}L6Lgw#+hY0z$+2~C{sp! zj;1TCU3cg>%0>ZQdjx(c0Na<59KV~^3~$+;_09!KIR_0lm1hG$h2)3G=OpMf$dq% zG8tBlmXMI}@pPfn9H@2R{6noLK9+)noRC}s#_j4sw6)PRvH_-NJZ8(Bw$xWkUPbi@q+gtxT&?G39!Vp60h5%k_AtpSL=lk!R3 zK@O_5CTx7k;;!FfW{e|Y?*RIdpPrk>He}B)gFm*rkB^K>GK*`PnT?}q$(;VBTNLR_ zUkAz#kOl6hAIXd+t`77UKcQcW}R{29@tO8;i{wV@gof@>j>HJ?-RMS*~Jw-;h!S686xvB z#c&6%dP0Qhv)sLLzny*)*|#~9VJtTS|8$MdCpD@@NQ7F6BZ^Mq3J@L`vPP(BO1>~` zm81p@+iFu|V}pIM{a$!OwRH<>aa9(XWFEW3>UeP0}Nnv_6t7nLa*E?9X6s;u4`GxeMV z79_(6q@?xhCm!*!wT+<(>T+fIdD)Ma36T9zlo@JBjpg=joXlY=kHzjj!vJ(Y$yf{) zi%|h7n@hQ&(^I_gqbT)s{)Wr2;QMQ#=$h+_&4lR3D+*hi{Xv#n zg}4149K~xBop7f~ws!P)q)0Q_(7cR~co324Fr65E5D`tkf0dWY*0bvEjyK>OF3<)m^YUo98aOTq>a_I;VrgC-;+DOG_`m6>Cvc?`sm zwsbNw2>SH(>ysl`hf#f-ZyPsh{vEr0K^XN+f~$idGat_az>vfY)hqRnu&B0HS31Cd z$yy_VcoLC3!ns((($<2Uh&*P84-s0W$lCr#c-tN<`uJ{bZK+fkk+#(-1^Ithi!(|< z!yQcU>!7`zZ`bYDnQy;}Aq7iQviKK`2IC%%; z)_M8t_k1uJf(4O33Z*w~72zsBk@7Nf5GNSVT(wy`QMHqlVw$+LXt3lDrIeO(mM}S= znR0z(J6{H00QJ`>0pCyNzca>>_-A=HMc}t@E$&oyJX_9`M&vPQLCDE%uxxlZCI8pq z%8(U}!g2;7IXFO7Zn@LVq?k@g_h1Hr^926X>5Xfnd#lEQGLgJ1n3X z`(ZbRu_81%dmM4ZmawLN@Sqv2gYzNb;+Mhx^4uurx=>M7-c#2nBDHM6^jmqYwcu?e z7>mB$hRH6LNUgxOh#cK*)xL4ga34C&M&MNM_w64=pU;uh)Rs1u{4X05i#`u)8*k%& z+ILGgaL9}>fWK~}o|9B%t)J|^+Yw8qlh)LAK9c#LF0+}7Bl-jVrBfNQp}C<@38eT! zZpNRx8Y^D2>7c>khY@q74@+kJm_CQf6fi)GM$&rY3=!A!u!D&lzoisbp^MrBm|V=E zUGukow2k+zy>aL+KDp`s_N0NLxRF$B4rbzVc^03D1)3(mJz@Het;8kk=rs7%r$%3x zuJPMPTbD(T4C{m5f)Dpm=L!{wq^*ZidWloDo&PimqBB`U&|`$(GFR6XI_~ApDQZcL zS$%R6lrnuoE6-bIF)jHdlHzdnRw?2stNMp^pzLi99Q#wOKJKk;wHxT-QMA^ngW&UQ zA+W_)b-2JfhkTB|Q7lnnFE2c2vBxawPxR|=J0P77YEo-Wkv;6 z?rEMRM&XX67bQ(+RPOroO-o+f5lpiwMA6dU)V``Kg7euP#H z>Zx(@9kUt}Qzno#ft}QnQo?2amfjy}1pHB~0$G-XgMAJV0YNmLEQCQQQ5go;xE_RJ zBL=v3XOpBz5jsI9O)r08KJT*DBY?#oOjNVrw$qbs*2&(OhsX~h5{@4XzX+#L;73|O z6+op^d|i!k17Sp2Msd3PO>?W`Oe|$(Cx&3fTgSg}l*FV%g3fr-7NNFz=MCtPD8|?3l0XtG61*# z`5$P>6o-a}3Z8e&xVu)3Szh2Fb3-GGQDcU~?qlJvQ%*2yv8oJ?(J_=~KG3Rk!`~CIBxF2eEeO&>zG{+Nkc_jnbcsvICb?i*=zTea7Wk>&QsBZT>Ikh?0clhJ$AcbFiy{p8(KbLO_@8OmVHA^(E&hjOIzIf`8}-o zz4=`j&#}e^DF5WwZcIXp&JJPtL4pTphn3fh(1~9P7(&5<+g6?^6T#YtJ?-Non_kN3esW z7Oi4e+@Y-8M#Su7yb4;@oq+XJr@=X(He|KRaHp$_vNaSp91PM#tp3?25=Fq)vX?B% z1YSG}NQ|$OoM5C!sZwE$8q_eR$;BMZk1vb*8RYsX&YrUtyzY#YERQ=7-sP>zh}ZW8 zOO;89l$kLkGHJR*r^38^puZmi!Y2(wrt`VY%}tH!LAtu+V690>ftUmcO}%eEFWsdK zb*5ks$YnmnknD! zbL;tb@F>hrIXJ3i;$*Vbwse<60mOWp#DF#|> z^C6qwy((3SP@TOhw?*9&WuAkTq(1=inTe=~sdA;fx&xHUj*A*Pmj@V;cB2Yfs9i+n zK1BX*MuYD*k46WNR*_U6^T}n2QYuFQZE{FXF@8400A~VrpXy*DA;F_;{?c%Jkh?&F zf{1UT=25+N=lGYoAHrG+c3BoLAr`VfL4yJ-P*AXu!}echrgIbL^B>mCz7d8>gbP0` z&YXQfB4Xh*v$J)cYD@ED0nXD7^w?7lmBQx>o$cQTAn{)N32fYHJXhq1wQSKaAF{BN zm_G9V%!Na?0uyUWYiC5kn-PUMqsAOsCw?9`jGY~KesX#Kpg|Ma6l5xnv{4T;zsnbO zeM4t5AaK>Luj6!gHm&gX{thD8?B#twH>I{epyZ>K{yOK;Y5v!_-W3%Ug9?iB{is8G z!fT_@kTotXEAgLd7=KyEsOuupij`SUfz!dzs-B^(s=LAFg=~sz+8+`uu~{1|yJ5?~ zjJh2@W6TmPGvtXUm8suiz_y&gQ*@uW%x?*+%tE4t8qu$y4(Qdb3)aBSfekj87^l%V zih;&exd4fpKgp=`!wDt71E6``DjP7$%i+{A>d^-u*h@BN=*k0!wC6a+6XXXHy07QRE09GFABsL*^fCHicgUmCJpzEww z2nS4CVY^U&E)HrwkM=B45)Uu8`FdT&_aMj;BqSW9q81c$WSO3feLL_I;ZGrXy~()% z$-s$key;TS!Og*O%ySeh-sK6RLj_dbAHlfE6+YM+nW3cnaQ=5D05E`e`0yDL)f{lG z^7vxv=vT#j=3DWc($TYVKY=$1`Bl}t~JR4t1Ux;0_et9d=> z?BJ=_u{Cs?-*zV-ZmYTu||+T()p(dVNxZ1s)e~_D6#e@9Yrgt zkINqru>a%Iz|9H)>JA+ZQyg+v->}Ne7XkVn58i88z}>ay-3)TO`gh_FTh7;D$QvK8 zYprkR%{j5PF>_M7SqiEtHYSrkX4&=B66BM#6EWLBd<|Pp*Zz2(gmDvnT(}v371OpN zpVWWS;S<6>b}#zLXuU)!+UJTAxw`Gh8=W8u9nXy zC+UhG7d|(3)%j*}Oe`nAzSA?47B_RoiIs*MVS83yfvzncNB8TSi{Cn&96bt7{q6nC zj@kN%+al1XL~%$?mKV)bz~oh64t}kGtC?w$s%BjPXSDd>OF7pVSAY#BrV{*hJezKl zZ}0PY#rb&!tJ;n4cWle3FK18Z8VGEb-Noi~7rNap~>%xL$^I8#;AX>|}@;u_7!NkT<`m>2tjH?3=sr-n~qh+Wc_yTGM`= zh?#5gia3PS9EhCN&4h-9=|8kAH0#6&p7T*h?mX@$D2m*Sa+WfM;zPPcVKEUU#3;MT zFVFxG{eM?{R6c0~gE`tRq5D6r5W+#q$$Tg=3zqiwYAq{(^ZEJ?pRKj^CLBSB3k!AvW6b4o$@q5t2V4*lSN?Q10@Tholt3AAAphBoN8TOiji{8-bDP zKz?m!<1#8py>bwp1LVS!U}0{KDZyjL2^c&ug#*b@LHL08_ghZTFkcKA37!P3xW6ln z(@7h?@U&T5h-Vf#;PktAit!!!ILG&*1R`2&(0|<*hyaB9 z>38B|UbJjI0NB~tFZVgu4b|W=Bb7|7iyoXd-kuLSyr>`+JL;+ZKkp>0AB-@{)Wc}| z0?UE`gON$VLoLhKH(-@VRZhf*b_{=jQTFvkp)C{YWz5AKNzPl&#`^1g!us^w(wyL| z9R=5_khy}cn;usq>fH@rr$^O>n3sP>#Uq^CX=9G>a={;8XeXhCmVhz!4ezr#UVW=< z&s@mbCCGe=Ys`E!mEZmJT})V5Sf&{AqKHi%gQVIjgd}jeUcPTqzOe8J2$0_q%K?(- zk^%pccBWRYh)D}aw-fKAT#=F!6x}RBWj|v;0k9su3%vW(Vo`;G#fx!YI|u9Ma@Ock z)w!HFC)B1RO})%Divff@!|JLVcfjwA6pGW6m7_Oaf@6oxB(|I16BSSU(iT!EPd#$q4Yl?1t0 zDYQf)d|*K+ZOjzw3+h)#Uw41CN`pVMMc%Q#0!#A3ZE}X4!ZX`I{LUjhipnK{q9EyUJnksGH41X8q3m+p|Dn1C@F=W zA4`JtJQwfHbB}_vV*bqq^Ea+n%LjFWt4$HAA00niGrnyYFn&-@J5(i%ET?Be~ZlvO)}y zgId~BANc2wHnvk{`e6O}V&1et-@aX>GSWw?MC(-Rp1tBHoys_u7!a-?bnF6%ojBmO06^sjP>LaiE0Nw5Txb+ea#lDi(5I$JDnTee#z<-o z`qdM}zwHmpJ5Tj&xv|jIpkjN)%a!)R!V|I!ckN=8j-vwY1fxwXrSQ18DR$JRfEvwN zEu?>uy6$5B8b@EGi5U`*jEHc=Ne2*rMErc2&6rLe!2?7Z?ftOB{igFML(7ybBU2m~ zhgGfu#MAl8&5kRhTtrg3eWTxy&+CAbXuh1#@-9rY`i3kt$b+L-@C+~IRv z`#n*5Fp70GmE?B#ZKRG)?B$33_xN5A#5f8m!=Vs7_t`1rg*Y|;}#FQZAKEyqcfLeDJp z9YlZ{@l;RaCe#c5vyK0lHZ=S&l0ejD&cP0cyFEMpD`Oxe>X1$NVkGAp-n`1y$eN|w zsQtS<4%Sh{I4g9^b(s2|?BD1m_)bIj`7LK*YR~JV!gXjn-_t263ZK_%=8BJ_Gu~Ah zShqDFbsj`{@Gm8JsL2(*7dnO0bp7}3Vf~NSm=inNyg94vQTRWMVT=?4AQ}8rkc*fX zaymGApF)pN>?E-(y0^lFKLM9V-gNH!3*9u`Y@ZDO{IDXXZbZ42K@Rux5L8z|w+L88 zTeeibd$-24*Qp>qo14N7#!bPNbRa#2(;q+?V}ziYG=B)YuSWQbZ(5nMoLj600CUc= z=@P9KDlqhr7V7yr+Y6;^0wwq>Qz1~0zS&G**ZJ8H+dvD)$k9|F`mwbK9Yy)%e(nTY z&`;YIjWf&hto@HmW=`XSafOJ`ni3)WiFeuZRQ8b**bK zRyBI8we!npRrdVM+D*_%hg}_w6^>KSm7V)psXjy>`>|B%6NAQCk7S&rN(D|+!Uiz( z-J_MgGm5O^BSurO6P70Owf=6u=uLUwT-m4hA5atZJ^T}fJth3GT6-T-Ka$MKoGknH z_J$$S#=)EJ#=ZCOa&i7Vb8+4hokY}Y%?(kYU9^2u$8d+%3$U9%t zXHrGE{n6|wz(c7%ci7qL$^w)W&!=1PmbL6#q?4S!E#uEaCv5_HRdW4oR$_Pe<;u9$ zbL0y_WU&+Dc{|GW@`)=C6n=N(+6I;4?AZ9)D7D62g@`Q>5>+NW0%QXC3Ini*dgU22 z<^b65j$m|NOd(K-*`rf{0Yu?qHOc;`DASHkZl6`ws=P0J{yW|^V}9mIGD*7Pp!y?9 zk-pKK8{uIHCmNpm8aQ@ER0kOVD)F=KKNke!uWC$qC;Hu@SAi z3>Pn~zgkhl1}ggQ?f`FZXB6#NQJWN|=NG4WD(4g@Yh;@aUp5R}((CrgQ2??n_=KMZ zg{JZv&d+TDi%lLgHRs;|gZR_$V#^B)jb&zWS(2Z)GCwXHIH{rKpR?=)lW5*IhC5kL z^mftm67iv-poClYBW6Usd3eXpqmpa%#}UYV%q~TslJznO^^z5=Y(Tx`hR%`)Id`) ztA4#nNUpD>tF%ReshHMSGmFW=UDYctS$H&zY>^YzZH8Yn(8Xs*7_z`d5x1~qdOS}Y8!EC$q`X}imb*F>C=F*^}BY!Hj$G%eu7Sx3+Pn-I(orFf7k zcdWLWVGGE`>})zxpAQbq^m6b$!ZM@`8X)&O&4t0(J{eyO%!*GLF=@1mPoNXjAs&NG zP^Ji$^G@-q^m2tZ)@wF5(?%iq?5wN+aJRn0DFeP;w&X08jYbhEX^=%EK>?k$>c)Zq zahRJ0+8+&@7)0LH^ z-*f9*wJm2&J%bIgCrOn&UhzCa&}*@o|VD=)(9P$Fduks7^{@>&UfZg%Kl6Pff< zVL3Y9QrJuMG-|DwE+Vu(&--?fmE7yMZhlVBLr=@D!^gcM2>X^6xusE2F!OGo+`kc##ZmMGo+}-zwI?JSbvoslfkX}eiz zl%3dYG}z?MKoM|X8|6Rajch6B$A!lCp_UQeb% zG1lNFgW+>Ap^<;cAnkLJ?<)@eQcN|fz$)eWM>mFwIMG?fW5|FQ>8xfduM4OF|1?u? zEUmG!D(6n1qyno&BGygIFoIp0RUm!7t-l4&xznuGmSe~U94KiQaVqjLl}Hi9C#R=h zOaA@_{;U4!us1CA{#Yo8E_!M}g)AS9miB{(r;*sv*jx}L?S+tv7!n7(2;)P_?5Z^^ z-%FRa=Lm)fH1onyDvh|cNJoMVo3Wu{aXAo;!62+~NUnK(11cI?SOAcUxK}LN60AxH zo6bee1O{{A>M=&+r!qF|!+0Iix0W`_9ypZsP-2FYk)5T<(Lri~YL+_QeSuRsBcd;- zTJstqxB-bhwl^3(RXWASoJ5SUfM9Vku|1h@jOB^dKXIXTKfc39%Bkg6F%{VO_2VG01;AWZ#LOfdFsV(riS%6+L0WQ~ zNyj8iBrHnaBl?FoihI8CJW$o1&w>YbPcY!hx4t}abPrUXh0giIu#Mm7Y)@cxCPRMI z#ZjOyR_L75tjt9@>op}^dImCGE5xtRjmo{Rq^7mt($NZrLgc zQ`h{49$xi>bc=Dq&->EozX{=fy~KyF>|f1!Vg38#i-_|PM#mYXL;UBSD5O=R!im;uF<#q^qEEHagnf< z&!cOQzkh#16^hivX&h88?N--vzrSB47^RPm*hHryr1UA$_y-E)_EN3*c2kz$Ct9nN zBVLO67gLt6Pkn#Z{X3mLaPxIZy=gLX^3Fc|w3+j<(`m!EM2ScmTaU9{GLyzfJojiC z;wd7zrpP#`!5p9h!*5}qu5+^NW!1PSXsGpQq7dRZj_`_I;CNfPfX}F^bz9YxfPwYo zYcPZY!KL38{K{3B3{bzxf5}f=_2YX}$drl$Q6#q@)58!b%K_!Fzl2$4L^^xj8?FPAi;7@>`Vs$W;?ild*ap zHT$>`+;|qq_$$*Pi@5KZ#IWsIjb%=kuyt}8R$pCx1wmXAL8$0^L1Or_v_M>#uVeD^ zs9$=;ECV4t5*H+tL5VgJmUu+@USk69bCOItL(H~}ig>UL|4+OTes&x4L0nlpqM*dU zL^}D99`mm}pVha^aKVltwLi3Enf=Oa&FN6p%e7n<4Z~Qpn%FTxl;^e1isu4d-sAVQypzQC-?|Pe9E;tvy1cyO#bL0x zWA)+QLyXEj?k@sRyO>s7^h8|we<4aA63kcDVq>n~q*LofunT#qj?JI~%hqc)=U3vd zhJ>qhxD9Q1-+qOMV_48kTc`gCkjA2Hg-`He(RG~keOZ4DTXBcDJ=z=uTm#CHvjm=K zC%&lpxOkvQl-I)pr?qg9re%wXXEG%*^JGd{f+z8A*-Cdv*tDr{tkQMmZ_*RrD_Bnn$*5cB;2k zVz5sG?z?8D)a1dL zk)dL{h9D}t*y_jyp%>?79r$3D5K?HwT*tCRkk*xVv~HXc-Dt4kkrNd1qMj6g8~8kK9hKi2F}0NV{T%>M&uL6^QMP^?$+ z7mA}39#sv$PH8nPK&G+ATKd#DkH~(4+X{R;3j14?-O7uyO{02gT z=c#p$t{T`d_etD@VHY`_TVd{E(|I;TS%7qbnbdXqlz!<@Qu@x_!+JK4j!LA?7Xr&<>!LlkX&OC~Qg^R! zU&(ydcN^-8>f+bJLH~mfUj1l(U0iC8Qj|(1DWnj_ z#K! zF&k!rK*nXo3aD)(jAIo@X%B;9pQl;~VfLrnyuu(8#SyEwv5LDoJ1dn+OG|T0b5md6 z004}Pj&0nyDT-nMV0Q%U4zg{2YgDP&j)9>-A(((^~b#Y=wpsK=KlNdkK`4#zak=vl{(@0Hz4AZPd-@~DR_Mm5rK$Ciensm@xn#<;Q}Hr(f|>C z;p3kM00R2sU4IcMjO`)0{#(sw(9RKnZn^yr=e+kU0(!^EZ@=OfKenp1M>8E<5|2Z7cK11olcfn;B zlh)2yCe@_2Ht9e$&$1(?{*CE(_JmZa{_j=HbDV2+SZVdQsghIsdp#nwEtK=B8Kn6# z-C1S*_^z}QigbcBr}%B0dnY2UyFxn5=&{(E+{S%i#=kaRQcxeBg8gNpvhT>5+cdi6 zHejZcJ>=7t%v5feUYkxbw9ZmBER_~WHg>HO0_c=6TWHE#I{5J3tVMH&&Zne2t1_~fF63-{V{Hy}Frz?W{? zwD~7D+-Q%D1whKk%rQs5y0NJd2x6rqQ4jlS7GcUG8 z1t0`K%RPw@;HKZ+eDo0qH8!>!f9z{WQzfcs9kh2WU|sjWuD%N0{D_x$$@s|9-i*x6 zG3<=SXq~tI;WsCqaLP2ryuHI5CvlU7UF38Qg*l2%{~?*_oUU_U|MR==)-#TE#B?no z#tlAq13QIFp*=sck2u|=luaY~ua8#io<8Jf0o90;gFA4^zwRehmsi$i;mY7r7Ty_0 zsav=@j{K76-_x(D@9xtj>$6=)HtX)DPu=1oxbisnjwx{I8?8G{ZU;tH9d3FY9-wTl~!|Sx~nT!b=9{{og)NFA&5x| zVT{$6%800qZY4X%Lj)|-EKA}z%~AklW^3}Ehz9!m<2WjnO8tE`26*(bJd*~{%3yzZgRBi z;9h2a-|zj=-+bz0VeITwc>0Rb#*EEOa)55VEbw*TzwT8Rzv|qx&l#IN3g9>;z?O5) zW#B*m^FJS(oy6cew4kA5hOQku2?m}xdUR-T@U^eLy3e0pUr+>Pt9uN001IUt@H{Z0C8;ks1*_AHl%GcYhxy20)Wr`?MDX(2TnWf6lUJI zVZGLRa%$?=FL~YPufB@ya2o6e`F2v+;jsf?sFy8h6ydV2eSBDCalDeL!1B1X0|a&P zc?{$fiorgOlk*}1=muODdvNtpE&A}1p>e0DwYr>nQrwdCbI}TVYMH4I^LUZdCH5nX?kY% zTi?IIP3kZ;*;U{E_Vb?iyizF{9UZ;;y6;Xc%-E@qU$@xl&=p_)_cu42_iulo1vB03 zoEeCijIpY|6yiD0Jw1-1k>P$J1PG|8^61#OA-ebeZU6p_FAGFrU;V)p1zcRMB645* zdTZk|lliQGdFNx>Uk7U)o5I>AaVv1okkhr|)*?22hMBHGC#4obcBC={rYqeAM+SkF2?)fs_ zM~{dWIN5&!Ak&#rN*jX+MC5U4pSff)lEZM4?g9(q_Q1fs%`GOZC{dV0oa&CCcOWlqM^H2uzZuAQHs&s!s) zij;K-V2Frvd@p4rr36RIY>8DWWmBrynY6P6>R@7=c#0JcA};~O_!%R*SwPapg2Cth{&s{r7Z zE5CdH1NYl%I)BS~Hq}?(bCd0rhP|nGU~WM&2g<-#I|KjTW$*a<6<=cJo4$O_*x1;i zBZv3y-*@WCr)=D?as9e=0Px|r|F{47iI3Vx8Tf<0f0>=@z2u@7*;an}@BNW&;X8Ki z{Kie!hAs93Z+n+Ts4uzjMYfT>|80MC+9{{*+rJ+W{_433POF z^4%Z)WeVawjakfk7-}|x1&&iaIw&Z3@zooGoGh{ z8ayGlVsg5g5W9R`J#31b9O~Fh6g2_VIeBI(Q8x~f65kW9~_qK0e_Fq4=OnI*jw`NJ)%G@*L zbWON5hfSYhrfbucN~QdetR;E?_I(yet}NfB3%F`kE__OBZPhzM0NucIE{732FBVS3 zL+eeHUkvdXwOdl6c0){y@x)Hr*qMD3hQ`C^a7MNogNN1thrML(*W){*= zDA+>yVJUg$NKU-bKVrkTVtw}6u&lgZ)DVdlQsN`!4qXa`qAOt2LPkt*X58b*u-5Ds zKX<`EmbOrUUM>|8*?!ebNWdi6N@dzgZ$Tx@5vr3}19S$f7YuMRH-j zt|FDwD@0;z{fU{$5Lux=d+u3EVN6S^l9+3~J+t!*HZ&n*quI2gxU;i!X_{`@xG|2S zD2i&es@CSn=vbr_5k30YZg2*>gphW_Kh4r3qto;A^F&rvv5->5aU92yl#+L2nR#+@@PAjq-K~K<|J5nC3Pf(y?-|NNohq2ZB{E$5z_-(sGen*8FouFS1kF<`| zU|ZJDBfQ|;^FII8FWQK%_~(ChGkM=U>3g&pPc{fBnyYKRrKdk+egX zR_fCjA_UOJWNDUnK4h&83ZWv!0K`Ow1v=Aqh$sXxBM?H{K;!YKS1=2%==`XX$4kZ- zlWA>zP0!2@4Mnz{WLlR?<%Rl!W&MIluoOZdGYJ$d4o;5MdaA~lkN%Ip*td7zr$7B^ zt+i5W^XAPT{nNkvbIJU2r>C{Iet8nz6Je$+*FMcewYT1AmP#c+ zR7x1baU8$koU`tH;Qqeenqa}qOw1Cj!34k{F1DhpU>8?z)d@rtsP18V0>)!gM6u<} zGv*iSwVIlooEjP$w7IaG>pyeh3vayR4g$+pZC>q^*3EnZQ3($I4%Yg|XC@JV05loS z%V|ZW|MWU9BJhkmA>0}yr)vwFo)Eq6c)JrL&p937)(8$3xG=bN_VTv$LJywAEgy9^ zb5dGsZ;IVsvDSyYBxVRZJhlo03Dt2H(iT4GDPDM{J`|kn%$*N5Jgy${P;&ZD!7)d0 zYvYi>dQ}7d3bbC992Q_f38T-T4V*hhoiJZM`_!)aG%N(~&EmYyi2QkZ3eJpoVr5?! zof!!cZJ4z%8HFTy|6ME2Uh!&hp5F%14(;5JxC`yvp zDlN^^kc&x%y&$h0B_%URC7Hnx34u%o5QwZ?r|@>P^KNCQRe2FRH0&;vOG&-aL}nKD z1BqyUp%Dq}?WxSo%@bgfMD=E-5ZS6yRf3362+J0wko9H*z_vPIM1d%!$aR}4X?2p? z9L?7oQV6A#QnJ}hdwVL)X4-5v<5>0f4o8t1=_ij)_P!WctLk|nm9gZ+Yx z4B$=K=eO)Z_}2Wp#U$a3O{ZE@KsVfR%iRy$d-n6re&Lq$5pj5UWMX1`+r!%*+Od6f zdO|p3_j~s3+4j&w*phAqX4rD>xrliG13w}|4{Un?h3iMi0C?lpTekk_o}YcmPha}l z*G^0v9UVP#&2`^>?BHH#4M!NJiCdY%V7Bc4pb+Bj2k*V({=0^I2Y%`2exX*Y3MrX+ zes1ooSAFB~`wl)kJ6o$(Cnk=T%O#y@ zZ45JC{oU(M8(F{W&;e$$n<4Bv8oC*DV0AuRLSf#W5g`yNX*CEh*$p72Y&M&R4joRC zWMN^!%Cp{d%MV@J1Oi!|X#$W1K6mqJ7PBwRFWhs_J=PmD@7uR;czBqs#i`co*1k3T z$i%jv64CC*_Y+Z?Wk*KG;y9Y0U$8HoTkpJ+n1C37K_IhW$9}B{ZQ$}O7GK9X4rRA7 z0Dg!IeY9UWKCa7}{y39U=zL_kr&i&fgel!>Y0MJ=rosK6NM^bM`g8o5=?ZJN+c>qD z$Dr?YJaDXZ?8I2DEbi8ND?Z-)Pb=`U2v}e4@$QeT=0=+<4sa_Q@K#@)D;Nq9O{Qg} z>+5!$+O~FU7zNz{FE?Gi>b*Xrpyzh3!NEXWsNW%z8!WPOYw4=o(??^;J;>4n_3fT4{sp`9ge zr{w;km+=f`dkd&K%uZ)ZD1c61KECd3f-OJgK(PZjz#Z~y`x&Y_wM`6=Qb|kx$|OlFHhpaO zzGt6)+O~&w2oypJk6*)#>nw}n2tWX$)>^3?Z3~pdedZQ_&U~QUdCV{mbG>8CENWnyz)=3;|*V1esW@2J;dRoyk zQ`*4j>5E0uDs+AEdn>FL?^*zRUC6+)zGdczGjr_Ck` zlrl<^q*N-YC{iktO3PgA50#WkDJhkdQV3Kk(mDeGFKSw7@Wz<2(Zgg&3SkdzfsOzQryYk=jhA(m*yH=#ZmY3FAgR$qz z5yj`e_C=?M*8qSN5(P4`Hu}Bqc=!7C>p%W?A3HKS`o$~1wDm`K_w`hX_|VZ&Xcayi zqMbW;ts5Bufcg0adwpSHK_WhT^T|67>|-JTm1{_Cb$o80diZL~hpE;q)h<>neYCxd z^8W$g=38(3nU}pZj^nhMMo}b$n3|fdFVypDaHBIK+J5F=pffWw{-}W+ezPnyL_&zZ z-kO&-^?lHfY(&IFj~zL5=hnM_>ZLCxqGmG%W}{7`(I~43$o4w`5&+Cj{YDFs=O`Gl z@V;%6K<;Q8;EC!ArY}qQSm~PHc|D%wG1B~T(T01*oW%`j3Mn4Fy~$CXT*1LH@G(S4=fLr2GK z2(gmC`0`gvDFFDcyYD&gymQN?5)(BVO(r_)IcI+6>tBtPkOKD|d3^oAP_?%&%(a`O zwBB%NQ-b{1!Tro+oS9bB5;QeTJUTVeQ>y&bd0T{#6BCp7-h2Or7hV9?TnAv9rQ;Jv z4H-Ahv6I%eHIa?oo=>sATs?4qwKg#~<(Dm6@4fAHula@JQAbamTWc_G#cnMO+fTJy zQ4`Um}WkNnsPnTD}o08c~Y7iK{?BTMWK+WTbt zNPSR+q;iM8f?x&S%An6t_%lqrzv6QTUMw--ec@9U{1qy?5NTLAoh9$1D?DxsBs^2! zS(v`)JYujoCd^2f^00WrcsZX z?Y%v{hKI(LLMNESS&Sd>VK%!2k%m4(?UL4q@Vq&8Oufs{jDS%ro<|mg8At?5>66 z9gw{IrlV;#8Tp9p+PT-B;hl}XP5nQ2)OfxXRvb%xXR(eP%!6D}LEcHj!J#GE=?aC2 zwAN{sT9w&C3MnMIjiUbE8WS6>Kld-6`pjoOa~Ew*)AYRa&in0O{|%+oo%i20SnD61 z8b>Aqv~Zb;w(rKmfFx3Fyrr_&S0X z@NNwldDf7DfxP-xox)1!wTXJQ~mfrua= zw+@AfQb4&}wnbs#sn+_r&wZ|IU?_84!$?3V1Q0W#0TlKY3St@?AHVjy-(RaW#AYnsru{;~<*eP};mi+MAV(Z(2Uj4|4fF$}DfdfiK3R_*Pz%7Knf zPF{b*5BmH1YPDKVZ{_+Q-16XKj{%^}RlQ3gPzs4ouEa(O0EFZV%%;XpWvx8`06@S; z9@`FZf?-owV=!(t?iq6Wbh@V>oBl)sQ#i4hDR=|Tke?gOp(*5lz#dDr=Tkd)T)}l^ zrlJ2%n&wyOVF>m$ z5LSAaL3Z?+1JH~ez|=lYL|K*uWwNWQdVeYHUT=*4N5^1Si1{8ti$lf3+EY$17G&?t6O5#S^931FRk~m3XDP^r%CF1+-vFY?yQ^`MV zS?6C6TAi`gl>iXnjLoOHM}PsR=BCGICJ}RUXV2Prvew#f9{|IygL^#Wf?%Q~+(75N zw)Py_A`P0oWrH>|)@XfUM~H(_uq7-D(CR!Mn+7qW+giX@AbHcWC%D@0=gr&FSR!U3 z4x}nvJLF1ICZhMh^F5#ZpPQMDOIB1|NC6CpI5{&lQ0qs8>wa+kEB?#R z-f_nr7PCfC^j|N3R~*Lx_{f3XZ8e{_L3VY>Mr->z284-;qmz?U%tXf2({y%jUd%Q~ z04M=cfRsiE(UNL+9X%I!MuEry+V1*}b`SW1>2mW}+>-pX3povZ9uIN~ZtZzI;py>l z9HiqI9$fxfxBEY)%=B2==4znN)51&(p)JqN)>1oPBTJyCD&wncKdX4O-P=;5=n_wM z8C{P#A;--fA9A`1W}1(<#=T20h&Naw_~{Zev(B}wW5#ncvp6%=s5eTLUeRb|f(*!) zU3#HA1Zn4NFg-IfH9ehWS_+{xZ5r7;-&mMy%(G!aJUTZ$wJvPk_aGaFTm<+Y54fR!PkSl^`L?lB~Q`1K$Cy59FjMl9+se85i zp8${_owJ{A(&%S^Bej8v*(vMq#=E}vZa6WlLKWQFfpM#J&ydrn%{|T7^e2^>o?vcn zZYp05=c_ahR+4$|wL|IQ(NX(I$ii0QLVnlD6T@&qpe6zW62iLztpf+YL^p%%;H<^!FM!AboeVW6g5$H{sr(S?g#c6tVWpEi#4IGnM^pf&zHB>z zV_0-P5a_7KLaGYP)WXot5W?E=SqL?ade4Q@3+a`21@#EvG$eQhrk%`71S(C#1bb23 z0yYh@30iAnB5kbn77A&bo-1Am9&CS+6%IioCQ*zc5Klz0^|qT{`IkWxu#=Dx4G>YCsD&9@vmG8RROnS~T=2!M&~=*m*cEY0FLw$nUB zF9$6kSBDA&xh-)b_GxDi*8qUITuuNW%d|hj&j4AbBPErRI@3`URjYBm-l*1kwKhg; zDWw1nQlgXA69O49Ns=_pjG@7SzS+6?B#IUq4MYUa5B>{8r%ly110Z4q)LKt9>V36p ze_x*vLP?p#aivl|cxcp`!O600YHI4<`yM2sC{jrhmrA8lsg#sT0BCg-SS6|eV1a2A zMc!;$p||?hVv$z8UN^%Vr4-oSAYH9-UgwxgAbJ?b%m|R%N^;LWdSFk^7Xbj^*&9z` z%7<6`vFqSo$f2W)Bu^h(p=W5joZsuRdiO;MP#myU;tH+Wg}_B2Md#BEC&anrttZcZ zqak08aEOJNtk^05b8gmPWO$_6Y+74$-psy}gK$=` zyeP8S6(p*AC@a2NbW0(DmE(ftaKQ3U{unXSC%U$HBFuEjn@`@NXGv}bOLvK<7@n@3 zmET&&534Py)@-56<>iRzqQl^^xK*Zn1>@Xm_mNf&Orc8z*z%DV60_4YZqKnrbMr8N z^tEsJwO3wz$=PS0lVw?!WlmZ7p6jo_*1gQ_M2rAXYeQu2-u{ri+FPl{Q8YF)Ev1cw zkIqgZVqdiyCgrh1`^jk=W9G*ELbX15$?M+$jNuYLWCcJfI? z4gj+uqqV8m>vuo=FaV$skS7lEg}s}owK7&j>&^fGAOJ~3K~!(I+x@D_P2&)Szpu%_ z3G3Dfj9a~1>qFO*;nqTBJ^j+AKap({LV0BaN& zy5Y;Nt^lponLE`%A_`Qfg-uaGr=H}qCOsEa)w=E$ojU+fgW|EZJ;VQ6Q$dH=p-Je(>N zY-eeAs%`aO3d#z+L~vIS<_plu!*{Nc?v{M669qR*hoC-?-U_*q~;uEuwLT6bNMSy7SL|IO1>jV*VT8aSf1UE6& zdV6Q)<`RtO=j-hD;K_D#DTS0-nng;+v0A7%(o9Ejtd!Imm>8u1Z9w=JJofgK8_kr6 z%B5sxX3mgNQk9aV(P%Po6h+o9sFKncB48mT5n1`ZMx(KQ-AI3bO-adsagvOT3?Dr@ zwR`uTIF5~>dcFQ1U;J8{ruO`Pxm+%n%25N?k-1~*9kxhwg{M*iz(4%U z$C#PO9GEzgrJ2sMEX$&!M`aT}{FfiQW9u!(kQ6kxu%LBrBv2~3VZ+7`e)uEr|KR_7 z=-|Q6e&%1l|NUh=(S}0HMFP zPbqolkM2Q$QmHHiq7c(_Ge@Q-{OT-kmP}e}t+Pg>L5+HOV&US~zV?0Z|L_<7{nH$% z-?d0LilPfHyy&_cu1}lkH^1?bUuW&#zeh<0491WUEgf`rqxp;9y?XuNNNy3t@?R!FKmwV-p3g^r z&dHrm0$>6}r>38nh;w-hMBcXjzH`nxfALCL({3%mxMOn9kkhBZJ)PL}Csow+#LMk8BAYBn^Nby1z))k^bK;JCXu%97K^BzK!R=CCOa-AW| z^ZvtA;o-B^LwLFz+7YDp8QN$H1K~jUu>eLprCGy5C|u+~4?yTGFv8eY=8FO7&fBFiu%OUMB6~pD zYTdwq!k!-nMi6ahm&sLVkWOZR%ij8S0NA~Ix4mM$*u(vH3gWZh92kD^&|`RKe9}p$ zAoJ~8ZzCq7b(Uo>yx_&R{_qDET=3#Xy?)#6H$VT3bD}u1N4Awzm2!EZUI&1MdivRa z`;R~T!?!)}xo1AKeFrmGi}L^$iGTpBx>TUw8(^}hblDn(p%yG)V9Xg73juK)G4ssa z+(2L7%g9H7wS``sXsl$0u!N*u?wJs37wmPN7J_2?s+*7t3@@4vkCzm$^%5%0MB zHhZR8GGBDzOIhnX?zrW}KmCg7nVG?X0U^XS-~U!UisGJL=|z}ZY{;?376fW*v}bHO z#pWe%t^m6Z?(s)5~_Y3&;$+4(|1WoQN%C=?>9*G>dtSc~Z~$#NLa80)j0` zWZ>|axxepCrE-3~HLHgf3l9BrE??l{1b`fgq7UDN$Q0m5hf_HmbeO?fR%mT@wH$o* z%U}4DcVB+%Ew_3lzZ*Ai`qY13VV}v5#*yhgdh`{Syz#R4TpmTyU3cGR$+2xVh#-WB zWE91b*1Fkj4h#{7*)>jkLH9KHCJ zKmV@F-pkDUAK$07*2*X)b9+(5%xtM{qtO@`7fN zDfjnQ5fM>L&(Ds|9BnIw{GFQ*INF%X%u&6--}?R!?EAtR-Lk3!h}f2Rsg$Hy69BZ< zuf6p3FTC)g%l`0fX__^q)Iz90mv>&n4$?!1>jF;O?sZ;R`3$EedEaH>y6Bp}I~ZM> zoGudN;xWLYzE?4UTO6Ntx-NX6tEbhtpB!fTgl5&WlE1sU?qgtgOuCwst$Je#mBvg_!H>7dXn`p+ zC1VG!zTrLqNSjRnWB@xkuD1-;9ffcFhpc18GHQd>+eIvX0yr60&~UaR^PofkC2-* z06^oYn98k?AyYb+$Q(?k7(tw|;O(@}Qz0zy!b`Czf@s-o6Y9h*MtH(&Q3*mL4y zQ*d*U6BC~P#JQ)JoIY*X^ciM)qBumTR{JMXY^~rru%3J0@w#;*;elv-JM`_Z7r0(m zX6l#HovHG2re23;f#MY+Er@V-(#!%I4Zn8^MTXQ59L6me9SUO%;|f`4XYBUV3Q2WM zn2*SZ=0R}Re05fVuNUkPAPw&pDlx20c4}VHEx&!fETSqXHVw0Y?MA)4AFmq$0E{vA zSgsAH&?Lf)LtvXL6i2n!t$$}*vhDCbIJO?Dg)L+s5l{-vgc1P&1p1TPK}YUfZsuv7 zuP+240oby_AO>rmC5j(7u={}nyX}0;5E-pAoqg+v-`AP`=FQ(r()k*5GcP;q`OkfD+x8NaYN(wUCqh!KpYOzSv`loU;dN~K(HG!U>{ zE~Q!4Xf&l1Qb|clO3pH^wFX2cMg$@fC^Q*6^(0~dPSY&Q(oLH-l#&Dy<0zI=t{WMe zoSfdhd$&~tnPusL#}9n#s_)uun>dNfm2#zAwt7o#GiWxO|9;&!=VxYwkiC`4_wKqm zilU4tGg*!t0r%Z}t!+66Z@$)3b%>%!DFR4T1S@f_iqF_|YN3TVW(o{&=B87jwNyZG z2lJuJw19(8AAzNztviLcOKTB=Z4U+BmU|xs3n-Va?$;MznsB%MvfwU2m{t3dnVOrf zRjLBT|NQ&EdeM0oOdg&*Y4b_f-gLc`N(#wLyAJI|L_p}99{glPZSqk}4GZaFc|AGrX|E24G=hrV?=o=y_?0!buq+}6@g#fmfRws_U z;*wvv>^+y;<9Gx818mQ+wJd8fGe7#sL(hHQ*>+$QLTuQu;k!3I@cN4{sFzC23YbS{ zCIE1B<|sPt4j9S+Blyy@;-S5>Q!jtbFTLxs_u6HW*1D%sPPJxYDFrhdG7KP& z_ci~MN=~7zI~_N0@Kn2>1ZKLrGCn2{x(a`bGt(y!=;Ce#^|U-ZUA&PmGQ~L-_hfg4 zC&KrhcDG_Wx{^}tR%sojvjTDoPiPE$GU|Ip+e(W(xavC~Y4Ljuc8k(7Q-2gsYi$KJ z>{=sE;zxIFw}^|_J$;UpduAONwiw>B|5<&Of5&rFWb zOk%!M%}kVx9k}8@zbmA$o8-)#W~tVinGKPW^3L0DdU(fnY$>L5%Pyiuv+>m%t}|pN zW~bsf9_k;+Glh1B;n!9EDzuvgZEd64$%?O*9gl9m^wlSxRj7h{+9erR?@s8!@7Ueb zSW{woFUQ*1Edb^a!CT&YxgdV1nGwg%|!fg@v#&az8h@```^+mGAUMNCSH92-I2 zw3L)eDcQ0c2KK9OT6tZ$Ii?7%xhGH{p1JundtD$3#F_coM-T4vUY@i0w6HDB%+Ef0 zXkY#?O|j=S;zF_;&(>H)Vsg| z01%+yr}wqUhd-9@umE@mue?y;LeiQ6#0_k$jYA62-&eDpJs;Ch2*eHRNA~V{lpWBtJ!tbuCw=j2H}*6a@`CEjrmJgT@~-ej z@7&Zo|M<_|_x=yth5^<{7l0w6T8K$Qu$rv)^-Z?7f$~ z<_%F`-_K_)oSd{?I(}fx|KVa&m?y?e!*H+|M@Oi*$lngXT|9ux%kl{cA79xsT_f+3 zg2mnOS_SaRnQ4dD6KDmtOtE6ezZH2s)~KHdGd<=uwz3D8>a}4|Pc)uIAAH8G&Mnux zRu;rk^}Dh$aM8zC_}nqLrN*C?jcaY5kBONAupkuO<~t&{rijVt<5ypQZ|ggd=N zU%Ynx`VFErPXT+9rrB(gF^D*NFoDKsmx(YH8CGutgp( zcY@_Stf~I~;YNMd9!mDhKkkP54;(lEZpn(l5p`(CeAq5QS-@(rq@1b0=mk!_GRhG7 zfkQA50KU+Lm@kY7gVW+(t}_gCk>bM8Ck7&)VQDb4Rmtj+XejMiIHYeE#vywxNU(-c zca{~m>kkcc7lwdK($z4_J{Qz(hssk+az>eK%54@3^&TeGC%_X@Un5~Hbk#QN8x=U3 zZ1;72Ml8DR%u85P(B3u*%>V@oDVZ|TMw0;sKs%ukQb_42Gh62F_Xz;cIqPfyxa!)g zMK1AaWm-8_v68YSS%mC zn~i1@5tWp4^9xB7Wm@-C%FQg(tjnd66k>L69sv4k)tT8@VqT~>ZKYSr2>>?JlnD)k z5Xg)~l%^RmDO5rTDMhoHMp3EWXjXfB<`?RSK*T}_3wlrpCemcmW?Jp-sjaV7DrH1e zN|j2bk>R1KshMpLJzOrAQ3#{W!otGWzy2Lt`*9RidMedwwOlIan=5`dg8BKUJo~M0 zddtZ0$a$wf-$;(4*fyJ%%wyO-{G=EG0pmE%`=A9#?z(R=Vc)?(Jc0ps9oPc^QrJ`e zaK@%n9kf6Ic=X_20Fd338n+s!|7ahD*w&?sG{40_=obwZMdfJ;r8^7Z1X<|<(N=Pu z+o4;Ve-|sZm6h{u^$6sK1{s-;&P^Ykn_1U4I8g2Lk{6S6(?=#JlvGSyUYPypC%<&+ zsi$UHW_MhqQVc9*u7Jf>Pft%p2pK6AMM_B}goxv~R4T=B+}GFlp%4A#U;X``WpSSr zQXu-IV_t4x#WI*M=FZ! z$QDIW9LH9N#+6sy`sO!X(ya7KA)R(y->UL@YSC6;U_*d$TL18W|I;*0vn*>io262z zUay~i`kA9g4ht08=v-i&X!FJmN+?TL0l-?CilXTE-u8}LZ~V?oxh&kc=Y}C4RlB*d zz_}Xowdh;jah}`Q@gg;=h-6$1GFjA76#X4&NsV zGhM2PmMOmD&{mHpGd*5iXSv4N(F3|&Uc^(^cuq{WvVQ**x|NmZF_(Hr{jP2dTy^tU zB&El74D<-~o?4)}xNr83vwor1@A1%jDHqigHSL`8c zPri~Q@vkdHEEpF8M^xK0m0$OiFgyV6L-widltT=WWmldUl0NU#dt+yY$miNKzR+jr zLd*(*h-Jth3u$OcCIty-ub);d-b1033MqkhSzY^v<^W%EJB9J*$I+=H2;(h8=c_oB z5epymS-|#Jco>=mYW9?h54KQN{@GCC*bBWDDl{lGVrHXFlQPf-7=#c)3T!q6p99BH}{5ZslANaCUy)UR6p-B@sYLWyp9jl6s?2 zE|-{CNhMGyDYcjEiA{EDRk|Z0}ubZ1+*!IwlQmKT9WT??-+;{(jHqt1H%H?vcTJ7!W zjpE1_I5XQc+b#9sp=3VU1M;Z zZMfd3vD4U%?KDoq#@5DGW7}@LVH?}FZQC{*J7>Rh<~+0eHZ?rr@ZK zb572^#w;WS|2pD4q?j;djHJa8Y>WpL>v6~D+LT)p-uAA&B1-S*Tf0R3oxQAOME@g+ zTU-gNlJq?NUzz^f8-koJbnfNr;5{fxT#&z79` zWIu81wsK6(si(qo^@xOP%vNeztHzcw#ZD&7joACR^DFknsv9+F1@Av)Z=H1&eH8^F zE&&Mb9S3J>*+ZO?LT``7Q0WqPvS1xF&FCS3WT3IV`d+?xE*bj;e)dqt`6N%McN`?< z?X*0+0Du-C_y=ImQP(|Logw5|fAk)#-z~-WGIwAI|1^YXZE%c6bl}P(Z9kol$@>c9 zq)C+%rrzMPmv2avGv|ngo#Dd;TD(&q5Re;m?Zyw)`YDl$$XqfSLln02#1Y1eP^}A9 z5=%cWVqP7>5zGq#bPDR$`m5V)VNTH9-G~rAQzY>uyqaaCK{bsXh2@x|6!ur`@aT#! z*P5O~gU}zqN(La!j^oKgSEi6EQ7=;;H9h-Rv++tj7;C%sVBew>xpy3h*a#PZc;CuO zHO4_6M^@u~lK>KS` z{(u#jIP^RH{?X^j?$;A|u!S;){MAp@paUbn1YG57)xH~Gu}(C>BDkrLLh7>f_44(q zYpY8gqzvY-lruEP#Op1tvmR2DKv(AKmSM8YYg0Ml3O@MM>*ueM|+`ua9=gZBr@ zmIm2=2G#nsoSZy0E@$f0$>irTA|MmutI?4h4yO4rz%5%36%7Vm-(%POjEH>% zg+$^^CV9^oynewrDG`s?p5JDDLZT;)9RzezC_ns^GszMYqZLyq&?$ScvdITYm+F5k za7!ZA!P5a!Q!_GkQ?0e}Ry+cT62TP6)HMo= zC%45B5~Jo=_1ND+eSx7lObN`ajO>;NBN-%L-3n#~u)TZOzyHE1UAh~&wY;S?&tuY* zrOO^?8HD_L(QYkNAw9$*J7Y*jHxAQqCVro#=LPtZkUEW0`2NU(ELb0hg)_RvjfAq? z6x&*NS-wE4f7Mx!n9YT>b;JvDM#-_tQF;cnh;~&_W=*GyqczJxT2IbCK;?R)1jLm4 z+kKT4cIBlAm!e&mPutZ|E+_KQ;^-HXHK}J^#g!A2g*Hj8$dIY0%7@0~g2NS$N3+0_ z&Rc=@xAQw{&GPEAzHEWjd+Nmjn`ZT=;*aN zrN#caySqE?E|+Ll30f_tq|%Os&CN~a5{iQCbzXsGWEV1V1^uf=sMyt)jH)S;G~z9e zS_qg+62A6IJDMuK{+Sba?RSEguwSM`X@cqf>b1hU_O=Ze31Si6V|Kl>Z!n!U#gJ!y!E4bsp; zkdCpD-(J}H{rN5NJ3>>MkeFCD0a{5+2^x<`&`}KT-`^vC$@)97x$Em{Gy^wU8y5^% zv)*;MMJoxo0P_ynyZ=#CtmC2qP#xiwW@;TZo(HJlddL`m)2EOeu)en%hP2R z@@z~`#+%G?h{kd6Hg?MzV>#y+w`7MXwz-3L{)kE$?Q325mJZJ-!M?(o){CNrj46jT zoJ;pyc0=5U;Kpzqo7;fTOZfAja^|yq%&vmV3r-rJx^s!22LR@0KAV>Mlnu=0_3j$r z(PrRs@^8|fip_~l{hmJxz<_09jL7%4#HP(^OtDm!KjmzQfH}S1)?By^HJL3K2BX2KuZ}GY+G$q1@bt~ibzTmj)3kWPWWby-S z=H<0D?Mg*jDY~Ruw3+quzxRcp)oQ~&p|_2$_IIchse-}6*awZ*Ux*hKsxh+BP1usQ z4)MqHS6zb+zkYLldAQoLYl@yb{N-me9Z4>(Io? zdfb_jyavm@JIm`+Hi+(;)Hko=mCBzL*9HN&k>aYbp$3zCT=u)kISi$!rPmvR7_+nB zJRyEr1&uEVJysi{5_XfrRZV>y&W_`X#|tTq_m&NK!Im`rXHH5M0GLx?_Fuwmn+liW z_wK^2MN4ywkU%=pjkxC*=a;sSqFIgxhZU9rP_#r)M(A8MUB|6uw(EUW1(J~$r-uyB zVr&qfFPC9e$1C>-0MKZ&wkY4!jW(dvj}rf44j|PyhI8HQou3VeH&;9yRSiKSe;oP^ zS&)DfcQS6I0(k0?YwCPE5b~LuX!^KQ=*Zu+ppf#uY%#an>NK{s?JO!1>8Eilz*eS( zuI|oA^_B+{yAfLAwZIDjUY?L}-m!%5ll8>MSJs8Jm_te?NbqEH^?2e+d1+?6D#{3C zAkbg>)2~YT<+=VpX=9AZpoLZKIGhV1e$ZN4mMjihY*=t4P~Z{b(@;hzmli3rg2|oP zZegL^_M=P^&Oeq36i5b0oaqzb$*b%4J|UK$&yYgj0BitOsMCEVgO2~I+XMsGAIxAr z2Qvym5j!ROaHl$GP93qrLd4H|$`@E>EXcuW>!%r|+|S@IQAEZ6gP%=cM``!?POKW5 z&S(PcQpRsA(1m?ufu`YbKXCHuv!G?N(cWlw-N!flFri*NPnCN5-nQ;QJWZf*FnMM} zUt;fIfkzKZdlTb$hl)hnJO1J(T6Vlng5ryvI9%1fpE8V#1qmY|4X#nETB>R}Jf)x& zk5`talu|BRC|$5>(V-$2{bFF|?foVx^fDukqf1dRKL|&Q?qFh~+gV307KK(jr@lUl z$5JzZPTjJMo}@k-tgH&>9TR-{o|9e zi^SCM!XvU~_7Jq8@N~ zMIJ*n&JBmQDMC65zjCmYZ&mkWL7TLBeVhlT#~uf57$m5Mp(oY4ava{_HXx!;YE~+2h~T(%XyO_hPfa z2_O!AQJHQL#~ETp=pN%E0ge51b5fh0T*ZE!4Cpp<=jTV_=?8rv!n~-lvN)+`7YH_l zoDrjh2G2-_UF;@*R4#d2iB?}Z{t{ZgRM}*|2d9mV^aYOn^}fBPqR9Pg|x;7Ejy0%iTX{8 z#WUo#BAdCmLd#?`tz?7%0&ZJeJUlS@c~SxbNA@e%s+XZ4BU_0!t$S*x7lPN2pcydm zPbld8-Mmox;qC$H?h$-6yu2=4TSsid3CO>Ql}&NVu;(GOE6B-dslk%nHeMbw>-yZL zR5ICG1I}C#jWfPBFTZ-`bGe)C7<39X#$ImaRt_tyzGDMP8{a){bgC&%!lN>pbFVJe zZP$yxLq6$p{yG?n$TyO?NS^5EAYm1_dN$8PlLq@D^1zdzSh+;=dAFqnhSsz|xn{Y( zs3)xmAhZFyH$y!3}vGRZzhw?7o%(8WuYOV(YM)XBuyDf3(ihYrAeJd;ep zE=A2BSmj~eHdipfZJk%rVDD(Uld_vJiCqAmWZ=2($j7Ng2co0ccbnDyO`&Uo~zY|rUB@3bE` zNbG^q2)?=?!MAlxXoaJSF`=_Wh#!PKlB}H1Xs>=NUbn(iF{Scve@olEQyzV;?vwKq z^%CNQe*)0iY7uZ1yWZ;9{FS%r%MvJ6#Q>d$=k1vlwlOTZ?RCxYu z6_t^IHX{B_rvxAE(Pz2`OQr91-C(!lFs%gWO>g@_aTsQ&vVwcO8WO9cbi*V?>#e0~2I zAD2xwO;p|jcT#V14&mluWjfDZde@G~5S0;}bq*5+d7yov3L`&bfm*gY{6ox_@i*L- z$G(Ge5El{Pk?Gd9k(QSB@!#J7hdO_^UmHC9^1W{wScdtYIQ6n(r1`8}Zd)#{_7&Tp zB70ssmB5)^U?QXsG3=6N?P_s{7{+8H(L$her)^Hwt)l5fKzcsLtC?EuqnMEsKXyY- zE*}K5z1BD4HQbyuCGB)w8F=n``fa4;!yzA5g3=5JV^IX}2;0yPx78!Jjq)hqoi3HX{kN{)vBdj$Fl=jPp-MT#9MDB-92-KWC++pe8}8ap4@mLgZgKY| zAYfazz0L}nl6wsjJ*{lH`K2VOruOoqih|Vhz&Zf3qH$NG=(sFAG?ykf^x=e(o`!#w zv-lf18m{$ozd|z_N8kB85`;I``7z5`=+^&7M=%=PYK{b#oNc zOYa`ZXDa^`7dIDX1<6CT6Bl>blAfD@-I~|7_qoFLNU|I3*}ELH#ZAf??Q*DQJ;=(C}f}>=*JH# zcD-25X}H|?NU$J3er$towqBa#zw+Mu^I6l_m}#1(ysD{!HDLd}{j!yS>E*l&Xq^ZS z0XvxjpUFwrHlhklTNS}ee+P~vizEwCS6^GE31vti5a`*RsdCG|Bo$a(&bg?mO}kZH zU+=uQIfqVvWMU?rKqZz3ckPRovt6w%WjemFldf&&d`8GHg2C$4Nyk*T>J;vu zZ?M=YRh%J7_vO!SMl|D3=c>S+VM!I464G^DDJp6qs_PT8(9@4Y%!AxUGUM; zx;=`t%76}wqo7q$<194+0NUIEKuEpGL!~kD+(r0rsfi91oiL`WNHWGr?tW!L213YG#(yoQg<&eW?frX zu9y-($7*%-x0ctJ#dM=Fl9H0B!Xv|zlatZt_fxaehsplBxl2%^eF#=c(fiGn+H|NY zd84NFai0}_MQ#vX~&hR1ZH%;cmR6t;bL-E!mig96Z=GmGTe{tWkv8p}I zJbr7L!Iar2ywx1X=Zt*`+V6-OAT{Lsh1PgDpWh&}`=}XPAz3_}P^I5gf2S)d!;pwP zQ=YloXnhF50QvPEceE^vBmKFaD$2?AS>XgxjC40hs`S#e0jDJj0pm$w?Xa0wff*F& zlC#`+Jx*=oEwr(q zA}2q&!GX+bHe+#8u^;C!be^>0FM%F}jUOnt4xQ5mG6EFw*0$yB*T0X^MsOV%Ohlq9`S^Dwo4X@DRy6f-uA0R`s=st@8YQG(PlR+N>7a6 zwQWqshXm!#SU5HFuwOoUZZJ%>ZZLVlR8-l4YhHEy-lN;xz?lKH)&)wmq<=K+kMil& zAx=9?E6EozDDl?n%D<47GK@v$vK*K=IT`b(X=`bvWe{EO6lKU$kJZk&x7VsyR#rj= zh&W5uo^nX}-3?_vkN9;=8<$Aa7GtY-$f^}$s7c4Mt9M)5un4hxnb@o}km|ifGYegW zWx06a`o_Xms#_2( zCXTQVyS(ZfCz{?DCes`xT4D|pmQ@@a!$S0UbUR7}TJ}c@&Oh1Di*pP@v8h`oGuUvP z&DMFjvjR~7|BO=Llzm)4upPrw+7^r;hwz{mUU+_>={(jzu=F+PI;^~kycBH;(wxI@ zZ&s$AD&d%7VWc_LM5EJ*+#&#tP#2Q## zUFBwOxl54gLOsm5Sn_DdiaX4I|h({ewJA=w<%XV6~rgzwoVW45=B)|EmPZ z5oi?xT6lI>CCYWDz2F?g8Q`A9R0~t`lzNEhz~$Z@S%5F_pkhdU89TLr&(dCiSXnLq zuD+Kj20$Pj+|RA4{RJcN)oe7WR@gGR(6T6zTB?_~oz;~;Q+2E4Z9At=tb6+O(BA#{ zx_9*EW_I?a@uH3RshfR{U0Tf;VIH8}Yw)tz__zEooM)kwH!g zD_oksK)_#nCuV`fjFJuzSj(4q#6zGS&VnCJm1yM3vMuLvW^FA^-$@z;P}f+(#*QJ> z(ji7FUCCcMbLHJ&kU>||IvsI8XElPX4nEI69w@XDD~8(hhR(NQwNFsbV>A*&WI;=d z6(ea^&BcUrRL1nr;nX&9kb_(`8s?^6@QQwK8*F!hWw)J|}E(PS`bgB^JiSx=~bijv|wc~4vEhEl3PU_7RbTnJ|*G!I@RaKYTn@f;4Ka)_*c}hQt(- zMw~`rGKz#gPtkLE)RvqgC&6i0%dO+?o8f8ng}+($iRj3P$wEX&^5u!NKTJb(f~2e$ zh3#2z`CqlBeAm_{mw>TDduu4f>zy{*MRPTa*aIe}F3#?TF2=_Dk0IBMJ{2yTBYv-s zpI0Ou@6oh&Pi~_CK67Xw-ZHrq*p*odEA-SOO)JPlCszj!HG0(lc<%Gatf;6!Uzu_D z-i2>l79ortl*HEHUJUb|5Kf@@Z*0!W7p#pO>r*b_vgAzTV+zWi)IefP*zE1DuZN@*nVh&mcDK=Oo#^ z_#r9@DM+t_e#brjDbR5xT71DzUP|>b`be&JWGP>o*e4L*G^IcNjBr*^QI87!tzAX) zkVF$4aahBSuI3!DsDU>jpp*AE)z~PV1DPgB0U8ZTxF4_FHmh7FV)IK9QhYM_TF0gBU9_7WJK-9~wB`aVve`!h|=lkzc23P5hE?lJ{0J94(%*Y#3DyD&EUr&^e;l; zWf!N*V${*JS;_>wQ3JYxZ7nSx`@_5A27Kg~>otirzRr8yy}gEW7j?!>-Le9BNhqOk z;nFP^=wihn;@Ba}1T(G4$^%L4tAvY;_bk6MaECW1ixJRzIzhBx) zb>jta5Tc}{XsD~JzrH_{JOz*p-y#7(A|a9f0a% z=0+7MV-x4M1G`NN$-Zz_>$^+?upj^@bCH}hissa0tPlb2{l$h(+#jj{q|to1_>^>j z%_Wxl@+OZ_=t8m&v}=yATCYMN>6XMWWb@QsY1}@Ydp886+5E65oJBtiB6OMp#QG&6 zbrW&9(a*k=BkLAQU(a74@n!`yo{(X#Qd;lsaIfvbrO=~#uQ-&AO!D7s*g@9!VhPNZ zS6stZ|6~VRacADG+67CeLED`ubr`)fIuvoLMnvdnba21LR;j6#OfpcPb#lMB{Ipmj zT~xPL5cmSE_;)Z7$j#kq=3tx!AS6p?4qB&!>0qr7=tiI*gP@dyKvBi9%F!8x=A3~W z!gipbgWv%DMkD@;rS9^tRb360`HHiRu#7IS8uE{>ps$4+Y-Q^d1s=E#*L1Zwkzg7o zjsF+mBdHKa?`fn+@ZNIPYQ4#COO)ir2b>h$#KpyR%g-mmk||ru!M+$H(Z`TQKX$XT z^?A)dRng$R>YjNdeL^AS{yMh%GWuo^Q}^cr6_@QzNcj^OQ8mis?M-!{e|FRA|qon&xZecC0)@?GbJIf9$A+*@VH+JbD} z&hUn`ydw#>x|bdLh(MEJ1_q1EpLgq}-eY32kM;;DDF7(wZu+}Qsbic)jd&i+w7mb?lP9B%b`DFPI$YvhX^{AlcNV_g@I7x^2 z!vO;*vqYz{qb*1Jh#QJYUD-GP%G~gNu{X0!A`AzV3*AkJ%#&L16lQ}x{wzPlROuEx zC1^WAmJSXxxNVJ%&n;8$XC6la0pXoDNmZF(JvsIHs^#yK`*Hj4Rl^JcW7lg6Y zc{AWWMkKvZIF2GhzJ4*ioHF~L2_yh`Mzq!#J!ssyWyzljGMzcQ=L+kS&x8`rz26BD z@3j59(z~(Vk`~lJC70k^m3TyCJt5mwUzU4c64-fBY(8@abcae6FwE` zsBxS+F)`85(15u4NM`mUy|6B*=Y0MA{QOr@VxEW}{uiyZQ22T?!oJL$fixtv(Xni0FCOn+k>{r0w(TfceY!$n<*69)6a$4 zFb!;7{-P4X$962V#>wmX7&&6mVo5_Df`P$gk~tkqH7i!QS9gHndWeL%n6ZV9W6B0k zn~klRVv>I`ng-V*p*G|moJig(Cc4r`jbHQjjyb_9@izQB0j(^@CFqE?bUGww1~qmgN3YL09pTjn>+LJ@X5U%K93g( zai*o+`w}#0ZBijhP?LO2Z)N&CkZ2+%j+pRg(iGG&@Ljc2`|Tj=1G1AEI2$@A+wj0b z91D&w{+J5<|LW)D$8Pz@*oY0wDUB~E&W6Wju-fP&gx8yC@B^3wji8!6o?)eGN`i3Q zKB473$-Bz!RqTVU=2<$1yL+0k#JEHl&yp@+mFG3R;7{%?2C+M0gV$x6gyLoTMy4=D ze-HxZ-KryQ4k#D}LH?dtD;7zI)E_dXq_}q$CBJ%EFiwXHiKvS20QTRx>U9vEB|#=u z)fFr2{N5q^5p!RP8+4>F2OJ>FEQd5jraG$O3sWng{q^hzeknE0aVT;L4+zu|Ai;YT z#tvY=m@p$nqEbkoM*Zlm9_i~iLq`S9nAddh#ywuwMBg6M?T5Pwmgqqs3R=`d4J5h3 zua$&_!*fb#U~Ws`Is`_)#`cHBkk}@m`*gkFDE+SH6bu*cbYBllS%5*)obcGME~Wi%lGOaMVFT_T3thfIDfop$-sBu99jdl#)2CQ2ccL(k3!UZT3R;Ab97$I(XLtM zQfs&Mfzy6XdF6Se?J>YHrcA{kb(01`y6cpAOURVMsV6qz)>jEkMP^J7r$w*7d{)>T zMbxtH9*nqh+40@KBSyb$HEZ2kyK4^fA~Qqq@7u9g#%ejxR>vaOs@2RX(6pN=!hO86 zATk#IZSs#%;JhQG7h*bV2Jb6#9b~{iaZ=KVvvVJ$JQt z30=D!=zocbWblg{c3foTvUFAok%C^#nROFteix)8@E}ea#%)`wMtTFF-GU3|qUe9X zy1|*jST7cee?^t8UZQTbH8Aj)a}Yj&$$6hY6ci^4j;umoA-tFPaecI9wE=I zkx&squ+`=Do?JO1?G9spNzto%U9$6-8fjBljF3RZAtm2cne@5=e`{0l#M<;!@$i#DaPu1_rIC{pB-Yoypv6L zEtHhQQ}i94PsjgKB4RT{rt|fEJ@rK~DUqFX>)cb&dx+F%S%emsCKJ5NK^6GdkGXYo z$bBuH$@)dj<$7RD@8dKr4Uc@664nv-{qt?((-8I+E}21k=lYbm#UO%VCD!*%l=<^Q zgFuCR+ns;c@EZKDErX$|GyTV~@vIROWl#a9y7l{AkRqX(Do( z$f5+6_^WVxjq0q&k1(3H%+wbAvg)tzK$8O)5{cL2iU&E+7aM(_^q`@qAsm?4z@oOB z-J~i-Mi8x1d{!Gfnotb#b|`g~EfOdy4O5jtxu~6fBXVQ|9frRc53{kz)0!#oA(s9j ztd1}2;X5HDK4AREu>}e7DAs0RjZ7A96P_#VO_0-IMe&uRbi;xSR7Vr_^rTU2xKur$ zsmW4|D<%iu>SK6mBWotCz9BskNc%Cv-{8>-*H8#sELaTKdJ^)}k$U%~ zPjB>0L$aK&tIV0MZ~~y~I-Et@f!$$x*MT^+1)d#DlIB_uw?d!mf9yI>KHm@chW7rs z^F#RO;!aImNrNAg-`z=vo`Q7+CNf}g)}2kgShz%rbp}YUI;bBSz_OL4Yp?fLHklGJ zyvpy4VC$Cp3Vd4{zU80#3NX`ZG@r`U_xkYbx=ti%J4~k!>q7_N8aLT&#e`3JAF|*6 zRp2Ncmv#N~)Iao5BE-kC2&514W;{R37y4alD0#=i_v90ID z&Ar+-N2Q;9?<;(KP4lgS|6XYS`X}Py%CERV)H=FGofXA`vD&ZXiCXW3+RjlOEgyCd zja5!X_sXN3OvJu9sDF=C>~3FMiTRG8Rl6&JC0C64py{`+bokt-O`z?~k3($35V=~) zcwA3Dkz=0|zufK=9|Oq^8bA0kiKh}t0|AJHzUlARs=IZa0dEkH+mi&*rQg^=$NA$c ziAMpJT2XMz(K^mE8ScW918UNNIMi4343@^BKjlYPM?jb=2@-j53;>dEr63_pwCs}H zS((gNZ$R^9>sfPXxf*D(Z~-q{?NVe!tOu7_WU>J22W^HyZiCr+y*ffci9&xAF7Qn3 z%(e*;06Zx;DEQ}I+ur_=rr`G>rQpdAfxZGBqorF{8Lq1zzEw+S_s{t=Bko{=>^Cd* z-)ckv81fyA8QPn%fChtqmF4C6T7`-ZN(5&F#r96db#o&_dk&W6$`%!zh3BrVylR89 z4>s)HHYoZ;G2ws=y{11D=?1#B2Tj^A4D4t_iB6iDp-lp(a~p>Z98*W&w*78 zTXEo|>Ep*&@6mh`HGlu`-!7>ooX}vH4DLq}JP>fSI>fsb00k?*>B9z@+dJ0zp5Zhp z$d{nZjHKW8WMnKS((1FHKiYlwY9UvX-!fu_Sx@JNil|ok2chg3A?vNdXPx5{GSjp>ee!n+ti`IUY9M1h=^ljGGZKf zu?l?e5b3DZKa~%@gw_59nLhv3fBUCYl%jra@5-z?G$9Q3NA&q_&wW1qHh=F2t67wY z&ZDwHlc3cOugA}qtttWc>+PFaBTFz$`*0!TVrrVk96}1I$3T&rO9KX*x^yp*A*+^J zTLU%}n9Mrnyk4`~4?MbFyu}8fAgd6Z+n)HUUfxIY!F$LUb)pelnsZyn^Rvx4u(z^d zQ8k5?d{>*h-n%!?s5O)$f<|`Si#_PxZBocfV{-2KW%^w6v)Lj6`=^C8$}DFC>znP2gmy=crM(e9=r;q4`BNQ_i2K@MZ#;^#BZt~e*ZRfV)Jjq+sj=jDsuW@5y zFK{wdzGXro?H~3GdGpVYcV?fJGE^d7_x)iJt#pR7^YxZ*V~&36+Ahw5xvYn~cHT)Z zYjk{gmM+HNn+h5e&ioyzzq0#4z}e<|rkl`Jir)axdQ_Pj9!y1p2j6Dady9VaMWZ$< zal0Itv-VJumFF=<&9c0_VC(dAWJ~jYNhIk>)2e)mS@Gbee1b1A0@}wL8Ca-Un!iG! zUSUiRF})qnT@^HmjMz{8kS~he1B;F{IOhHZ%Uuo0b}c~@sx%u<)Sm^vBZe^_$mEpQ z7Ec33%F$JtBuVr?TR(3jH98Rh{LV0t?52_g59xO)KX`f}+ZB>0g%T;^Qy3iDvygyp z4U+Cg!(o8Q+Xw+O{D3+^Hjz7EjwaRM=dVl=Qu^a5deWmJJb_n}Oz{tA$jk}>H4IuR z2U7q2pnS&CC_9)bk`YR*!NO0S%?y~yud2I~a?R|!!kCCLq`C+*R{Cfy7Z2#AV{WkG zNs%{pxvc^Gs-BD(@eWhRDf;wA?UX7C(q0NHPylhQW{?^uAe)0qZ88g-yk%lIlB z6X*Ixt@PYpv9gH?bo$T?`IvC6bPCG^u$j|TD#1i6nF1g1d|Y5%+2QM8*+PRLsIN~u zAdZzda%kUN)A_Mb<+HbzqIf(wlH_PM0X=ot-k4v{lQnHD{ zrUN8^3J5j&*$`b=W4ZKTHTE>U9o6+c+1=8DYj?w)K1Sfz?d30w(*iCwppjPv+afG5 zSl{gE(C=Bp7a0z1CILpcKsEVRFoCTT4Zey_?S z0iK*op;~OhT5y5zphO5M7Y$|UYW-<53VITg6UrcL%HYvvx$*ZLE_2V)P-eU%NiHjC z=|tVRLD2D*KLk@#@*VQ5-O%wB-Ui#5IE>W9H{2>1eXT7s71C0d{$t_c_79Ru^~j;- z!%g05lPSN~KU?pMZdl@EkF%B;El{U}{C`U=@??R!;_ z*RI0&RvU-WuTim}suulO8QX5R)@UR2o+!i#F+E5#F_cmCu6H%BqOjKWscKE{fEOLQ zV8#Fd*zz)x4e`DWTx}YE9+kVFJ4dw6GDRZrN=i+E_TQ-d32Qh zR93o_xB7;A_~h^mo-f~iP8LN>LR?cygXV^wtVTCpZd50ziY0d}p)jiU!;Zx?T55Cy zlPch9_Z3u=p*ghwiIL2$OHPrnsrfQ@N&1?mho1wgkTOi(w?YTCKS7dfzMkA9 zg|L8d%_*fwEIKu!QUu@$5@vyF-#Z|~69oYs%L|2U#Y)m9*~}_?mSbamSyNAscGM9p zjj`5$ed!~1og6oaGk+c(Jv}_UZd^mTT&{V~$5aq5cbfB4z zq4clQw_y5#*D@hy$T-7dDvd+#<=r>A=8v|Bm{vM)lcqv7+0-(ft{^2jh52L9uexSZ zvs8ovKu#yaPKd(I&0W>?F*v1jC$7K7KLwsyU)b5-)6#5<@)E&`+X<9{os0M?Hx7kj zix_0P?re*eqZ&!twH-E4P}mS4<+YNA5DI47{D&WJ2eGkeYVMt*!KJ7YWXG$hKW3lY^Hi- z#PGYM*wpjCtd8wK#LzynszS7xXztCY`69t28*FG-h`~`uVSvT&O@0RZL?{eR8DXiH z6cV4$Oo3P5C&|pnOHca()pW1|QXR^@2a4IFZ!i{>86|j)r(XSOp(g8YG9{#awhBIs%RLB z;A@KCDitk;nsEe6@R|8;&}6Y7XbvNP3an6BAq&XejtIxs1u7oJ&{BA%fdy?0x*7wibdX>1|$Qn6alg~`IP9zX(nH( ztXbFNF`>zSoiF|4kbKu*OSA?Tpz^e%Y$w8mVe#OH^VuaqIdU3f(++57f3H34vS9nP zna{436W_y75>`;c8n^$ju7KI*{B?#&VC>$m47`00leQLQ{&|PEw=~nj16pJ#26U76 z2bGsRkj1mA)0+l2VseI`o4yea45RG6HcY92W2|SSF@;&vuC+D?fuzcTzYaCi3;%XC z#L(rQ3Q9G7rTuP0LPzC)RdI`B`E?GE_EWfiFk3k@@vTC=lt#wZls7@V7-+voWI$aNP*&s;r&axgisTJ}Wl$OHzg&XYN=5;-WTUq!h z^#P}u+Ch*dxVNt^e5C>u6RM~(QrfskQ;@a*2Jpx;2{s`e=XqU1ep;$w74W%jzW5%6 z1h0ko0jX#G66Gggb+#{COK;YbQ5EyX)W+-;%xlV#2xm+L%1$sM<>=GRB*ZJ$w+`D^uRs3RWNPO?p-4 zziG!3T$cY6nkH{L{))tF1kn%nlAveJ$?er%$kB7%kx#JtBm-jal5vN)JCJWqc5DpM)Uv#7Vq~zI zwiUgF?PtEaq}b*H2#SYv0evxs_(n9?&eLQHVG*@rPga6rS_cxH9j$_E1j(iE7_+IJw!^4dz&dDV39AR15zs z6BSy% zaIFK!%$;BFg!F~sfL#wQPD9{}>6ak9#v;i*hx9@K=!j@9-^dkP)^b?@6M*aNjI4^c zBTYsk6dOLc%rG`u`&Twn+g6~twY9OcCaq8^`KFU>yKSJNp@0^AB{cSVpWX?n^1VN6 zBGTC|wJh*_-#j;PWl24GXthiyBiCZ0&x zY9j^=>%3`iRqfH-uNZvAYp!tbI`Nk-hi1`i=|3|<(s|JUi?V*#uI~d8FPD%%cf!^= z(Vz~rl;-8B{lS?g>u%yc6Xkn9wxn07SOemH!Z;nX7At_u>6H`UfVzIvf16KQ(z)lW za=-8NdDWDi<)~QItAPj#&u&l`-wD`96nc)>@|v@ju0RJsaH3MPp3OwTk)ipE7hqB0 zmp6dSx^A?m{MJZ1Ptaq%^Oaj^sKUdu0ZP`U6|=lG$zYA0-QhN03HayYciJMJW|F?Np6|sVxd}WaEmDkU8H^NwmbTkhgH4Cnyf%^@^uE!l`}e(I7~* z(-akd4l?e75)M79>krqL9DKwtay2^VleaY~)V|r5Wp@_N{0`D&e$Is(Fu{1kejoex z@ioM7?p3M>T}@qxI@?nU1_z2(ELsy+k04J)VO?}l)7tM~fcYuS{H;Hh|C#o$N6{Q* zc5do529D}5CtbnvGbsFb4f9KbeyigF9J$s0x9;ou4KE0PWMqJ*mc9x?MilGy8d$;> zl2jl|Ep~U<X29;{&bj33yM^=~W73cd{IKHUd zT^6uyIJ~cfZ=j@SUpG+1fE8-6y@brW5G9a;q zU(%0a?bo+XR1s;4c#cVI-SeEWm?!~-7`-f_DHSA~e{18X@(v?H0As8Bp`=RSR$l`Z z76AcoK74C+1S)UjRubb@)jjGU`lOrAoOpm*4Eb6jIrw*!+-pzPtMNvANRON&Gh?x(KZ0wcJR=_gNFg3*X;}jGi7P^@7tSY zDZ5Z53HvV~0F}llMBe*@h-sSeYPQlUP19mhbUL}xng|Zua`VQ<#@hM@lcCQPy>6HNM69*snushP8eppHzko)g zagruko+nw#oHy1M!;vAIb#sL{9*>(E2_PnXfN#*N#X~S3PZ?@) z%CF$**e3R)Ck%Fr)~awVW`2OR-bwBsQU-+$G{vSX0^Z$j2Mx&8ZmD2|gN_@sH z02pI$zWL@qeBEole%*JJQb>e=X_C}=Zh38cWesEH^kI|q*MIjVzxd6BAW z;o*zjJ@}e7IjRG|M?U=bfBfD*RY?MtfGA0l2cB}8tetcXj;kKx3kdZEBoP*HhXaZw zz^#+Dzu200q5Ur%=yIlVs3&*fw%VYrAb?OBT0rb5-EunC*; zN`Q?{%fV{DYkTQOZ7uL)O$#%luc`5NTIP~b)2NVhJ+W=aHHm+2DDu_lZbC- z(%M-f@}!Cz=Mze1^p-P^w5Frg%ys9j+cSI@^`>L#xlwkvYev~Zq>oy`Y5i2@CaZId z6(IpfPLUrTt;Ket>4F+(>uAOhla14~Ri-(Mp*yg^zuIcV_T}CobU{ks9r=7pD@YCp zR-PMP&@I1{_cIOqkt|}X;Z*dmI%Q!(r36Y#N+A)PzIz`45Q4R&HT}&;KlFe8^!!&m z?KN^I1nGdmI9m0hY-tyQ- zbEYJLmw8IqbdPKjY#^tR=GxS5rCyF=+g${}@w3NLC3!wgv|$Gwa2esYQnB#0mh3{e z-$XKph!|Crq{^SZ+}6w`!JbafaK;EYjhm{iEW&@zSSpHzUkrEH`4|v5X+(qf;YHzD zj3ENf`%|bog0s3TNN$MpdHQ@j@>|OQ01%-H$N;Rh2C7sCa)}-500H2W7G16Y03ZNK zL_t*G>XG_{T<4@#?WOYkqj%)1Us_j_upN|NFnMKhy8zIk%?PdVPI!ZG9uvdU0_H0FpF0 z>BJL~Bq@rr+wCZ&y4{Y}$z)O(Ypk*CRgomga5!3BU0qw>U{6iAb4XPl(?mdIto6R< zMNw>SZY~~Ky!x8!4jo!JvbgLeq0{MfI(fg}9}EUF{eG5bN@;R_>uxk5zdPR;LqNmv zNGFL(G-xCsvQ(DkXgJy!Z?3IQp8Dt~_WS*-ues*N!?*A`0_e7P`FqKhmzS@+>AI4v zQi@^kL?>CAD&&X?m?j|YJPJYVFRR3)8g?qc+d*FF8r^~7+;LC=$lG`-N%mJWadFa^ zLbsjVQqU#6IJopcMC`NdV6n>BkT;tV5$|R8UC+O=WaZuR%`REj8W9Niq=Tw+0i3>j zA0T|?>t8+Nv`Nux|-1E+R${A;zLE-Y!6<1twWO4D&-}~p^yx|H|3YF42QA$~| zZjq#_1`$Nw`CMC%Ksy=;5XvNZ$KSv29q)h7gZDr1dC&djZQHh=e)?&MND- z`V~}8dQ7%OqYR>O1<}PqBme?0AU=$K)^JQcu(bsA z^10k1)N^;U5yGh5H0^7H!E$40Vg^=j!gtq)b}Kr|Q} z3%lA;SFWHRH@y5qAQH_yo5OoF2sDR?Nbs;B#?UanqA6@@1syy5C)Ij1 zTqs}mXsx|v;npn8-uRbqv&R1H(|_W;Cp_uQGtczXihsD`iYs3GhCjM$;a1#nVs#J~ zB04`)$&u@By@{#f;!itikL=X5{TZ_M@bY4orkLelzVvHf`S!(UoO0?jf8@u`JmZWr z&pcBp<+srTz|~h@{prtK_%|PYKXv<<&aW8B1K1nXwaDRnp2|$;HFKG*ffieFiMTEdvB{#NtfE&wa+(?!>j9xJWW*33v-R61)0*+%cFKE(G(Txo3a7m# zfkKkI3QRh*`UKvdk*7)pCt-!Kc*K^XC}b+7p4t4qtvKEwU{PJZY^&*qEi`2ubLOcJeik|de{v{nk02kD61)i4MORe=9G zB@5BxhX???i=MwV#*D|4$z(j4OfI_Ui;GJuQjqdI@ArDWUa#Bh_Ika3zn3Pd74F0A zT1v!6n(_}z1f%gtr-@FqN;DslHW^Qbo5Quy#>kE!P5$gP|M%QSocqboeCqA*|MMi# zd~5!qBXBWq)Eyko3w}d=)k)DT$pAW9dmrsc$}KvhVd0_ z!GN&?9KDNdW%0S~`Rwx>nEiaxDEn1QxpJ>s$Pw}01-R-O0J{d;2K^a6fYzAuQQvp& z15SUyU;W+t6P@r030K{6y`vDlDj(OEy{Ea4)N2ahjX@&+3Ld!UR0Md;BOb+F?TphN z@b>qcLPi7M~3i6TC=OtU}29Adj zC2lmVE8=Ga2cTnA&1PtS)mH0fTuUlp({t{g=kPifz`Y(c-mCHpl0-+`tXU?b6uR z+`%)5@k{jd>Wt1~7|EloWD)YO*`9fxC zWnPy1PCH8xU3t}|(Cdwgk^l(!^euquXf1re?tM^k0s-K<+ir@qtD@gX-v&D4 zj7P7oUYqB6;?Ddw)+l$Ahe9PMFo<+b2q07xXNpn7Gtqw@xguiQR(=2Mr*;bWVn=yS zn})&d==9#2C{R>>@VohB4v`-3$&+M6e#p;;0$-&9V65d80#A5T&n53!#_RzEpn~`~ z$W);c{h6theW1c`7(lL0F(IR?VNMY;!AbXG|lrIfX@=OI`R9OE9IEY?b;a-NP)6$f!tcMwzQTIz!FU+lhJU< z`<9oNSHAxB|A^310O)o)-EOzj$-BKCUk;wDZd`Ktb$?EdT@^~Gfge3X!yWYR|ls##hUU<=`wAP3UJ^!7S`ke#USfV`5CZ_bQ zmgq#uzEgd69LH9PVns1QA_!v}qnp2AmfOH#`bYxqu5$64zuW*#zE}Z4QLBDMk{$xU zsfiA)E?T0w{)|#esr2H@F1h%!OFDVZ*3H$oIz1b=u2@Y6Rz1fZD(t>Tca|!H_+R4Ub8A(4Nzo zf0eXR-IB7UA{2`Ol7@)f zR{qo+@FIIO*p$Zz3<1+f1&Y7&dA1czq9G^@)3~v98(_oPlR)@xOBoQvG;1wiAEj?L z@;thf_&(SimZF&3RsE2{O3b3xY&66%5L;)3Z4C5u$^q~gbB>9i+P!j%RL!EJO2uk< zoj{Ci$3j~8(*a-g+gi$L>(;C~TGM)rMjkJ#&EDGl;d`R*zt#97a%fg_tEz|GuWbha z^g|g0&Z_RaHqEMGZHb%#(D~65TB5oBfQYRE1pqf4x`i`E1OiMH5-B1;g0V_?VHjox z3Lr~W5nb~OaQeynpaM-p9mriydD`xM!3gOYg)rM6fKv;W)>m0`;j6k3@v>X4!`=)4 zY9LK6N2m6jzI&e=7^yB4fMoyiF+eeS^*>eo&e?4Xt4sWBX{+O{@7-bAuyA(@%>m%P z74Bpk-Er9Te$4c^TF%Em9QOw5_va5E8g)7yb~R0s#2QQJEDe58s8GH9Wxx6QH@p!V zN~hoDl%}aX{?az?7HI0+93w$DXjo{?tUyeY@^Dg_vy6$*OceJf`F!Lj>?29xq#IdB z&3H+9GmZS|6Ud+b+la6x4_hI^tx1ycvibbCO%{OwB_mP;OQt~*x$X1K+?t$}MSu{A zsMYEV92`|mVw!x5DuRfJijb@ZC|#^#)Kmc?THDk=_nxvB0It9B2EfY0kI_rM1Uck} zw8i7bfvPmV2idHvab~h4(H>F}7Nk7lv{L`9wd@PZEFtz6~RJYq9noH7z9deB^ z!{KOseYn0pyy3=M0ASB4C+^s};{m6inq?WUkz{$sewTp0+6G+_wIaoL(z8qHXj~K} zyM-<-EnR!<_19i^Q?tTat8TZ~>EzvRx7Y0^X__QSqBY;LS{8*RGWBJ2#EUPCk|W}H zGS*3wcXCX$PBddllW{TJ*jz5xz!Z>YU;M|<J_iDcc=N0OX95X#_e~Spp!18o)0# z1)Z(>9z|nHD*;5;ZtbGN;PAkVcv}e*)57IuNm`ha>N=xnFDY7;KsuAe(_IaC)rqCV zcLBT6&)wnf>^B8&mnfPN08gCXF+Vd~sY7oCAP0g2a47v7=5{PuuYD7eg>UH#@J^#ZV z5yiAncr0Z!ii`SJtRRGP(3(qIQWrJ7;1+2Q<)?K{pdfjRS}xy)3tf$T96vc=W9M~y zl|+czy=soeO|#%crK#Bl30kP6>K&kn2>K>YhrHu_T#cw9rL9G`bYXy2)DnDOh_^I} z+z|X*&Sz?Ur&gl9X(Db=7}FFOFJ@Z|{>>lNbnfLdRPyD3Gi?}`Au=nXiD1Xe2&t?^6hf%2?7`-Eik`U~OZ)D9dqK)MIZ|y?XTCF_@q05ByN* zGbW+X5V)oADxO4_;(R3cZtqZc?+^DQr+5EwXWHlv!lw6QrpMcIKF;B;XrNwic5^uD z^@}t~*i)3Z{Z?hPOF+;^mQ@(N{7hhF3z#lubILQv5@c0B{%|`*=n<$Wpc_G~$@AZ&PEFj0!G@ z2tSlGdrgG|vPmJz+2j((OUx7+=c_j9@z$OE%2&BN%&;J_^h6ry&!KeHs&S}U#HW!;|cfOsNOj(6bBwMvX7 zWeYHqVv^?>IIBPyY6QWbDofUg7=IP=Cmb3URrmX*--;os-W*Y z%@iuFv`{`Pkzq8vg6KkP!5>T7VpF+BnilU3)H8Ww9Ea{rBS7)4*^IwvAPX14MNCTZM?kA(8-=XmM=?5Ra^{aQ*<0 zC`}dj17yV&Ei7nPabX6MoZ@^uB518E)t^{|NGqjzU_k)DAAS6jKl0g65Xc$>RF1mz z%qRTFM?d!oKghYS=oQa<@$26G7T37do6vZue)4I)zD2-wOk=G)XG4PU9=1luPVU-# z&H^A=OQc-GS__ImgR*GB8aKl!rL@v4S*XZotCc?W#64M()?6h|KV5#~HP+e`gQ32j zEGPhmpe;-@ccH16Qw7j80g)i9R!q(Hi^%Yf=YmGgH8MKFFG`2zD5yuX(HR{m* z#*NJJVMOx7NJWto#IzEE++_Y?R!x$mN^Z;2qrXj(eC>{EkwwxQ3~f_BIL76QqrE;#dh|y+hCMOvo^5Cs z5y=mHG9H$W-B6z*P;@L=$TaQwaGEx8swX~(Q}FA0WpE3E#oV`bXcyz7C9Q$Z#+VRI z+%i7ko{+VcG4t5kDf+Pb8O6l3w`9`lwc1XD)O=hwsUI2!-BAWHnX${9zmm4k{9w)< zYXsW{vrb7;8sX-{w`rw4833^Ngp-+ux&90QEUvB)z~bsMfIsY(5~l^6deWXyp@3^| zy~!&Hh_GX@O*;B;O_fqfqT>+}0Rad78Ea{NW_D$LRqzbiDHQ-L`L$<1Ad~+ecm<4g zBHpdyGC_}zigAwZ4D4%CnBj`Fm@)A344IhdbOxcLX-QA2Xe%*xXIR zUDrU9@wh08GEMndKvZaqMMivB*H)-d{lE|WCr(cycvn+I^q46*k4|vYn}2T3wKxSZ z_46V&t|S6+ye5XQ8bq3IsM*WJO$FCOcrqVOEU%$&YG(2{(P)nM#K>p=xy%;b5uzRf z^PFi`AyOS|cp1G+ET4V#t-Fcd!@RrW6{+ z#u$01ZWOGw3J6h=DJE2cv4~1~=K(mEEp#ihgoLzf=S~3l?7w{x>%tHbOJlleS__y% zpokR{td5){u!Kr0O`3>ES*w&%s6eTW^-V;~(kx9AqWX>)$q%_CU9~TGNpzZ~X_6*sl4V)H-|zK$olYlB5^j;Q!bS-IcuRg+8WtYQ=qYzMt;XZA z(i)Xgx;m(|D9X`jxH4Q{9DtM8%-&{5ZF5W=Gfio~lL zazlAhm>fwIDhb8$&ofTmZ!2RM_<#k@hrv_+T%qFA3s_caSwfUbmLCWtnp$05<v~5qhI`jUzdcw{KYQ?fJ?shAHV(w zFXu-5u_yfSD_-(ae*21-yv&mXB0Ty(J?bZ4_zT{s002U9k}p(OOBKd+v=$I^ZEA-{ zJxJKMu5K+lfQoxLfKosf2oxYYk}HK|n3<>uh`?5-nNcSQ^N)&_Szy)kD#?uIk+?XAmV)0L z8cw*;L>c{T4O`4yt%;}AU49--e@zvlH4{%s6ETU(3ggki9r0qY#1UG{npWk&q7KoV zxYnX+e1m$an_7nWDc{r`$TcxSyhS%C^cX_KaQTxO#J5y$dQnpIX{*`P&#LTwvxx$| zs&mvD`X-k3k!>VvR~IKk>6cP~5S} zGgMk1Zk<&{p&OSx}4$MwK5BWC6bI>bEsY zUZa^?5DBuq{5T+cZezfLwvBWY4e!S=fXi1^($Gq(Hl>MyuxeFAOK`(&Hzzvby99XN z+jGLn0A#II$nMNAKbW-yOY19MAMiTBsVD6bWFR73ck4}3L)baHZFa`Pofc{p6Rjn! z6)RTEJR-7Wflw&~qPf8ww6uPe6rD#!RdodG6j%he)qrUVkH~XsPaO|#6&wKO2D3-j z+?}ofS6=g-`!Z|_4Fll*a5oHhtc`9rYg&&dI5a=Sga zONu15Bp}yT3x`uy9=Kx8mIU~M7zUChg78A+udKM_-IL1kO~*_Uif^mNz#pb*%G=l@ z?(tub>PD49cNVR08c9!}f3y)(8)JwVdi6Hx1R)+MTpF7=wI_!8X~jji9HKK)IV%pb zEZ<&L5eU{2DCQV}v834lg3GQ~XDecS91$Yky1bxx6Ad6BjEhOH)8&!?Dtx7`2r~BK z5HNHJ-2VZfEX!BF;+1ba|9orgcwDLMM4R!N-2#1e7lmhWGx{o ztq}ojniNIRJov(gwY5zESX7cy3KhG)V*RH2O#En!whdX1OipP2ZV&C___2=e{`67+0N6FR zZMHw)6JQ_x@N>_5!jt~!O|MVVbhbCcAnD}y~Bnw>j$w9bkTd&ooI`uneY@&A6M+``tR*1x*+(n}j8_6GCzH_(6Mmh?I&bF}1ZwtlPK>Qo}C~1)NdEIOwIR4tZ8=(Q0+PC%BQINv9`r z8Yf$yThj%3x-puY4Xg#}jz<-ULk&reO7Sff6xD|A)j7CQ1h7yS7-a5#H?_xUe2BW3 z)W=ZJ#>xD?s7OfaT11QM9tWZ}uTseqH$ufdi|gsR3U&Y5st@{~-mP`Za10YfooAE6 zsjzIbp{EBwDAn4m&_6-c9UZjmRlWmK$tZj(}MS?==X%FZ~gm&rj=RHa3*$ZbtW z&w%Pfxc;`A5Ybq}+dvfJzLQSjeNb2(O;8a6V&949)&7(AhzcTOrn2bmgL#jcI;v}f zg9xmxb&R;nd$qO^aeh;wk>BRR`2|lbB~62*G?br z-%1r^n&#xOGyjtua3&O<(4|6PvT}F4QmXV9AB#dgZ4CT1t10z^sAyjic>|JUj<35+ z`Tw)`=Ha#_Rh{5(MZ`Ym-gk#LWxmXpFNc>5NoE2Gs9>Q~36f0OC{)*1Vzu2}g5Us& zm_ng;IhAFXN(m)`B4VNRXO->Hr63}McHzJzij^qHlrJ-1&TqVLy7!*FBUb;hh8=sK z^D>kA>XWp2p}ahIpM4@$tXL7T)-TptG5?jb!Y@XDQ2wx`8UWCBT^;u^>L3l13^*m; zdX3n+&*o@|NA$olz&h*dY8Ps0qb~RWNsBd?>W;_@ZQHU%b4$BpU2Sf#P#rIuvdVUS zHUkDflHp2>F?Kq@QTt~EN+E(!xI{TmU?^rJ|FWX4UbLa$9}SXkh}Jm=~z;E0b1v!Yy476O3jWPlyg@Kd$+|A)fKfELP{w$DaOc!12xxk#25onh_Pv!B=<4}%DLn$$12b$|6CvmM;V3XW>W1i5pB)3Q;KQQ z#3qFpDNyFJb#D9g#>Rb{$FVg-6aU}e{lN0_(z$b+-}UNO967ro_gVR!NxcR-_^W>s zLc~C%p#T|!9Vz4fw_{)5F-Bpp&_Gl~4BXYvM9yk3g?3rdYI&JVt~aB+Hw=$pUpxj*oIuaU}r>1}U)#~=K*uOsyqr9(>OL%cPc?U+sr zv*a6XyH31Cs^J1vDMqV}za-l&5?elS)m7(^s13Wq>e zf9OH98rpDzeU%;9&n9P;uiW`u0&UgpcXrI5Gz@b!);}MQ$AToJ^=rZjHn7GS#MQzu z;zyR(O$rzh$T>6Q?rHqH?Je>FW z;A0d*pg_9Y%Z!jP0g@Xac?6bORvVR=q2e?|Nh%LiDOMr)tsTJpDTMY6+glcjnI^HocG z-P;0-C#ljqCZqqy>kc7^>@To2N`b|cm|;~)8B3jx!UMtvHA|fv^Y8eYKtub&iLtl5 ze-4`WJn-e{0q7aG+q0&UM2A95o_l(LQGaP9B$cTWLO68y9omY$7XqA7J9O=mGh!Qpb(5e13TC+1?Q@m}$Y?|$PO-|R|>7a|f5 z%8|xE6^Q10v;Rp$zYiK3Gh`SgOotx1j-t}i>dJY}29pmC^NsXr|2+DMY-5tuPSDKJ zYx3tPw12)A{-%F5pMul-A{5S}wn)13Hx3Rmf^IV*xu^#cQs)1QFS_zE>1nXhJB}MP7G(9np zpZnBjsFrL%sQf>LAc|p@K$`(HA}tEqsYyG9Za29YEKY*2{a63$jX(eM)KH+{FZ0m0 zA;YB2lrWpZaK}o;>@`d8Q(u+nv&=*^nM|0Ql#<$h5LCpm?~r0lO=_AZ#t6Ogz5qxu zg6Q12&78ZYX+jJoD=Iv)rz_{zI=F6W%T<9~ngul&ld%x1IMYhem? z=k+pP+p!A3ohVnAR{-Rk@838s9dWl+0*o4%j18DzcDE%PWiSu8+1mlG#t%%L0U!KE zIA(s%jn4+~XMg%B?5muV6aok(ms!_ccf})f$(Sq5{F%eI3f~1vDW%MqCma@Nj-+yK2nZQ+ zLI460L!8rPh1YdPsWSVV#G(Tv^#{+lVz2p*V~uDwXmaE<=b{^yEb{Cx1HGT1UBL&r zjIKs!Y>P0q*SicfIY7NL$^zblGUzXpk*4h{mTS0VG~{;(?CnVQQ z{PvxbRJUt zaL$=a;fne7-dX~c!Wm#etSZ#Tx0hO7spg$_*+0q<6NMP%M3T6O7=%DUI$k8Vjc2zp zN$9#x#%I{Revr&JzW)jhO-eCFSu|=`tVYCq?hG(iU*&bxfh-h#WXYW6O4URV#UMyx znO<-4#bmr8I`>csVLvgeaW9JozaFx>#1LXiG0L4l0pPCdBhpL)RrW%Nqyp)gg_yb5 zc$wK3%Q@+@UO5-~4p9gcLhP45pBRdhlCDC=3n9z~Jy*7p%IauY7>z0Zt9-2J1K}frmel=W<<_BH38#@J2 zN1U!DoqI%}CQ>Ql)j(|lfU6H&*=I|GVv=McCmNBjcaOO23fY&PlH2Y*Y|s?}VDRel zN-l*oV92SnPo|*>&jW>)i(RETn#o+hucnG?Vi?VQ-N%^nupS-cx%wA8&!v=-T`JDU z>Myr)0Xcp6moI9J9x7~lVG01K?AV80`TH55_4Pg5vsu@5UEBKZsJ?n3gi?q?2}DG0 zA-JE2Y|lg__d#(F;`H!b?Fh+pS`;sGW%Y-SNS3+urmoP>S6f>Y80IIfYw(xd&lHt{ zh#{URKbcQ*T6ftuc;CxM9b{&|2C5A219jD;rr&={bAejk5gJ}PKjKeK%!s|Ccl?JA z1ap;R!TDJeSu=`<@Thzc5Uu8n^uirl=QW_5@Q4XiSWJ#$K*PXeNC1&silk7kMJUA>QcO*XDHi6 zPn|fkfzpET{&&59y0kQ%PJZz%Z~242`rvdjk#P{tG%+?YiO`wzpUnl3W0En(Tyl)o zW1wI7>j8WyW${jYJ^<}Jbv%^qyr*aWZD!`ze9x=i@s4+FZEg9}yY9N{F&i7D6ia5M z717v7;h~nDtLp&n-#G49C@5tvXy_T(X9Xs0^?%e3CJx;H;)VZQ{(Q~P{umK$_0g2l zCpSM^4Gl;X6nz%y-B`7$@s6t2>gm%chLUvLiFI9^AV^S4O2O*VW29n10+p|^bHMrT zpmE(6%MjKC3`rq9ve8v3#?Um)WYS3G%-ptJDcOerK-YE5@RXPJO6d5hh$3t9mMjY! z=8G@CHV17+1W9mYD=-5HvZ2XKwxCE;LJXh>(gK(J8r8OK*R`1!E7__%)!;4kiqx2r zFH`c0j5yhujdVPiBFfA;+ty(HErI=TYmr*r%L5JL#$pU9r4$nd32CyqR*EYV_1>f> z2)6FcHL@VRLy@jYjxHsF+l&;!Kvw|JBlcfW3KOI|3?LUoT>^3VKFjz|0Pu+YSB&=m zL-!u0`VHXfOD~5Vr48RTn9?R|VMgH$&u3NX1Jo~Q=MArid7VBOCm!fIbDzW)*txQH z^wbIe@;&eW-RC{)1@m=%8I}vk>BGM~^f7u!u;~TN6uwIyJIuxYk6=VE{+ucVa0GPvo3&d+ z-Ke}KUW%oPSeG~5Rko%XL$67i1J-z$1i*jDLl@M#Q$*A>O{ua0)YZ*|5oVQfn zk>$M@{pl3e_R@Sq&eHlP)xkB@U8woy-^$Mg>Fc_##zU!sdcCb0ll7Wu4%_v<)HV1+ zwtS{eMonj}No%!)6cYjvz)hq~2t-6t?S=@9&cg@$&AF{}bsH(hHg}Vz@pmgc$<$j` z?^6dmC61X${VTrVX*W)%(_0VSnse@Q*Mugeq&H{hAULR~q7*1_D5Vhex58xgD*?-M zZ$~^9AZP~xrsW56pVp#QYn6StpG_q0xCI4ymI0{Kxr`~Oa0wxrNv<#@fr6NSpe}dB zg@?)<2&A}4h%tp20F<1Im}fD>CZ#5oQnofXH%@MxJbN57MuXpY`){nQtV|}8e|gi( z?mK&8I-SO9RxURa7*6WMpekeo)aI^9jbup>(3R8?pdRbRYwqajLs^;q6<+-KA(fHJ zub8l)7Yt^;^R7GFww+8Sa<0&}?Q}Xt55WOd>OUu*x&ODSeNM$P#wc=n>NpK4a-^B+ zXQVz7^$SYLd3wi-*;0>-xMt$HH$Iz)jvYIu(~Gr9>l!&+>$HgA1){(HZ-4#7Cp=Ls zg6BW)8v(rQt^fI(UiPhh_ZO&`R1wLe=Wdh_sVA@R0eU6Bmk+uak}mtXJ~RRdbj{^g z&r>J#{N0_mihs#q_!tasIZq5S9u-F}{Io^ZC2=#4Dcac>u>iH=N0v1oaj}fa%nuZj z%x0fEQYXufIL*n)4bTH6@THV=p^zt}4zNXB{j!1rogzfTJ!{^6b_-VSxga7*#4HY6 z1W2wA0m5vJ*SCpC-3*z)1$^^pj!I0ELId_7cT5lJe1NK9$)kg828+eu)<)!2pBLrOwy^mqnbq=TY z9XFhJArpp86H!PhO{Wv}3P;Zw|F2@l)%e;zr3B}ayRI`pvnx4EOY=$^3?wS0#1MKE zpNK+8sacv%Q`3+_v?X_$0!=0p$?~tLwD@~cFlm$>$Fk4J{}m;B1m!F10m#$~L2w@# z*(RlCG7)b_)^&|U{vDA7AXA2jVu)lJuDiDDayFd6 zG)lP7y0n5_g<(KJG|D-5UFT;3%q-KS>$*Tin&4_U7`@Xs$gncIyK*vVM8X9lwsVop z%v=Nu&HxFhCubEFn_m3Dz_!JaAopWnl0X=E*^@Y%PN&n!WFpqVY&PqTKy@zhv}I;H6);ZfW5I0Ql^D?ZIGHq)$)rgQ48r!1>OVPXR`s@u~Cqi@72M z$Ph@q%7mto>0qN-a#rsgQL33CDWL!XxM%RO_sWOPs#KR!h-lBs+R3x0FWS93=if1x}~%R@v?9~?HlfSCfgfSGz*m+pV$ zkt1J-Ne{Q1lsbV;#hg;l4+8ppWR97#MRU^}4k*PR`bHZnk41k!%mv`rzv3!}y_iR# zZ;kafDP`1(e3oB17C8?BN>u)+^_m_>JWF-Y(x_>wU`?7sGwZMXSKfI0DbQ(y) zh=AHt#`!Q3E&n!zphj?@!sXIE7axe1lrE>3rjw~en)i(wdMclv_pIjt_|&I9b^TS3 za+Eg3G?`9<8DKt&HE&U2zT!Gj6mRI-u4~)&NjE%kGM$DLFS+uvdk!65T3P~86B=F#vO60wB;sh!kRoA(R+%$;>S4ODSb* zb8F+|#_mh@?$}z5DZb+uer0*bj?K+;Kl)QYv3vLKi!Z*oX%dk-8Ksz90W_x@)cBxC zmUzy#U6*1U3|WQv)xYsS7lY^`Aj9AJ7u7GY=&QN+c@B8&;W(vd{?j^qj0(Td%pLYYp&V1Z{G`_{=9eo$@?r=uV%eeR?%7UhRmR;t#jvB zB|P);p!QuKs$U8P=>umj0hB^y^2CL>_P{}Ve`we+f9B3Zks_$i%~Gvn90DY?ZnS8- zBrr4F@hXH6D25nQnlw#}QEn9z4>I|oz#@VX%w}yVt@{(zP1M83%!Qd)qVVC!X^NUR z8Jgx;o!otml~Y*XeO%vw?&cIUdvY$8dASy(y08j^VmvX%rb&}YlVTE6J7_}5;ut|c z^n_B1(WcI!@~_a6v${c59%p?aDCPvn@+oU9RR}VrYC7n;tufA$;8l;(Bs#$v#f7`v zwQbjRooGe^8=j8|qY?r&O%i0#(K_yNC}F1t4}~5@1^^KO-P&@_Rj~YkfFUWSRKQ0e zCC6xlMY=XaZ>FX$cLtY0m|v?*C6^FF*XgZ?EC~UJC#-$zHUkTD1cHKA5^~WjEiI)c zx$@JtEfF+u+1hoTDQ}hA z=lmgxiJ#;u6}sd}h(SHuC7-)2Q(f1AS^RLpoMQAuC9YHp;+9M4+HTg)Bz1evnOW~3 zWpQihd}G0#b@8CLs}Kvk--314Pn8Le4oQxpF|}LdS(W$T^ePM|qwG6LnKI z?I1@aK|FtfKHE}%dch0^1qvZ@@$C8it|#@eo-~jzzg6!Uz*1myZH;*joW10MZ34_wxKqamhD zRSwhne`%Pdp|+fTl;_!wITb;_Wy)QiPA9XinYp0V*%|>z+INXe>m};Hefn?6rPkW5 z)GQjc%K%pv1p$T3`4llR09<+b70XLYpZLTl5a^=IFTMA+JG(9~El)#;)flOzqY$l{ zwR#tgD3Lmp%*+|aC{ZDEE+8-%1tA284{MP7nlg8pvZi+spBv3xEo^%Nc^}nHU!?qzWxFm@_N5ol8d++-E>r+jdO6_p*Jn?b+8n`KxdG z&X+ImSia?!TYmlbev1G}!6xQN&^!qMTviPvs}W5iQgbM>xJ}jLMPmqYsG$yUMXH|n zu;2hz!$1S>pR@mli0T!sub`f%HPl7~VAt9@5gj>sTr4rEXyfeZ^_A6+-|~qN!i`V= z`VW5agVX6W=X~PqhMWYrxXK4oGlz!sSIttzhx&Q-=c5lC+&FXk=&9o(a#%Fo>hjj9wj8+NYEO;w%825EyHDJI*YW#=A20jYulnF`y$8T^zW!P7 z`jht=v-PLv0mXY^p^8ylNf4cfb5$ZXpkHCERn{~sg*n&}MId6(qc1-wf%(LS4_ga| zkKChSNsI*mPy1sB802E#C)*)I=vcf; zDJM>i#LtqV3Cbta#Oef~zJr@wx|-o0h(uL{fv@~smrG%hNc$=PEWVafQi>svIg}O7 zr7m^fYgQ1zM1?2>*1m%o2&O$+FAB3pAj=--84L)DDML0^2fs)o?ztL*`B4Bf0x^@# zGZL&YMva1Jopj2*u5Cf&se27rW`_}79y}d!@v9GW9@%KjMTWkTYIWqSAyHI$?b+r^ zoq?gB9Yv1M7?#qs%bf-+t0QLbf9JDOCW1IV_dt&G$cLOXUh#o^zWHl`$xOp*XTFYn+ z@wLU|93U#Jd53}-GP09^)ze)5)%nFBO@O1hjF>s(z<&9ldK@p94n7g&=Y%mg0ECzrLY73TUDtJ4fMz+0%631hfXIEP3k!Zd;y|@~5vlG9>WgOh6+sno zuc332bzcU^dDxXMcQ)x5vM5C&&2B#!2;cPm16N0pA()3XiPW)dtpX6o5V{aTAA1Mp z(su1^HWP$>(1htoWu(`cK2SEGQR{(|_pYfnd`MnCZj3e5nTL+H0S7=+IxdR?&8?x;jvg5dpaArtf*f z8{XI}xIhSDd3o90KWkl_hnU*vNxd=n6DMGv!cw_*dR1J(eEw~zLik5Mn04XG^K`x{ zG7zeMxz=1U)CuaJ*IJr$$sdmVYU_^I?ymJab-VNZ9Z|S&eEm(D15C-V%TW`xmg$s_ zsvWUno`4ZZI%0Bd=XiyqQJBqUY#H1fD}?I4y?d!s?gS5{5dI8flgkt5>cAN#nrZ9jAC=K#be?Yru-t#ex^ zj~ovnWRK5+0t4cA03dgrp8N;B?8WiuEII9~;mDQNE}j(Pb*Khj$(~z4Tt@+6mn2%% za78eSv!1ZSL&WChsWL|xYJ+GjFy}g*3-&D=U@G>>(%Q<}?wz^oUh|4qJ>l_Bm``Vr?1^&_Hrgl3XJ_W@;!Cxpw>CTh8;-2dvS*?KrTFg`2P1k=f-DWf9-Xn<*_f)&njY% zNSrq;73=s+ZcvY{73Up@^E~A9fBO(i@9g39TyyzBHT34_`RtvCBuiWUrGM%n*+5im z^)_(X+mP9iv#+K4UA;R<8DgX4G4=4wr1kkpeP&=*cqu{MXSsBtBcc#P(=<(Lh>(kW zbSfZam`E6{X#luz+qG>wYum1!&DyS&(Dl*AJ-^@i_T69F z=8xHRx$9c-F>KpT;lq+W>8CSX(J9Gkt(%&iKZVwTt*D0_BykUxU77&oE_bI0KL@8c6NqDzB^h$mP5O`AWFqYVkpe{Sfg~^ z-*coDtnJx(ZsUxfKIM$I?Eosd1PfIzrAXQVA_$OLa%tPPu<3HBMQCBj>w-@H@Tx2(`;)`7&kztCK$U-tUw6iwnTv#0L3{0;8YXGHysK}v+ zQzcHXfdWBqDzE3Ah>$oliaa=CTXGSpxZKk}001BWNkl+KlVfk0FC`aor|6}W(dEu*0Gx*G3%EBAyZ;@aQXp4b z$#UJ!TJ>k9_?}g;$CU>S0Ijawbhd9%G=6m^I;s6oK_iDciG| z#NbOhU;*W2?w3-~=b}X=41;9H^(gqCrEmxek`@~;(o^_S2fCTKhISarCRlkX#j{D6 zx>ej4zP`S%o)KiH5Q4VmOhmb&1i54)5?|<2el38zII(oO=bGRn{>it*rN)rK~L-!m8K$U)VaQ_wfA6?F;nS8O&F+5kR zFXlGm#^qrO9)GDYQzBYh+qJp5 zX$n&bATFsahF^W~psA-lQWh)(zD72AD5Nw5%V^lues{0*)LMyj6KMYBk*1Cc zOR6a!(J-QfEBvvhYSiw?CZsvE)Zcfq$>3Li=wH=pJ!|OJAVt7D&7;oNgoUBzJtA@h zXwn>lS(`fZ-CX$9LKcl!Ju-Sdq)YC_8hz`$k~k^nDp!egy_>2SnfP%9r%F8zySD+~!W|IeB_RkGv^R2usr`0yU|z;{+#MfGLMR z^qvHzU&6?;YS=>C@iQCZM=+bsHn%o;wV@EvbuR+&zSK z830EXXVU3(x;@*LE|j2o37MIwEKi!Yn*l%o6BjCA$omvD!jZrfN}#~Nq)D6HfkC0{ zSX$nm%?yqq0xeCZ0Jht9I++r%-Oi?y2^d?m*|eE#w=)1sOVjOH3&wOh*`CcNlgZX> zySH9|>2xyd+NH_TtebHOTikA)JoX>o@RrN=?{Avs<{x~`v8_|9J68IP96)0!Wowf_ zF@joYM(@2colH8*HXyc;ot!Jy=O%9DEjU;v7Ci6ms>&?k@!O*xkVV1E%j{_}YqXC0 zAMILQcMM?X${I7@fAX02n)&3JQ#)7Ih(d}KV*)skCQ$ss-M7~@8KVr=t~%!06!$Ly zgd-crnR(~xTCHv|5y0y!tL&G_s;z-i^T8Q3TDTT#;g!#ceXD*$3%Y#7XV^nt78MXAD!F$VD2I}f2ca_ASysxkl}#)!b&G1MiyUPg@> zU`E$RxAju^5abFJPu?fyGsO_e z0`LitNEay7pvHF2xt+CL?z*Ib;m9eHk)&o z#aEW)4n~2wd+kw*s9_?vUE9u53?aH`>AuRy27;>u4vuE^9-&~@2X$>Lsb)$kZ7WGR z3(()k1xIawU=kv}nO&FLNt>EfL&KGSp90FB|5xUxOaXBLRvNkvU`byy!kA2eRmUO9 z7V}eb7rIun3~O2pkPnA=(Fz=HNxHzy3Y+@DN!ELLishmek*HD2e)-Iqfl_*p+AdJr zb(>pT)qyNIW-9s6F*sirte?0P!6$tWu|-MOB|ppYwn`}+f}B8eDVZQpD-p%ec3n4{ z&4hki>-xZaIpiSm(3dPprFdEfl3YiU{W<4WCSxfhi;jqV7D>Q4QOI4^WkLOpb;gAd znkGSfu1jHNxiLw*Q}pI6cbg{);+CpsIktAQ4S_Gt%xvc+!884~ZR>u|Dl>$jZm@dL zCR}tOl+?O1?pzq8muTgjC9%NhK+8r$MkGi-f?()5=iIrU4qJkQHq*fE!E+~1(Sb|GFo5x>CYYH867~Bp_pa}9nU-z+(A{_V`*ON} z@Y2gimDGRs&s}!s2w~s6>Usw1;aoi!>sLJN%YZ*_jKdrWhRdwqCc@O969 z?W!q=*U~PQhxu`Ld~}WvL+HsqTarFq=)n8d_v~C< z6Cehj-GUjw$ zCM8Mr2tDj6-UZ3;p@@du43oPTzxbx!#HttIK0k$}#oY%5DdNTTKBp8^rt`by4Sr)2WHf^kD8Dl2#_#Xe*1rX6Eq=7y_K$3lVCG+Y`dcVXf>KG3w2Mx#YHO|K+#8LT<|$Z%M98)Mt>HOD-?^mKWZ5GAOpLMa&x3gmumF$!cxDTY#bdp46gKlQ24{QIAMlK>W@sFXZw4InlAO@Y7i%Wr>^ zfW;sMMu|wT(iTiM7Y!E9MG$S77er{goMKd&%%yGHm%Q|q?4G2kriBQ*bH@H)<`;d- z3vPVo(>3^8VoCs(xF5|~0 z{m=jWqq@lGC=8J-#fT;6F#vw@S3U7%Fa6&DXns{gq#U#M#W0tWQWRB6u5`Mn9{v9B|6zmJbuP#h5FC~$hytPM#h458FTLgU)5!!NP1_D`nnsssP2;ZVhJDG> z+?uvNE7r_qdwcuEFS)4{HaYa=g@R?<7k_O*0s-WlU-T_6c=oft-s_ix9I3zSIzjCw zO+w{NuDJA!mqylO`6}C!Qfzr z7_zgZ7`j{pW7j0h!USfKPnB5awryv#_Qfx`NkLU+pO{dUoE)^Z=fuqa?*IJJy?b_3 z(1Y+8EQ5#SU?SvPWOj62TS{r$_N6cTzMW7F#^w}?b>Uq!lW?U$X%EK5ry-*&gT!2jY5qcmDcnb4TZ8Y?kp`;cJP(c8==8=yEaQygj0}}P$0&Zd>#-6xdl7C6n{}>2CtPoS<%sORAO0^IC z#yc%DS`iiS=9hnO)*!4>cW1zdN}(AHfvZ-%%4L5N#IXow>hP;x?T)%|>u#uhim5<1 zYtlj3eMC+JS=uJ|M$zA@j!RzWfIL8r2fLEEnKa8w%c)6CYCimt5C7ONzAl6yfsl&& zF)dFfS~txNl`WyJA2v8lXfRuSX=#Z9m0XxO zFgHz;VrtuVW8;+JBMK7j-o1-j+S=ZhKl?kE0m;heVIMsivW%26nM{bNa7ig~VZlR> zpE$91@7@z9PB7z=eHTeyOJ;7{u29+9-kwmCOA)Ut4g#ehrF3p{695@_B(o|`895`Y+DY7&7?oIJT_&+hw=96hlA(j!NY1)?1*E0f72gdh%mF+}mMOfgE|j~+X| zwzkHl96xaaz{<*wO(Ouda~b-;4(kw+JBtOPGiT21+jntFDa#d?mbNc7iEWwHm*qx# z{T#q(yN-*m!?^dp`~UINzWTlI{o_*Ieo5x~ByurWpP2!?<(Gc-dCz;6fP)rg%o1wQ zq^8R`1d6edt-ozMF5FBS@z^V+G|eQ&Ak>yzp7NBhkaRk*I1g#A*61X^iae8eWFG|) z0MQ>LOAO2#lyq7Z0#X-SSZy0&YYbmq)iIfm&k z17Kzw6iM_SL&zlu3c{|F8ym8SgcvD^(|7Pe6b^J<$3V$N*u^Esm^yPdFQufEy0&Y( z_MiOYr@r@ne~ju}ToxR27z|BHAcz~apl6@{yU#SuL|7q$F`Z1>ww0^x9XRr-#F`~S z(K?S_VrDM9fB&UhTU*{`-3x67iNWH=3+vWGDJ4*dF)TGpT$qTu+@+Yh+{uZH&$vKA zfYno{Pt4l(l8Z0SB_BI}V(;$VF@{;&#u&G^XIXN>0wIP{*s@3mBE%58+{q@FnnV;N z+C4QXCG{{BKFcM&?W~Qp-a;pcO0Hhntl8BN#YC7Oaf4}w7&vM9zS<(eQhmI^1uF} zn}7I6|6Ogb`D!C7ZV8006YpKgHIbrxnA?%r07qf~hweRGzp;01*KqG0zX0IsORrE( zWuWM=FT=HhF#iTspQ~Tc0=r{&WS~kpKN%36}!Jv*WeEqqHG@cT*FM!90~g)>#80Mb$S@6 z=euw1^XMI{EAc<;N^0AThge~~sgJr@1CF7}xa#%Pq}B`+!@$2C{g&q-%X*kb0#J{| zs3XBtmIvr&UBhSu=SlKb{O1nDyG`Za@?4UEQA6{C$U{_@nRDB1=T`D{E*Qd5wFBQk)JK=8@*fEJ?f>U@uD|X&)+=F^fLwCP zWj33EAaLsD)@IvwyLRp@cF~i%V5o-<%+*1?S3v5Q;S|~TfH6r-3ufNl-rjC!dw1_q zevo-ah=YX%ze<0%x3)L8xAyGby|ua3mArF(oj~W#o!g#mudS}t2&}kfsArAc`2Zaw zX6DUvn}y3{GHu)0%8nHP)1@Wx2>8Gsf8gVvz2)+YFC`*^`U^yJGIb81h5_qSbRrTn zFD1>&3|e1Z+c!3u0P8EOQoACjr%v>=l2l{xe(zLDaH$($rZo3~7kvv5j+{CUVAt9JITd*^ zN{*xErhgc8Y(XU2!d}n4Rt-5+A$`N!-u$HN9{=>O{UlF7l`B-G@LN@Vd?!1-iln~zdg4cELYk^SuM^!Gr{?2g;gjXY+Ii<9F z*RJW(lH45Kb)8%!Enb?t_w0s2pX%dOxNT>s?noq?TVkSnh-kLU?%SLJ96xqqb#;dT zCBB4*5H7lCFMvIJb_?v)wjFZ`=3q`Bfg}V#X=k&TQqJ8Shwl`4Mb{VM4pFz=`cm&( zk2rhA7}nRmUycmYJ1HQlN@12Wh~(3ekvI*J}^l&;Gqk0W@< ziv2{6%1F{Xlu|;V)zy{hbZX3jz*`~e)q}N&I|qy92Y|UkgOIx{fFmquH&^#|I#r$a z0;Qk>hY+v4^78eywbV4Jy4O^ils<+);%-VrS^R{}O;2{)K(tbJlv28`J9yOQr_&{kxzWi$3hPv>pU|Tz%UO0nyA>0Vw3?e+TfPto z4KVyev_#RYEcc~qS-W=aT3cO})YvH{_cs;9Q;>QVM>IQo&=}kQG#n5mxYySY7O?XjaWO z#OMdlJ_UTyW7e!GS+L-eANRQHrqgMPX=!OH*D=ZJS8`_N)HH#p?Yc>mn2{x~E3jwJ zE-<>B+qPX>TN4=z+uKdk{LD}P_-lXQ$7Bd(^ow5g$st4uuFqTm&RwQZ0IaR8E-x=H zFD-#cVw+^G0EjKILI^>}lfb3d^Vp7Oz$^gwjvdPqFAqtq-*Zy)f|y*yk~5=}!cVy2 z`js8aO*7FrDxl4IX*vxdu=`#xa>*W*NJPotb(xsC6fV4DdAV)7Z}^61{r>O27j-d_ z$fd*(xG+UI8!S2J%l2Q=w(V6{T`ADE&mi9>dZ`B1)>hlL&86&E*&%-MY?m1rJWaOZ zsdsrP90G?B*7xlp8fqx0CMN;vMmoTn)+O7!?&fsp-oqm(>gxShsIu*=2=zZuoy=DO z2GjVYwg+1sdgERXkv|t}QiJDQH(XKEYa)YoheR-g$W@!Zj5Ku-U`l?$-x z`O3p?+CQuoA|iUkBcF8p?YFSrml{MXBDXr!)Z-Vv@SA?~H-CpMvFQl65+JYkIPg;A zclEdR;XDnIO8@Lc)`*+eGaXM@GvaZ<)$aZbL_oD8US5o(}9y^{xndjLuFE%x9>y#AFp=E&h zh$Mf%m=l59T`48cYG2nmlC*{Nj4GE1I|541S6_bR=H@0bn$$E+Y9^DE5}}td3s+-}i&EP^WG14!j@)~8d(%DlM41#z@2Y*5HK_?iAw&k7LLYqG z9m;Xq)bVC`^KU77mx}~I`EOy-uku1Jdi}1;gM}+9sp?)tM1)KBUaX;o%oM_;X(}$+ zD4_xb;f&X;Sk0t?&J<;c`b+jds!XXxDy9yg%h-cG+_S!`Ut!2qQ&XJ=&Dl@ZcJ{v~ z|3+fd08k9!m|>&G&ukFU&XqM8%{}Y8)R%39P*g-t_PdCpZm{=Dxm^YJqq zF~*_KsVeD0$x)>fkR+$tg~34@Q_ts7{h(^8A$>?v5pfK`;)umoMF`=y|LFIB^8@b! z^ZuO|-FxyV2a>ad7$QUOVGsHpl673Um1nHL$3N0H#Y*0D_6g&zs3a0^}3XWHJHJwe8Y$$xQmnU|pnp z_5Cy9TVp*4A9Aq zjTloinMfP`bP%P&Rv1;23Nr+k>34APx#PmQ$>Q3Ep&}$T*vJOQN5sdCsF5PBXW~qn zVpNb=hQOFP^4|o&T)Hkpb1oGCF(m=y27RAngrH~4fheSun#p83nWzh%mul=(7zoj> zU1H{#bl)%LQz-J3&8FN>X7d zB?oa6PGgl%WmuPbHig7CUzM>CW0RVgRPsogb^$OsU}o1MxRjWZ=@(2y4G|Gtdg&!( z4x!SP{ajMJGBf%s)R;@4_4T!;X+#uNuB`(>Wr2M3LkQ;fTb7s97GSVkN*3A{j2J>! zDarj{NS{SPWzNQr_4Tz|Zuy(9{hEK&G>wGuPnxD!Iw@JBnx@fE{lsj!IROMaUJ~GP z(ll+?O{Wt{XTd`Zaaj|Rz*I)mbJMX&Znnyp%(tOv-bf^UObZ&j+aMdKQqzhm%c2Dp`hrOF4M;RrlY2B&9T&P9^1tD4V_zIx}Sk zO{deg>z?$aCzy{*?H6KLAOSqs@|M?DF23v%Igt0n9hHm|5goeca15qm0ejbWuC1)q zD%PQU4#%OLb@0;5oi-QUfeK`EK{tGbCQX5mBp&DS78=#lw^|RX>lxM=onIDnpo3*; zH+;LQ?G|QL)u?WlD8=agCD0#!;GNIC@tbg=oWEQ^PXA$*3$W?J@^C^Z0X)nwQvd*6 zb=9?>{p??qQc6w|8rJ1JXN{M~Tyu>d;JZ3oFYfccfm|b5b4c7gP+0%Ue@h0&`JbpD z#Zg1^4x0;qQmPobx?L}$oh(!t17LtJ23wf9091(QJPo67>sIPsPz8j||EjJKLzh*r zbKcL_dp-YKXL7I{>NUgbdQjOgHTPV#^(QreE)|CAN`acCHRnma2`Zn3;Yhi2aV=MM zZO(zhC2$n?Nfai%+euE;6(~`K1AhL?d-v=GuyO88rCF&@w4KJ=|3=`c^a})VbXZ=t zQR?9rB2WnCv8G^wGOB5^W$r^2bT8D#7})erE|PL80!$W$PefeG)d#M;_3k^`+6pX?}9y;j2)m(O; zAW_5w|0=oE5LAEN1kf7^L_}!Rlx4T|s;TChVb6IDOBC+PeE<;uTvzz23NUT?RBU90Oo(~O>8 zw}wt67#2xYH^o!cQx%Y!FICr^J@#DUvv#ho`77?8DwG`EIH8ZLzEH#wxK1{HeD=;m&K#O+mMp^n z8ze#?LILwjzwO0`KKF$W{@4GSnkHCAMVZV3*T5JhGmAhLr?2o?jEMxXkj#e60Xmx# zQ6_N9x`NsST`*aOyPAxwq?&_WfvE0J!hD&!QFkI{7Oy*VY-A5f5#Ruc^{2LfR6{Tr z9-CjR*I&gLL$aKJ7OqQ_AeAA+pws|a0*&=DCXOL8v-mSknr16!vK_>DEHcC5Eym!S z$!Dna>%@tZB&q@t8C;uUL{z4Fw-mM3`BQlS?Ta7#+tx2ju`Lmv{>uTV}mu$bdYUK!AY& z0cgm;S%FSatw^5aA%?&lzaHV3z23M<&9Xl@K$5kfH3d(?F zHJ9C4-1j7`L*8KK5MwbE`;vVZlVTGCU9JGZk_PG%V?wZ;>1@4&osMgl18K$^J7dUQ z7I;*)4v)W3aC(?n)(0InNoqw)J{&!I9GXm%QVbMg&e_l%Xr;ONCA;?+`1#_51ymBE5uoGGIN;N|wP7IrqJigE}uCf*fzS`tt&GZUCu@A#7ZRK^1o&P;Zb z6%m08OQr=G?Tw97A;#1+(s$8#qg~$`Ly)y2#mGSJvLs^eMH)ykrWoa_KF=iz3!~^S zJ|yB?W&Q^MoIZ7$>{MQM9&u}R^-`vl0>q`1Qo1fvKd)TpkQ=H%giufdgP965@xkjK zHCfouC5?jRRKxf3m6vTU-h<% z`BW|>zDUBd3M}R-n$D#OMEJ5K4;*)x-7(xgV76VaZvT`NmFVv1nM{WIdm%7sH9hPbL3 zUI0zoZBq3!bnnQ={1 z{uLa&X%aIes}&KrRL#wa%CM>hlJKCV@2mq7{@lSz=@$7*gC7b_K>u6UlGj#N7<~Nn zNvY$=spHIL=ju8Xbce%#;4x>$hg4REG5!n6eC(PO3C7>KvNr#fJUn{pL_OL@i2Ffu zrQeG=4)h~0sV&A$>+eco=I1~28DDY3<714wcCI&*<|Q}3`i}eW&B!$?-RgMcWmjoC z7G(O;fAOMAFWDz6#{Nq$z4^y~LPRz|y@?c>z+fzDz5w$wQHjYz+t3#Ym4GrpVIuO? zhk(!CdE3HD$c;0u#!IJ=iXoTs9pCXC?|a|-7?2Ecthn9?+cG%OtgBKAL3{mZCUmWy57Io_5wX-B4XI} z>Zoj#>V0Xr<{XJd zf-mmfvzv$tmw>=VlCU)p>R!v@A>^{$iW8$}{Iy+GefOB{gbtOKS@+ZCCW#LzL-Tao z%i@D&d$wK=MMNnj1yTdC$Hy2|UIX_{06+mkDNMvgE+s3yW&+%H+Z~U2%%f#f3o&Ts zfe-{I60~}t3+Y-x0K^$BcUia`?1K9&l4B@UPgqq6Yz4aKo_o1puKS}|cjpukT9RF| zVrc}h&wPPza>@0E zgqvRR($~M?7y1R)^r5Qj^#BnO-GBf6f=@A{>vD+7oKl$VB71S^j46upBAqW>BnHj= zjtetieDOv7u3S+M^b@4!PAPh+6d6JCj-TR_p@(E4{PL~1X3LpSE=BO%5JQadl{dZY z4gcX6`-RT`v5DYc$QE&OW8>Jd#`u8&w2LKfB)U@!|<+C z*i~K7pRnYEq7crUJuAy4Gsh4(MgoG}lcr(ym8M~l?{d9m@73t)-8I)dstg9)gwXXr z5gW4;PngSTf1#P603=vI-&wnkIE!H_*L}4;U&!S3UO8%R81WO|dU< zMvjlB zRWEzFFHLvdb2oqk`!D;sUw$($Hq-czKla|6UiR9B?7C3u@^D2?FO+e)0Gp1=Lo;ap z9?QcJGxc)A4PW!OfBT_^8;()@0#w7}N=ava{`0@_UGI8#pNM@JwC>J~u$)Tr*_9#3 zGzZrH@C0vRZ9I%gA04d=CGK=7&?FCi68*Pcx$9@%x^Luy)_KbLULCZ0uxKZv3c(zC zagO>pqQ^gvwkxXgjgDUM*J>A0O=&decTkTMhRAa5C0c*$ZPnzB#;bm3prRQuH8!m| zyyj4y5gTh5uIRyX7l)C9^@q`OrzfTuQV1!9xJ{a6&ce(M)`6QqP$#8<9;}ZEgw&)V zTcCy`)IVWpw7a%ao6w>wgHa4YRm{^|J6WB$OdV&nf$cUYv)P1QMcbCvME#?aXHOs7 zIBAJf8NeeiyGm-;><8d4+;uwxvvwxR{?cT^=B4Sv0=>q%usTkW>F280ZFijmVG?(w zGUg^k#e|w{8!uGrvsT;0jQuMjI!APIT3*Mm9_Pi z)z9B`JDZRjlvJ6P!eTkPfI(J0pKG1ot`IL}b$O-B8Q`yY!s9R9w=bCG{KVzS4Od() z-YeGan(^k$uEU8vD?1Ked4(9CF~)1Jy6W$4yFKSl<5VLPf(?ej0`|WFOBjAZ!$2QC z-*c!hsP)ZpG`6L{SO&YYnHh}F96sb?RaL4>)?mmOh0W!wa47=C=w);5Y!*UD8olcj zDnP3uV1{I2&ZWf2V7Q~7WOpoFAeWmnv*oZ8xGIFeT+kmki5ss?6Nfpi+Hp7o7XP0dPuDtSc>!AyU2&4lFj>pRuLA^9df0bF%x;RuqS;4=H@Qv8AxIyRFFJGmC_%-*Af)5r(g}Ib+>!I5o{isJ!2y({2K(rk; zs+g(UJ6tFLSSDqCE?HBEZhYp`-~I0Q*D}`+T(86QCr^j(xbu^r{M#o#`70=dEJVvi zSj?~tTFfBMAoT++vKXqi$A+$hnRBNpo~qb8G6>mbzikTuVvKj)eIF4vPMzAbXAd(M zF6h7!A%tv;gXssQl#(?TEz3cR3uoVD>-M9cbp6Qgs3@vm?>%GW(cbml>;gv4FZ`D4uf0yZ@`ci0`q`f+qLWp;4g0ZE1On>Ur04ZbM>U^ z>h;%N@B8dyuYat(^t~^A`5WK%3qEW2tnIS2QOL++0lrYqQy!Mc=}^nRP%glx5A*VH z#!O`ef8O)H{XKv1c1|UP7-HyhHbbr7bgzBPHSd1+dnBEIje!=+utRoaivN$jH;=dM zsOrVnTDAAN^L%d)x0B8YBPJP9=YS7+3_?J~ zC23U26eIC#h4`;g~TcD}_Mk{GR4k?~#&SeY_IgEOzCF2{4zUnUZXZ;@1cx0)U#~ zq?vS;zpF0k4Uc_W*Y#M!^iJ6bwTw4#;w`?bYVj4R-FkXM6mOcpvN%&3Z+uru98Q0g zU>dKAW-8b&x2;{R5Fbn{n>Kn?Rgmw?wYAY^rHagLdXH{zTEz&TsaTv}Z|zBH$TiqKWv+iTd` zmn*XNbORN}pEtpF?5e7A>=0mLd_36J`N9}7XhLaIxCpvOk%&C`qKjhJRX-b!U0Ia~ zXfl&YmKlk_d>Cg@ApaMV?p>tbH2TSanZ50Di1YKU1AF2W5d+3S0!>NUV49=m5|Y~3 z-hco7>e;L+OF|@LG>$NUc=xRV&_xJ`5&#$=35~Oih?Hd#=WWz88gH6N323!fIO|1bZ`==mtH>tIho55fkpIBoSF;~6M+tF^lzwN3CPg_ zOWiF+lD!K8^!D^r);1cs&o!*GtW3JFr6)z}eD{cJLJdHqDVfs(te@x)qOJy<^N#$I z&6EfbA%{s%$CyWmbny*Ge%)&s0Mxv*jwL&-zWzElKkrg{9kcqp27m4n+-O9-C_$+p zxO9}Tq*9y-Iu4A*^Hb9kUjRi>4F3}TCm_Wl?E5F82&=yXAH+PiesE~3PkM)q-YsUQBHhtQ2@I{1 zt#hE~{Z2<6I1rN=3?3vR+=gl>t=F}*EaImxrr?pYTgj}uV_0-UaTXR9opY77d6qfH z$|}wD^aen@8H_JJd4{7@))8U5EHjfqFuD(ekBCT!B$;f(adgNuQQx#R*ohE3H9zpq zA|y373PTckVf7BMXz%-sBN6?y!Q2 z&dk=iJj*12-Jw7DiH4aYDp|L`)GLsrBQY5)9`nsILR82W0}I3-kO&w=8$!}y2-Ss% z5+vfBLj+@Vj`yBY>sRaPU>E`rJT+-~_U_#`J~qb8h(_lZ{S&vA5=j+dyZ6U`^t-?Q z8^4G3=#w}DowM`Fkw=0W3{A&4hNT|sm+N1SonxosaqOah*yZMmtG5FH0MnD3@4fe) zi!Z)-)6|q6D1Q4pyPtjKvytebhaLogzTUob&pQ_cKKN(vecdlz^M5|~neEeO7-Ptg zuvAsGWy_Yau`v;O=o=3!`d^PqT_5V98v7AMNycV7dhD2%*|&G+{xP;ScU>0|;l8{_ zuPiPt9y)Ybhwb$A^jB~D8Z$?SOdJ*IMAbZ<9#1-roSypWG;ErtQ)_si%9yDpL>wEN z{K1#}+^0YNm&O=!q^q<@#7+S~0P*TKyzb`z`N?PxF6*E)M69YxLClnBnVRq?D^^DU z_mrZT^829Hav;438)>EMBU5#i0fOd=2^F;K<}_)B@zrU)Vo~)&gdNi&t$$18!*=~D zCzxg9uNc6}m=7lA^YQIn_j3pkoXRQ{EQaV7UG0);z_BC}RGIkd^|)%67}NHGPG=61 zC`KIopT=KfKB-h=^<%c20A$SUciPrD=Lk5XM$9L2PP?}{wL(|FRKHVtz8`P#cVLR% zAVe!Z^gM&8n-f7o9FA|5s2-)?V~z>N7vB_hmsG-gj~sxQvj6~`JH0)rn)SoZ;$k~EX&CI-uY<j! zJ4OeGFkC#L_LTk*vY%OAIKDK0{`6TJJ~1*le0*shl3i|^-PF*yb}~eqU0DosP}qy> zH__l8ES@{*Tx9{#NBoE*T%z4~C|t||eAkX$0KCgi#PA`{vTq&O(`vO4Aj|SR&$B!u zMHN$QCFYXiTi;DY@w(rxbPeIKl8PuSs>B&ryCT^@u8-NcaRL?3h7$l`-n40x2q+^G z5PN%jl|vWZ>_l_!xOYq;A7`DC>+3ftVj?0Uj@da@fTHFBVKRRPT|wuMh?y!Pp^=cp zv+97=EHno1CS;Ft00-J9B5K4uLK0w5LY4q{-+!4_-%|p^>Z~-_2%AFqSD^<$6u;I8 zps+Zd>KME?+lX{Tm(2>H`T!|9frd3z7fXGJLg!Rz7?J?%_z^!pzu zcP1$5k_Q-Ho%SWABkE2X1G*6=$qr;hI@KpaTwGYvY1t5Ava)GtCLoV~6MFBfij+ZU zk(%2T`Z5HlsH4}`Ujs8Xf{$s>R_-lPRoI@M28u91W@=Lv6*Z?8NB}ND3_)b`=1qu5 z#>9~a!5wWBORABG!r#HBiU|=lR*wQJzziDL2ZQHdiAs?tcGql2-@b_iV)dhN;_?@V z?7@SFg~d50VtrB>d$C zq5q)C#V)5`)LJ~PoxVujp`$;>fY3=fZE>#@#ny-=^OoSA73hZ^3sC6rNoYzI_O3+L z`7D3}xK0ESrnhbhu&M6@5l0K}(&6Q8hQbg5@$Mb`O^z9vm8!3kl`x}sxhu>y&sxG! zO5`!2eDPJ!|EJG< zo`a2o?+*avF<8XtG6g_H)JDQF`}hIDbFPjV42y-tsQ`eCIpd6J9|(&bd(Zhib>`Oo z;X!qC3W>f|_rWj{Fv=mFF#!NzetB`Ye^4(E4-U<(EXC)~o!&0O2nYfb;}d$%H^2QY zL^MS2{m6B?%JgPEfBxUz2>^fkyMOrBKm48dU4LDkH?qty^HZO)proKBA~okmVi@pKwCjp>A&jenPP>SLyw5NULD zswj#^-atemEUQYwX(52$!}Ry}Nr()9iT;!-g{dAdLFMh*7{;3+O!blgf@y~Fb63tm z`@uB-2(qL<(MF~mkiczeG2#_{oP$5s^x0T|m=B&9fsI+kizzubX1n3zQD;p>k>05{ z_^1Wzx|$>u+L%=;x~{fJVdeFWNJ*%uv^wd#^h5Lvt6w>|eC?8USKq*ZX2zm&uxDXr zb(p}xw`nSw*iw)Xm)KhC+`vFjRhEhZQb--$Ks=KX0Jd(~ihz}~v4V(TvP`kRPLw9r ze7#;KLE91UniM=229q*(${)OL+}Q)WB;1u^A@?0U=u1lk0B3F97Q-npGa-HF@IFM$ zi7YdK7&60sgIc1hs(Knd&IRBmXpma@xupd}1Okj|w$#v_2M4`1W{v0Rt;%pqEFAsz z4gsS+9Yv=9O%9KZ3=ShA60NQ^k#J#kIih5FmQ~IglM&J6@K}dr5J12>*T}uizH|PN zTsBf$B&R5AIHIr#LO{4k=D4R@^Br#oa0HP~1vzcm4A{ z+&hyzWb$$z&g^~m+Dn3=y-1Q&A(A6wS{K4Nuw;Uw@WBmmtb9!!3W8XBK4NtEkTU#l z_(!3P$y?Z~mMih33;<}wK20YkA|aYoMH43m0L}^=tl27=$&9m9h8&z{x*2uK!bRWsU}R!~utg3lX;U(^M~i*+7a`_fRB@Byq;Co&!1P8P^t5`@2l;{gv|yw zHPz8VXa}ee*}F4oa4Bc4Wf_U&;CPlf!WX@qczy4$5RbD-OhyQ9HyCg7y=q0=rS!+v z-w}oIv&YgI1s#1O^o;G`Ds~Q;Nb5D#vY&A!9UB`vgnPsQEu!TW=AM0*z|E;HHFBcY`g4vaZ}v6##J^4VkE3D{1jK{g9*iCoBxp_xLfaj)MZ zT{sQXq=wRixwz`MxLo#dx!F`j-zC=bS8^~12NFL*p<6>9N56-+x3@dy5_y^(6DB|C zy+g(cDlPpnP$pUn73U6Ll(R>v@Vt_s$jwM7DL+wNI+iew-$Sh#GdC3q{*k+hXU>n z6%!Xz0}S)unw!m`L)kZVHOomWF(#}4g&Uo(z>MxyvhRIa-Y6IC>u$tTah-}fQ;dvS zrWk%dxFpo1fu$l2MILA);^G$5($y1>H<#A>g*@v|2+xp|QMn~halFWR>pqioopr?v z{HPjvxxM!Me=fi#^Cu+`$ay{F7QPGUH+=(e(BC_IJx}R)3RhWW294!i4^hQsc)WPQ z%RQ)zc`9IhuF9{y{sX}O`gW~UiHWRvaQtT}QpcF9iP3d1!9j(`O2F;yam&>buR#d3 z>b200y0(;3S@%U@fmZ5I0po#^M*7-$x|2rB!=O{g`Qh!Fnq7%0N;JgZXM)!Vv)FVd zQMQ=+tGB>CyqeGb=IA>+O?t^UDFWo^r|NWE5IA<+Kc{gJ+jl_-%Dda77P}=QW{TC@ zsVnOl*b5XCQhJs43%(RiNYE{%uBAEJSL3bJ+d+E#TLyxN#cqGSPsMY>>CS4=JUCW{UuTPspFQNRQs1r1CfYW&kE}}X|wS=0y z%VK)@dGe#BbadaJ*)&j|uPY+PTSCv@Nv^Ma@e6e#VqTrLlG~7KavGr(J^;vo+C+eZ z`z`?^>j_`1>9zY!o{B!r4*eC5a*w3To2u-?!l`R5ZV~C))5sQAPX8;m{qYi){)z-j zWC;VuO4h219f%bem=NFK^p_T`Cm8S;M@GDNn7=WE4QOy$qqS9&f(Fh%MwUKHn8iC& zO<1Sw;>xiRQIBAC&7k_alA&kis^^i<`A}PeX5Z@Q&=~c7+nn0$HeJQ0LJY#h#}C=?DQL>)1~9m+8zMW(FG*O23gAK$USPUn8Ii33M5W3gs5yE;pcqk3b(O z$!~wshRa;sC?N;^IFN|@|;Sm*;%)K?axRTO-SUGQQ zDP5$@cdK1|@9X@XUG2A;Cw#=!@S%GV%q7`MEQA9NK8tfE!D+KG)IG|*qzEMe16k7B z{3^`_#l=oGU;HnV_u-UwX)5E??AB|A>{52SIHh+bsSGFE=2A1`8)ealRUYf)5#gt7 zwhh2&Ok(Db(dYCi;$XqaN6fhvH|8Jew|2N6K+cFI?4YfNeTRUvhQ@oIC?bSNcl~aq zV%6W%EZc3Ni^~hvWsDP-%v@mU!}mI1T=HH+e)krPs&a=<>BsJyR2tJo%LEA$)p2!D5HMhQ?;UkwD&HhJT#+e4pCP~4ylT3S5mW2Mab zV_(Uftn(crDG!bh>*hbr-eNs?{sobJ`2!{dpiQBwgl+H;Imd3xMVnWa+B!H?Fe_Mv z$Y>y6%`dpT5}*0m#R*fBtOH7>{Q zt@P-yk5$WpRwBN4z`-UJ^wr3p5}senLemDd=Lx@NMIUh($K+R#4G2^+F5A}oO5T-T zN*m@VSJc-JYrh?j;ShO8V9Q2fNFS2zM7Eoij@I290HzDh;L(YE>Ag9&~|znF{MjYXykh(;~)5Xt(EWqY`i8xpbbQ;9~Pz%qlREP8eu%Lg4~!Gw6WYv=!>vVNac%50dzFo8CGvg(6P z%2y(}PEqSJkh(Xn{vGRRB%fnPJjQhBP<03%UVxM^tZip!C#B}>9CERULeZBm6GtFr ziuo%53E({bi2Dw=_&vBY$0U{OZ|e<8W=mKYeBL9r)Ozk-UV9knyqK>o=X*%t>y9qi zpX}~^gGG8#R|yFD{Nk?;n%cGoA_#2@h56eCmna-?gx5FB9gu#H`%8G##5@vq-#;~_ zhWF^cqE3X5_C^SJL@FhE_pwtNVLG=sBB14JFaFAJN(v(=Mt}1xm&!Wp3#zA99|19d z^fB>0@E-!85L99w>aO;~(#9d|1w8!EOR^ca^u!uGKzKc|%_EYh8^-!xrCoI2Y~H>x z{Nc^jL($YZ9G7RVDCc?e_(=Y=+xt*{(W;=&4@0&XDH;>Kkz`xH+*@-Q7kGTSoUq1j zM!G8rzrbVj^MWKVaR*$VV2_SXyv_^y6Fh_2T9#b+UNIgqj7RxEpHv*DrDkyawwKqZ zC5-&fXHI-KvX&IV?_b zW840#;1?%>%ulk#AHObrn+w1$o~dTY7*KqTLBky!D1xVo@UHvLJZ??z+1mz)V_agS zGMg`=iQCF|gJn(FfLxvrL*W!A=!q4b{2cd92OHBd*Zx(m9?h4mu_^kR2qcyObB2CEV?Zo=SFI4* z#2ZZ#00^pD_pz>Kx<)PPhB9l@*IfNAxpn6uMU-v9Gf6NR*3K`?GTKo9(RbI9QIt0W z5fbnKfxX-Ft~Vf&A~`KI^Z&mC3HYwHxi4S@%crZKI>FIv4GR^-zChH*KMv8ZA{|*6RTf~ri0q0vnHd0t>P(v7%Yi-V`E#y`8H>LC5_R78rsc z7>)_}GFYiFKR-Xd;;)@QHM73HJ~OlEKhH1dZrIY)boQS>b zPnXPg$cjV!OlE{?l+~l3nLm_fT*f!ue4N^>pvru2z#M7jP;tL_rm1sYUM~|%Y6yXJ zZ*G1B7f(TPV&ur6NP(J3Wh&&oSHv53+AGui3y$@jc0>{`04Vz(@PR2a|_6t6+5hM$OKXd|Zc8IO46+fhZ>v_pW>Sk9Sr>OP1 z)NEZ{Q}tM7e=nt$99A8Vz(Z$d>Smw>wKd9dA?HF$$ja1(QJ5qz?UmB#*)9AWtFNyg zT*uS3u<_czSw8i>sSBXsF4V!e`W*o!tthO+ z;nQa6X>pqcOQGRk_@}+Kcezn}Y3p6d-OT;(L|#97K#wwN;_6)Ry+gZFST3$c_&UD||V$U!r)B%_F z#Lny)0f3yWU)I9@dNJ3TlM6fTfMt!awqNxW8&q%iL{W^}BL>{_2JF*mSed@uy>{Gp zb%zK;%nz^TnX0ghH*_cF0p%4nK* zrWGiUBr)`DZK~R~ARfY=PWDAQFOzZ7*OtpypT?ZM53e?X%{aHUfpsZc=9weem|l2ci{q&u04Hf(Hs^U4=ryCS7}TgQWk9wPrnsL7G1^PWK%4A2Iw9ACY#H$ zl;n_jx5kK)!!c=oUHPT&Qob!UqD z-uk#T63GmC{~!bF?mTggiwKBbzKD807XA9=bIW85-)E9Gz|hvb%`*?6@twXlDpeXZ(Z$1x<0cgYdtBqjjHSi=uyP z7=L&#Bvzf&ki>#+I!GaK3C(-)irwDEoA4aC?0niF3vRED)e2aSjU_memPpnGb@RQtr#N{C5O2o@JAWWBu+5+I*@WPyB1Fje-P{W8xn4= ztfhlN8+VOMQpHD6D`MN>EF#97nh`fXTtpDDCb(xrlV&?(gfp>;HklB$xU_UIM901G z-@kuCBb*vCu@YBPJMU3IG3sIT>e<%=`wJ+qaEzhH+?&HpW}rE6so^*(BHQSQS#k_r@f8y!p* zm)Ccosw0E*)tLxatDet1Ta&2VEjH6Mq}xC)SfUh2)^}%r(w$^Qxljl;(>3VaJ3YX>W#=gDs~YdSwF8;f(tEr#w&;C9x6uyU7%S}ll( z|EOl7OG9ZX@COq}dSK6@Oi>P1yO#oFfgo9somqEqPM<*^D1avV3vtEmwjExm+e=HO z^EVfX@)nWD(WJ>pf*(S=I96!5>hP>Eyn`u5h4?vM?)G5-=er?}>jA2?=@vIrFwMQf z9|;bQ{ObA67*_EC8Re{+RC@U39+e zI&qgJ7tclHp4Yc_R>oZKz4%qVq-AGjq|T-XTtpb(x50#T-d|)A9Lu6qqWI1CVz(c* z+)A_oomT?_jLZ9DG1$BZo!XCiU(Y-c&O!oiJ|6A3hGJR{h7q)%d9itJSG^!2w7*t~ z-pyioth&9fhE@FDdA(xGe$HQil>|Q(@)|szV0RkpyoUM!Ko^s|C(B-$#(;fC&<(_aj{;?KSHK@Mfb} z;}a5dB==i_5ANFVWD=bl6f+$QrW+NkJwYW4{fd72g~ivNpdi0xOnSbqp6kDz(-pH(YVt;EOS%5FD_->8$;?1!N)gdD}pM4zL}hTblOZOk zkJO&-iI;RDDdBquP9TVlufrEUMAmmj7PphVajDEq>17dEWCH$AcM6r>e~?Zei|BQC zk8e4M8D!WOwzEvlS1YpmCQK*Ty4MNq*g)tfoRpweoO-GKcunCou)!i<1baiZ+hwve z6`drgYur$*^t|}sTftYfRcWxvV9}qtaiI`Q;p6X72vdYE^T{k8{*R{m+KBOKaI9Qt zg1hD9Zez&#)x`eKW{^07oLaNqY86%`-EWP=`1U@n@o^65UCWZYktpx z82U9<^j_FF_L5c*!kwK|85%U z-u$v-2zwmA6-XUjG=qX+Czqlq7R|0gSzQm`s-XeBHyn-kZ2rP9(ZxVZtD5RV3|Y2i zn%l|{m$~fUXMn%PL9qE@X}N9_-2^|nN~+4cbm%*maa?|B>df_w_Xu`|Dk_o$__&{U zh(aNKkU<2J+Ihw<9ehhjvPuphpNeXHsk9dNWRx>R))MlLsLzvo1nd?+z~@`;Vk=+O zJ!55Ml`TeuZ#~6o+IIMJDJITy88=|KcNAg;D3}^bBLi_NI7SD;DK)=?+4d9VwY2J& z!(u(Jml#0stMqbx!EEjhmQGLe)#Uh9uJN0`Qhq>HQ$m@MfqF1Egs9J53zR2$sN$Qy z)i(+nh>yiR`=V0bpnNVZ~)Kcdj)aO_mleYj*`XgjtOX}1%Ad1 zlQoczsm%LiRv~d<#5+Ti&s^rbyLXEy1<@`P{@Xag#tgBmsJGk~EO_u%zbT;_=FBHk z385e|kIb80@LEN$VO|nL1?XCQ{kml_k~26UAX-KR?+?uv% zH6jUsrX?mqdHwkLoRjBugve84Tqj^KmaM9Dcu8JvT|%PofFBI*YHo_(zllhTtep+{ zoh2;^E*%!IlAte(%=AGl1_CxR>>8H?7l&Mc#3DHSFh#3Hj=K2V((>}UrN3E^E7pE5 z7{=PbWkPj*`d<$9nsm@&Y?9PNaZN&Bm>GgtAAEV1`y&2qS`y%_+zUd3&%2m6*c4q) zJw11ei}2bzZGY;u(J{i>stB%p38)IQh)A;Kd8ZuYTE6-^8KM_W9+^f0L6|KRfa z+iRxCP|!nsaqXZETjYv@@_42BlBsbuFU^(Z-}zzRT_01xo{ZDWpbGp!rzCop;l+E->8U*|>@SIvuai}6Boi*g$mmz;FiKe# z&}SbV9j%nG(I1j*!)`n$Lxq#fO1Ezo9wbN<-5=b5|IULrPGN&yobHzWnUp-PSt_H1 zsq8oPls|vTJ|=(zM;lD7k@M*tzlNIYKk^Ygj5s5i8hJ)!+&{I< zNm}I+_8nIXvQ$b$^$(Q7A~8zwTdQBDlxVWGKRtgF%tQj)$VQL{$O+2fEy!2Q zjMROT_uWM9PZiGtr6~yx#?;)(;g>~W8LR?XLWIoDV`g%q5UD?qI7X`jQ*5!ovM$NO4SdOmM;%td^On3_W;8iiJA3ghm80quD{8>`a$jUzswZFWZtiCeseQ3mqRBq;SqEd6?%3o;Ec4int5VL$!8>tGKDR;vMPcH zQPc2{ScYi|fBa{No(BLB5tEbEkJp#IL(ceuiHVHLiOb)> zXIDW+L>ddD2=RL!Z%+GnPjO~(P{N@v)@6=SH{P7ky}2j?Ki4QJe=$!lJi-hnH+@Wj zd6SP(2j3byB&H*&>(Q;k*jQOV5yx27p>0N&PJMow8?2hR42SZ$QBkn@j%0edYY(uB z7LUV>R4<#qEyF7z-Ny;X=QT7iY#{bj66%fVpUMAGo+Qt9LC^7$WC2-7$Zu4?b{~3! zP4@5@=l^@^vsj=Kzqm551ps$yNw0Nkrjn6~0!%xCiCF7w#Tg`UAPdntYAPSzLZb|i zX+y{fWQGE@MF1(RUc?Y&stU}m_;VODPz&;{D*uPpzw(OmsIsnYMd+e!BS}ow@K-BL z+@H)Nb5dxgYRLj&R%tasW7bGPv1C+~f-y3EPsq?B0DM|%($&_|#tT#9CH|X72;|tkJO2wO-VN&kkCd%A-XnOongDj3i764&D78M8yTReZL7Ebf z7+u8`M%RJu407W>vNG(?;HK0Pj56QPKf4nJ>>fe;K0g6bXD78i?y=N~@Dr6uOTNh42+@{;yM>pQH z-CoS$H$I{l-6gny!0~uU79MV0 zUD90n+677H^!f}*1WZb$`HZK^n+Ndp;MD2nZW#m>@i)}vt3#yMA zgFBoS3Ux#-;qfLLK4$#&vgt*inwPvH4it7w}78TNp&|v@97jAU`buVNvl6(KWwr1p3P^e(y z5cOjvgA?c4F7!$LiF;LNaDt&HH5r}@LG@GmP~v@IT7e_Nbg@}DZu88)f-;a^t8PlA zdf~Ow2q^{w8ebGichmj{4i?=$-6V-5P?BNXV53YJtzSMm-AHZ8VL_ohMiqf@$<*Hu z5B!LO=khvC;GhC}TOAMe^9Q`0GmzTF8`)TXqT$+6{!eLYWD05WX_TC(Kq=}|hY~8r zv$4!8t@xi|DFyfU_g7^utP?Ibrs&^cf=4gB)X@2^2xQt{Iz#y5(aDpW#;5dR)4nwE z%mBd6$G_x_vlNJdFbBaXNiNDRc^5ZRHwK1a`}MEV^wkmGl5p#3UPyqd)7x#?hQ$P!H!9TLDC4{uyR<(}$i zMux6kl5D}^@ZKH}L})Hl@WlOpT!5d)+IwnAwq{Niet|W?p81J3_*S}x1 zwEkPt9KivPFJI16i~^2nQVcF0jQw4bEeY8f_wU{S+5!~YX%W}?+u*4;e8Jk|HWP8% z9{!FW@G#w}{LrYFcUM2nUfCMx>8%Oy{L65eFLmV_mmqkD%iqDxTgUwhC-1!B$kAJo z*}3BQ4l3~OEc#r*Mm9t)HtkIEQK518W^4HCkbKJtSDKo;ZnC9U7K!1>leS zM0ja6@jmt^jsRO8SF(_SU+B-0z#+P*GGeXG|#jr!m;tP ztWSW^j?jZcN4P>P7)Kyhwr@HCV?1KuFCTDqL&=x|Ka#b5kgD;wyIEv#;s%&ldhw5t zi2sv5-qX@zIE7%~%u?gWEYJ~0DVGkzuUhgzYH4ZdaMlkT;+Sws+xn$(0k@o^h3Ac# zZ)(EFoS<6sMBF-jsc&$ulNBaWVj6Qfg)aGPByYdGWDf>n3C-ApgMEA3e5H`N^6A5| zhoVzv*3XRD(bxOSY$O2kXDx^0G##V!a>0*HZzT7S&RXERR(=2DHkXO#$$)^@qm^ zG2vJ5T9=!E(yMmN^eo1GQ8X)J^_4zlWyg-)u>j{mGUK+xN;V-F|LZ@z>?V#Djz}g~ z5yQE-`KSRrwUhh-vS+~x^rK&?q^z};H0j#NrACQMF<_GRXnDx)M+Z`sSyRajO5~@1+2Bk z@wKmbeSA6HEFy7S&-6^A)bxZmT484|n!9+t=5WSh5CI0D8a9N0m*cDC@@!ZKaPWgMUAe>s805Nvkde$eKubHPOXl0PykUp2e;7UwSq zSvlW2M$QWv=rVCGfE?9~c}pz7v7~!EsB3xsdJw+UgJiL>jPC8K7XJuKl9Ip_;TAMt zbAYD76PY`AY(~G17#hD0`Bb*PJRI`#Vfox`My%hro;KZUydKKIgl#7BeH=SaPfk1U zY@p7MejcLFTO;FwFayBdBAt`w^nMoABh67^X8mxxDa_u|`0C2K)&(H)HL8s9yvqaM zO}K8h0+*dlt>@QG4Lwdtep$pC)u?@i27SQorXS2nkm)8UFoSj0uPncA!Ec)*UIQ5D zade!i`W4y7&*SHm3>Z!U64(&w6ZKpme_e^|v|V45aqDS*x)@nM&k`N0#YFHRUn_3> zC)H}+N6qyPwC3{~a*2T>4^#RnyjQu;&bqC+rze0l!TS0wM@x)KI_w>zpSz)$jNPW8S2Oq|= zj+t_@yMCtC4mX`Y)9-9b!r=P?YTf1vf17V4j+6aOPEQ~eSc!-0plEu}{Bu3_nbo%>Q# zPzxJbY*vp{Eyf+f`)`S&<>WAnRBY+EfrH2XK&NmqbkmcvABy{>Vs5y0#bQkg2{&>t zFJIAp9c#eifB_e6q*l{N3-ZAJuLU3_T+oW=+Sch)&wu*lLO_tBS0Qm&DgAfYk()N- zHB2gkKgZR-10O~R&M+I)s&`m+js8XW7%DocWYAp{Ce~-=!Xof%@_|v9zmy~N$3LQo zc>i&!^;&-$_wj-K>x*L1<0#{&gK&w-%C)e6lw16;jP{l#%-x$(U~cfft!WQ4#47p^ zxJmkO>;AVJ3v9MAzrK=Q-ke@a30H0Pk?~^aFf|pvZK%?RL`Fj5P_L(@Wz!$y;c-}8KbfM% zu?^fUkumK5%T#s}ox?bil%ypoEv#tEIpB$1k&EQ|XS3~coQ#-w9tsn`^T(p@S$zK8 zikUfnr{*%tgRb~mIR;X1zPfmRWp>y)`CH{*u@^}` zuM;rQH@WvToM&WYQwfKg9u9l+;S@j7%dM2tr5cSMwc(Ygr@`0Tu}~m#pX<8c?eS9m zVIBtS)q`3|vwMH%-Ql6pV`5dyUQd*?j5Lacy{l%U=;h@loGpq?iSDvuaG!*t)>T(Z zqBGF%+Nl=_YQ0L!q$@Tm9AIOyeB^E zO}0h~c&M?^f5%7txcNiv`#XsmE^a((RhvHad^Uwes0t(6!=G=*4b=!<$KIE0m%dm7Zy{0Cq%UhC( zVRpZYrHmtd(+TzD817WS+CKytav#fbT;}}1K<(13)B3Df1@o$GGsk5Zc~@X&h0qWS z=|i(-rJ^>+d`B59b}Xgyto7E3xT-WVe#r9}Js%5W%8RC&9o^{c2L^bj%=nQwSML&! z0xn0xQOmNNvJ4zlaia@LZIDC8s7XZB#;EJ4$D5AQ`R;)d%F1#VKLY?b-^_F6 zBNo`4COi)iBH#5dwCzhx_ykF-fbs}B&lo{J)3YD5vmbWau|+3?Xo3M7Ohy;A1R}Th z`-E)=_iWz#c1Ch?x};M8plo&RH2p|sicm;eSt&6QS(IUk^zFN`;m&?4|8sdmrS%jX zOd@k2vb3~JgFV^d>FN5Xb_cj2uytm=pR@DX^Qqr$H&UGcw(p&eH`S-p6XuV>y3xgc1EuQKpoCYCZmU1633=oW(7@1BdwL!n(L!GZ65 z^j0l0A6lXIohA|ML{Y$ztu1(8T`w~_qk1Iwb2V}PZ1cs``Ezvw3@a4kxb2HZ}x-VsHuOtbHXW^l^RiJ@=Q>@5ZLcw8r7gfaVFSTOiyN!(fI^pS@%{T<<2WDX}c0 z@u+rHna-c9V!!|D&jU#~fTqxKaP0rKp?t#+#4)un1&f)`W|H?Ty&=sI1et05t!0kN zQ+<-Se*|{OPP&dpf~$ACa)XK@Up!2pZsX*D8HM0errGs%UM?Z4sw{BY*kc5J0m%B=aKqL^PmNCgT-1=Kg@-K17?og+US=2#fnRUNTni& zsKfAC8*kfu%*y4<7aO?nOwCe*Pto+;HJ2dBQv_F9eic6M8$ck<&xamvF^E??Y!fM0 zCpbt}7STk6drtomcZ0e~kittA0F%qha3)`ea`(Sfu82uV9PYQU6}TR)#f*U%bTJ|f zgvK`L-HQ1x&9K6AwqF|@X1ubjAy$~baKM^~$P~wU4izrrtzI!7rRsxAOG*Z68yegX z9XuSV5s(1q1)z4*K`9l8o*uk+k&zNsSN5)gkK#ceKP&h^>@$Bj?q}4^n}O&n@Jk<^ z$eCpeE?LaY^ZoqV?d({z!l{bgyu9F$|F8Rd6!Ry*EP`e-3V@sVZAE=vqk_VST?HIJ zoL>?RxP4=Wfa%q*2v!Y|tLUwo5WGEN9)Y)Qf-*5M4g-)hn(+;IgX(Thpg43Rh%pGx zhsw!9A!Egq*7DEiXx(-GL(@7iI7ml3FhBnj08}N)|L6u8tkofwoX~v5&nXmFObRgh zTmoANcwSh4xoKP=(OmuD9xie^Z2BxeiDD;R8&d9a$dI{Vew8qM;6y+5X)DukDn9QW z6&ky|9K|nBl@!D`2xE#o# zi;Jr)Tj97BrXVs%(iT`g?5L$Br3Q5Q&|`%B+-oip1YE@J>L@|KnniqTOy0M2-<`|V~{y9`!52gSwTxaSZF zCJy&vPDx%Rhn8J8C*RAeb`%X0+>Pgei<8gH1_b(LSqAT^0eflLJ_AWQN=|}!zI?m# zv+hZ%VnCbqj%U!v9yuux08NV3)KK0xa~yTRqKLVyx!G@I#4ZBsv$2R)*=B;azfpH)Z^Gv4m`f+WSJ}pODMrL7t9uy*n zR;=IX7?Y?XP+XWT`W*10Ml&AkV;%Q$bQplr^T~f?-a$$&I#z|zFP>Oh7ymuRbD23O z81R-Ff0BcICgS;nA%J7zax-^xbMt&Gy;w&_XS2NS@!{b@h?jP`&C+}1DlNNhvnt~c z^$5d=C|QzEbUea;T0-(2D!7@#w=R!*$eg?R&p2q{fJ za2Ncr{eX)cGb=v&u8rKAF}WMqN0`567sFA+NF~l$tvM8jZ&x66@P>nU~j( zFfkG43uBv;H<=F2tJbrKEC zzCVI(JN%qgv07D0MG<;7E^azMuc@!sR#BOFdJ2x!rEz0K#aDQzW7~;?SX*5;z8web zQBSsPrEj`9S5G1OhJ%JPG~*&Z*{elh;OwvV1KtU|8;W5~-spdqmo>E9O-*sTmbsfY zI7AuI)c7nR0`3j9mzNl18ZuwQm0nzDY)-E`@vz>$y}7-us{B-6#aM&GAxZUr|}vqD+8*-a%;oR1@qHor>s=hi9&lUZf4becC$WfzbF#90r3D z(^}W@9kK74i&NCJGVef1Z~{V03$dWrUTA2`bdJPlv6{C4)|S0L(599p`^KonfURG3 zAdOKWUfwF$;E@D}XTLzirXmU&iI*c(&!Lj0e2zV|gpr0F1C2^GbmE({tE;Q8nZ3I^ z77oJGdGV(@EEN`&EbICwynjOcQ|DdhOG`gJkEtL-^-{B+f7Ml;tazCwTSrBWELoKP z`vwFa=6)kz2!%^$!2rZql)hgs6N>^+K0(0++s4kjD&r|SJA!?2lv!1=4A+nm)@Ati zRnmgk>k}_8HaJ{S#gR0Gmz)gRBaUCFMs245Yf-jY0JF ztSeX|FGh?zv=-P^J_BXTsNJwb68sP7jI;jzg}-XQ*3Bgn!D4K{p>+oP1Eki}*ynhx zRplagU6Mx&;!cl+igLmxU_cd;C*`cL#6tQw)-;Dtn92`dX^9Md!-r*+bzpXQU4uQ` z)j9gh0llHy^B8$lCd-(Rvat(O6!~)m2|J%xVysE0o5rt!=o+y<}ZNz_f<{=YmJLR^2 za8lWHzFco5UGLrZ!TBVtl{5T$m1^@7TtKg#{j@`8{H6bWoU2uOWZW-7lt~@jyNMzgrfJdj%2iIf^}pw~>f+-iw_&W-K#mF5*1X~eL&Sag zRIw6hNqTlAEi`M0n%yg3DX}&(1Fmlpe2AZm5wFkf4EUh0%{QsfqYrVC6hrlXlRl!f z>T^fPX>{5TJS^b*)#!ho>toWq$~_=9=|PoPL&dPlOo%NkEig5Tqeq^HiuY4JuQUV* zchi6hN{<~MRai?*H~sf(98u)&zV2UA6 z#+)qsZ+ig5bVdAo={j5o7wAg^L(O}sqL>u^c>ZXk_use|ZSxx8rY7a?Bmm3~EwO%5 zW!a+0V->Ca$bAj1KqV4GHnnM7!njn>vb_E9|R8df0ok^!+|(c`N|& zAynV#J@xK{0*5LZ*KXihecR{#kX6^18*IbprG#4*yzmJq6dRkrp&m)Oue zI6F@Cy4J3$0~P0^*G>Y7$RjpzFO;NWw}1UL<7$i%2l$z%E^z2+W#s`OuS>bB@4!n* z_mji&z-Zt{e)zk0{1TIc7xHhgXcrR;uHP95*ctRcDT?b@cNCGt%<)iQOb`&@Was8& z{|y^@iFq`(UV^JWcFsJnR0M?hs4413F!m`D3Qz>Ml?q?y#j+{JS=itl8b!=FO8D(N z8(v}rjkB`!36lmEZ?TR}IEWr*Oh)tE>|`)u9PGQ%P6WE@ga#uBi*Eop`7Luf1YyX5 zi`KO;{dMo@STb2CIH}HZuCn}%nJWe*V)y)*i_qEYce5b4SbgC_rth+7Vmc(d^Rdf# z{mUTP{E`x$hLituy3r$s6Cfy|+Y})cl7XQpbLB`3(tJCNcOHy&sVzf?XS!M)vXx@m z2{c_T&ql|N9NMIkf#WE3qfjy6rXd?ESTng$c`4K)(@@XoM&_d`RqMM?@k@V_Oe3-m zX#+iRgVj@hl0U2J`k%6H*3dr z_gmpxxg|<9!?_x1yzV9mR5T@}SaSZa_MbnqOt{pa!2J|VpR=+)qR_ks+^h+$ROYwf z8J3maIPdznRZ)-R3$gzV?8cCft(X~_+Z{T| zjV3oki9}7=$6+F5y6yGD0#Zw@Q0wXja~c0wYTGWVt#vE_8y-(-Q{FILur|(wo0)0V z>s08~AL!T(P5++Pqo~Dj|4whmQfxgn5U9fiA0p>@_(#aBe=IRCVz+aV$3;Cqk`G-* z^Sq%1tNl<%m%b@$+2uB9nU|M$sQ3|FuJpaHS6@|&C#bhaS;S`fE$<5Hr7mG@IAtgR zbQO_8m;H4bZu8f&%1S~&(%USfDsK}*83<-jF7BzUwa+a2F{?L7Q8ZsfkKVgRnV7-$ zlZ96tUx06gj}$BJqww(BWZN_&1LOjAuB6iKC+)nhgX?!{`}NZL1c_<{2Cj2wz9ql) ztbJC#CgehV(zzbJO*0QqX^5_Fyhyt>pGb;2I%N#Ui-D$dzRgcft>YvWLoFVFa}TK2 zosZNpN8I^;G@WHw6ph=(hYkgnP!N#rMmhw91*AKcMoL`rp}S#`l15rmV(A5>8>B-* zkQPwsZg}Va;r+hXUNhIu&dh!8bAAVI`BW<(VxbB=*LZzyjXdw^;kSA!5U)*wTcm9? z4-93z0$^~`Vo`j)+qt8U#CfO$g~FE-hjB<;D*6FMwsCdj=Sxu42q%-o@8E8jGh`4Z zn;j@pB6-((nxD)p_gw5_G2~7EtP$AUgrzFb^a5|t?(313Q$ zUbqsHk+Ma+O$slJI9mLdB7=;8lxeSxIw&dgQ^qarr_K2~I__7s9@MTx;Zc77jlpa9 z>X<%lUY&?WvacW^y4Q^NPg^HeBGwMgLCt?=86?H!Mt%Vq_0Y^Zwu9zPC-~EF_BG5; zPa-~Yr3LtGh}o&IOXSQ=PZyxn9c_d4@U6Q)vwbHqR{o#M@4L1Q7d^hZA#a3=rNKN( z=p3xJ`mO@}S2ipuRJju;6HeZ?CWaFB$>rhUVZex|YfBYiV-9(F8Ug8vU?)cmP=?_C z5fQbH<5$Y0L@I99qAt>m4=waB|NAT_=MyLL?uU-L7{3x(%q7mn;d~_7PBiITHg{xl zUj&aOVP(0v8X4LrAqmd|PfsSxep$;nVr^drD??UR4bPI1KF=f={=`Y$}F&rNK)-R&<#e&`u>nZYEs z!e9YnNh~AMO7v?@FPBg26zgU0^HZaCzR`}J;D)Pp@(wfN3)l!biAv%FOHFwJ_viC7 z)~+HxCJ7p`mL!c?p?4dllZ>g|SNt8K9@0=3`k-f8!q@D*qb_pZvrotW-wSZcLKMQc z5h+AOb7K7;L$ZW?H(LDCQU)Qt8ok;^KN(u(pd)|7tJ!t$b?JjJSGx_W_|}a9&e!vx zomGoWN5N;%w7hpydsw}cw9YR=f%RRj{A;{%FFid|&!0ATLWZQ6efn>YPo;0;XTMBr z|4M9E!}#W-!^cVGuu@di!@^#1YP}UGh8(ga9T$xc^6dJoXh8az0zO#)c_mZyquHb$ z{X|m`@fD2_+umXbmoKN8Ab?CR9Ng<)#Q>Og+1?){{V`ouyKkqwwlp4(>hDU@A5M5v zTCg$cnTcohSKa3wMOJ(&wz%5WS&O_nw_axL?8FHL-SkV}&&AE>tLz1bvjKkl2l+Eg zUVUQHBwE_f9qg3p`8rW{IUXF|3(FK%9?LZWu^9@y6h90Z#Lw||AkCVWb3GjaIEc6N zz5xNc^C)d)b{nw(e9`*uZVQF-r$7lc)pD2jfZsl6VD`^TaFw#r)Zs^PqsGL*LtxP9 zbDqlUy%N;?ue{=yD)hEHAxPInHtD<1X?)BoHV_k|(i!w~Fs20xW#Am#WR<#Zn`9w} z?~ms1G=~h41niuQMB}32vG2`(y)M0$?%753vcGb`58f=`iRm#cEP8q+s*=@hfgS9n zpY|5gJ(Vg?N8#=#^^82XV?SjX=Te?}F@sM~l?VuaQW10Sl+H^`eE9siKB!pUIf%(3 zqlVD;;O+SthZCU*@suB=Tj~%9&^qYE(z0wH*3QuNO(WpuhshdBj>=4*>z9Gzlp6q9pkcc!kxtZC*+A8J-=*Pr8C* zZwKU?gbkl87eM+aqk<>MJ2E5Uia#)7YEsQ)ewbwb_MQ4wtS>hBli52npdRp=$Y5Yu!L!P{200$`bB2)6{USivG4q*ryuxOU0Z|T7&~>lN!h7>Cy!=#r#59ur;a4;TkcsIf4)G(z z6WYRDxADzsK~q!HQ%GdiZ=YPkC@LXR^saTjZOoI?WUfShUr}nT3h^LWddxvNuYuei z^x9_)%;2S+3Um(-|C7_ozotTFP3^WO#Qvjs-EuTr{eU61Jem_Aj*U3eWI%^gbV_Wc zB%g9|s%(eX9!3iMvho{1AN*}q6{WvyKwBRctvP#s;O8aL!tcbx*H^(T9A6JEB>v0! z)p0M#T#RbusOY-aRa<>Q)jB0-xB53&X3_OYo|tebn(WKPq$+Dq5v|3_ANMT~>~d2$v3d!i^7oLgBTB zH4#K;*Eu;rsE7sV>YX0o8m0vX`u3#S*vEoe?n=8K@9KB9b~2I(it0G=`u6A#FpSD$@u@a&Q`kgvlW$`0?< z5yWG5-gCS24mJ@1Ur)E1Ck6uISNRpbV(?L3=3TPNYlQ66`{jq@e-g|zLz*At?&Kv7EG!{)@V|1S5Hzb7|kBLJvH?DdbwJ8AQ)9`YVC8Iaa5 z(NwTD`m|xQ%8%to-4IEvzX3a2A=Fqy49kB2ucVMHv`BTPflI~HJm+Z%9-x_OWgqv6 zArXH;s1>%qOtW3g+Yub>dGPBzVctJEPH}-OF{txmo2u&Oz#~oUQ?J)`;Y$V?K}=i( z?ZY|I(Qeyg7%hcf1Qxo=qM;Q$-V)-*MV#ZisDua=9f4@RHo*cCvLfk;{L*b%yISsl zrE!lh&x-rym6xYIkBZ%T1I}%c3wzDL>H>DZbM97Tqu3oh z-Zh@hTkeFsK5hRQSUTA0Vf@l+taf&*#+c4ab1fVvJROH2v|e2@6>k04-CgJ;oB4yP zGr|FLfh3Wx^>)S7F84e`QzVSg|ChGDxEB6UQe#C0+(wIwo2L;cYam9&KJycEj;$yS ztXMD~W^Bq6gR0vDhM6SJ&ju^2;+`kG9I033__=#glwVNZ?vc71%pd+fuGaiItFz%P~NC0*hYwW(*Hla%_rIU_5#^M&ULlG*1Bs@|O;%O(bO# zWuWha|J6jh^)6$+L`7O%z;4$Pai+)_m}&yub_;&KRhl!h-1p-NxP{r}SjJ5aXa}!+ zw~5UXjd_CAc9kDIDq^{%DO%wcX@Kg&_Hx{tN~7UDPht+_0qE*;mNZ(`x7adF%XxM=vS@7>Xd z){$wuUymCi$3if0LWT+-A!s|eu^DxO$Ibo8d1shX1#3RmtRc=JZwZO)&0d>vWfM0Y zhVhro4*wJtAMKm$FyNdVp+^sPTvC5al4|o}(}@Nq2mJ9g+AOffnz@}(WOaZJNGwMk zgZ6|LFhFjq?qTgsk?He>W(8x_k^Q&DqyY!of9vHr0Np=#qeA{XP4$lv@4GWIp8ys} z7z|b`^@7az$E&_;kI?C;=c(FK$4YjbscgkX5Y$|brxZ;(CSCZ@bP*flnUfqn{%#`` znU$*PZuR44ll$AZ5r4P?d>#x1$)bb<`iVNB_&@ENipbz_M!Io4O#k4QZ(q&wnB$6p zLhkX#e~Ziq z2A6tA_{2KvN$#RxbRj*1B8`Ll+)%LK51GU`yM?FWkqoBr4S-L-jnX)pz!pg~d)i#_ zg=(@=fb_`b&f*S@Rn5jqyUTiQzL}N3^2NOHEZUK{%~!jB#qaRLMeu_P<3est=7n}( z9|w5?e`*?Qs+RVUV}6Lbdun+~IunA(y=>dT%hUS6QAWm4V!yb5PKWae!1bv}ZTgSB z$gAHu+yCd2S9|t8yjT#R^6!7{mLapaQrfoh|Gj7k&3vWzOK;Hy#r`-d8%~;cNxgWO z_eQ~MV!@LVu(V!m+?kXTLCDA)t;7VTmAPGetSaj=gd^MqYQ~2L3CPgewx3B4NRN$= zulVfbXat@lSGOLtOawigs{R9Gg$~fR^IQog^)|4jxb?mv854hBr{mz;y?)bO;SvFc zkYM4YX+cKyg7i2EH#hea`XuOYpYe6Y03KYZGuKl9mNpMXmoJ9zqapI&4hTI?J>GF8 z3PlFf6|GvDQWL*X3XT@}*n+o{ciS*_nniqk;CEnVVs7>ld27j32ye8&BdERYl<8Z@ zPKK8ZKn4Dl?npau`3O7a;-Jft1j`}{70D6#bBk1e*sT^~bHY|(K#hX)Z()VE?Srp@ zwfrRsN*jbPA{1z-0jH2y5J{dtW0gc>YWnfFO5tPj()Zr&2ZyikOXj^8h`VgkH+Cl1 z<&1snJMu6AeP+MICD(z^cE^sAf3K|L8QZ12{}{q%2fn>+n&@1MI&8gMIb3nWAy`b1 zxPA&pzQyUH+T@aGJ~RW`ZP!NCvNR-iYh{Nb7)O+n8kT%ajgXFMO+TMPAS_Go;o(S1}z!|HpW#kLOMlTDWi$p~B@&nfP=zu)TRX`cv z%7G%@dy}G;0lL!0-2A71wWT<}4-j?Qg285Uud2ojqmI||S|bp# zLbn&v!DhjQI{n!P{oao5foRgV_32iVid53PsCEL05d zdcSu$jZgCQtTe~(JF|4a7;3&gFw^d@i!PLu8+iD#PseL|bO7*1=KZW&-+jDNv(x3b z36qB9dOXbVeEVGr_K_@lwx+Tur4`F-h3s5=a#nnB^hft{-z2j9!FWw38XjWrwzTg> zECd!97NJ`#`2}vw2klGex%AAztJ^zf{(8T_%JDRtZz&f78jP>so3v=ECib&|+8ud% z+Qmu`KpY^+eK~-xMgB~#A(8A0pKGRoLofo_1BSjVszmCWSNe{h+ML|{_VvH(;rsyQ zY;w}J_!liM?8Fy3^!Y4|VKqe5p(*cI6{R%X<)kdqnSn6(pS(c(yNK%5zuc8PQM4VN z*XEsjp^EoskJZnz$y~wNAn#--1eB8|hZR|Dm7xPfluh)GsGNn4|b?O=WeD9{eMomZTy1_?_K2UY;&1rb^UMt*BJKQv~ zvB#0D8&ym1*i3)7b%pW8fJ#CD_4>W~9_uXz1nEpQtnII+%z5_kKc20V>euUBBRw9~ zRV__~DO@IGY~@ESQZ^6kT-Yxw!q3 zu+zyxtrc4zNT*CKU_&4rU8>qI7HI>vIGw-UdhUF}1c2Mi!@Lb`UOTUf&+83ehv!{hBs{7Z$rD%7lM%FhHWvfWpw(--x>r=aQ30T#~pjGQsKExFeP0Z&yeQ1QY7?NY#~`z=r-ZnBxTvBI#Cm?{q~ z5?l_jrVY3UOAv+yn!x>zz#MqyWPtl(PWeIH;AS}&F+l9pem-|-_DJxpY;|lY-Rz`l zQ2N5Pd-h^sgWqvqqhsftin~jj`|PuzOIowL-YnZDwz48^M8S+wgv%GnyMr*qpN{3r zhnX#N9udd*`pJh?j<(Bn%g3Jd$G!B``xWPaU1O!B@P#oBEg(2}WS29LEgN_*PKrmN zke5g;^`hz7+}u&V4DIikO}xB^ABrBPyj;{)hq_XDDkQ0P_OQQ_8d1FrixK>ZcYcD} z5@*w1qUxdOZ$oiKJsn8j^SGzqJ#bG<*Og1`|DxbbF{=RtgR@uIR-lEcnWIW>iz^1h&O@?l5to=$O z+6Y2?-(Qp`<~nK^N{VH(_S}sD}{_4 zaOBPx;w;Gp^*}Z`sn)z?PoUUe^7Fw((3TD7?qazxf*A1^jd<{9H}leq zFVXfl-oE!G;szdx9BLq{*R?cdez{xFEVu-8l)e1p`1uDV?sGotm zrKfbgDWv*X9j5qp-&EIcFMbiNvoX7e*hshDT;(T{o1f7pyfoI;wt5;=^*tk4AYQo!b&O-}o#@onYV+1)h-7q5~lrOl6R| zc}EPHwBp@f;?*QOcC@0hjd|84Jv1}He=QFcop%x{`bt})t%cVFPM=RJH~JMJ5^6hz z-#y!2hXnO*rdq){+dVIvrRB5#-Cc|5`)1o8o%*Kukj|Ff7m4DjiDR|3@~BVyRNX)#V{ za`<@>!F$)M@$g$E6dS`Sag%K4)gC9^w1w4{g!Tc|>M8rJ&RJTu4*3vu9Y`FOWeD6+#FRQ0WESf>!opvVHvTKGBgaa5|B<0@6|XYj=$Is z>O(#&{BT7IU<>E^oxeuDT$hp@ZKKn&IJmZ>7V9zek$k?c_VZUH@(40TL28^EIUYrb z(@4)?H40)v$M%<+4i{0JUpr(GgOc0)U^Dik70oFH`&Oy+`S%yIZ=i806x7^RHpr*M z9Mkb(g}$vy+=nMyRpqW}+p&K{jE5y5?u#r&wvnUU zop%q9zCRVEU1ys*#|q8UY$|4D`^HXBdsPrSa~DToou>5NuT9KH4}Ham0zcWlbz`=X$d zx4AGqE~3()?mEs>+C}QCOkE6uv*P2Q&Rc-K>$!0@skfkEQkbs}j#ct*4AfPe|jx zmLPRoHlr@3ri=x>r2e@qVdY1ZpZa^k|7ON#hYkX9<@-ZA6_a}+*R;R-T@c1QXX_p$ zSw7)+BcQ$%cmoov%`T>&95(N&GtXi~5eBYnNQp>#p1qg|n3{LKVsQ|okIBY=F-1od zuEfs5;v-qcTHU8(((?P<0t*>8cbh{K+HyDSY;tr*ZU#zMN=bAZ0(sY?t2c+8Szj?B z&*u$9^CY~#1WyX`q0Iv>ANU)9P{dn971@&xUs*nSeCY0FZF;~zFr1UX!&Q?qX~fE5 zzh&P!pQep625^y*SY1=in#zPxR+0OQ``O&2A^wf!7F(OPE-Bs#fM`+-%e!409`ofgyzrK>QTNVf;ZDd}0e#bj6dxElcMZn)3vq3Kt01ULj(m-dH(R zOp)(x=v}raN(Cgv@jP4@U1?x!ai}hQ;?DMF*#aNcD9kmC51ep$V1G#*CDO0@lbBTV z?&h1q9M#SL#;TK_Zl=3$I*sQ&Bqjj*32pX%m!h2=+o45I334fM<;<^j+oSgw`nJfbf zf*OOH{{@KZ+sy9%PRpMeN9&@fNHH;{O|^Mx_MAmyh8}(IVD%E17{+b3W@s+b!;E`y zosyUKnAMv{8GX~{snROVAq^&ZXX9}nj&w8Hz5W)05?0bp`l_ExplDU-=rXkxIpx(w zQExTKQ};V7{z;y!(C~#o?(q-sHX^ZgAUJ~fTJFKAv4m`!6IaqjqLS)T?GPC|_PKWG zUn>7ieVttNzN&;lhn%UwK9j4-*L{C1C~JmyN@;<$+n`;82nZa$i`3@{ry#e;l7 zuO;VQX=av4cpW&QjD2#I=uae(9LxKS9}A3VrqrC#JChFR|Eq?)i#v+IuIb3R<`h_bS>o_Gb`=kYvB2i>M?wW?*C)6{8bNJ;5+ zJZPi`{jD&6PF?r{0Ibi3SDV*&r7s)JeKQ7WxgS4+#6hi1eF1=gQj)=i}~j|7XQShTRnE?%&OCO#29EqT`a6v<=m*Vk`fhT zKj~0ml|M<9=&z`{?gbf)9$Tpf+Lh?&Cg43$d$^*ygAzy*+=+Qn@HKiU%{Laop*36c zR^ykpL2A;> z7dJxAEyQB$k5;$SmG)bD8wPGzjq4x(-wk4j>{|SR%~};#Dj2^ErVQgoddE#VdB+tq zaC9X=(7YY=mDcZena=u0V#H zf-VUX{0906X7GUsvgv;68t^#s-aVa?$A&v1LQVm4M`-h+#zGKR`V^mo8ZUi9W-F@DPUq^ ztkCtQNs&e^_wNNxj@hSuS;U*BrskGW3PI^kDI?mm8{&G!Ru7`mfgK!y_U_vAXLJy@ zugr&HZO%Jkw`gm>aV|_;ms6FM)t{u<{lzQI@m*RmwSj#?)eF=^F%fRjw6&-H?^M}P%04UH@MhTD6aLw^_ zUQ^C~@Wc0Z5y@`@>f1wF`L5B4NmcKt`YzMDOThWHO$%mX{H8Ck)NdxI$s}mR3yz!! z8lI_cKbBa%JD9akLhq@<@GJf#NT1NX`I9H5(!h4=mPY536MBR^dD?UsCWWok={jw| zHc@$0nFffEotKAvVWrXr((0FF-yUCE-utbiX{|NhW?WSE&*5D zGg^k5LbNqcHLhsjgX0O;?4tXk7zDNWZ7wpnvev~(t||B_OEEtb39ep6IsgV#7D>&bWzw0^n4)4>bzwiS|+J6 zKd0cakMYhMF{;?)B(siPd&Y@XUH|7o zv}@H@UA^bsLR?dMFouHF_}0aulc%D8#vZ2srUwV-y5cAGR7ZG7xW%jt`l+1Qs7Udgm1htvm}B(}DEe^Q@{KHi-^e;#iG!v;K%B4Zby zYwR=6d>!Fs%fl#PZG1=7++w8uz-fTJ55c?s%5K%aT_It$1siA*QARC+K2LA%JI^bk zh@^);1vJf&$Tk>#=5OSx`1~f_^f~}|zO>)qyWGg{DacVo7A#5~&pxnExg6UyaUA^O z#_iq1PyVse$3ew-8q>7}Maj;E%vTfB=jT&*C2wH*vbZNa>!V`rPJV(MbzCpwp3wKb z7riJI>8oY9*bf5epsCMjgq~zbVW<3YOuriioqOz98ayi24LY&Ai?|`(6FDBH%*ERO z*_MbTpb6&poRaO3Z=z*#| zbqh;P^(gUy&7;d_vS{PVPA*!*dX&kZpp`Mj!$lJ8RXsMVQdVCnx5XQHvXG%W#teLWHg(SZqw2~Ph)vls?BTa zI9OF*Oad6GZf|a&CLtQaMv?W<1{;P!&!Q6oH0chOI;GWg*ySrykCoa|UX291S{E}x zaOpoF>$wtTiv-%w*B2;9=*`*p7^zPbjdts}syo+NRP?=*;SRF$01!+zu%=>mhiCLW(=WA^=+ij%N{e3^n= zdh;{==t-&+SRP8OLwW!$3`0Vdaz*<$)H!EFJ8UVEP_?`V(#d`mb{~utmDNk2gJ6PQ zu`2{Bd^tZ-pg%+FwWBY5y&8<5j^vFk(=K0qvLa{-fk?=XriR-C>- zXm8J0&5uIKYk2)DW|Oo#)>&7<*3nRcz#l1P?5b%CxKEhznYBLF=l;h-M<*f6N>W@S zGtOo z&ZIsuKO+(0i6?%q0~XXuZ+ZhS%j=pO>zZ5qSHIkYitno1`$q{LUn*el*SB4&Cezl- zBMd)6TG7Tv>cvlU48mW;uELfI%P@-pCW{Zt!{hIVYI>{AsQh**3jK$!BJ99F{ zI9{vsok>YI^U47S!otq*sn`gP9J{#@_s;Rhc=;-jq>w`IcvY z+t;=0=AicGDXK)-K6VGAeP#N7Qn{(zH1qeOW%={xH>FF=(faQyX4LDsMo!yiotl<% z)JvE4&u;D?@>gk}Hae|LJ9(W*YRsfq`C7wDQj~RjTa z34QNtU>M<`s62b_L2sSJ<~=pL7lIam-R5fP+M|MZ3fpK#<4 za`S#QX60mnYh(a4jN+$g2Y0!&F%z0P#-h^dReXrw#d3eFtJ=L*!#L2-wUb4t1RWsh zcVpz#17780i;%9I5E29b17IEtX1{Q6&3TUY8)h_b1lJ+cgUzAlsAXFJb8U#5!zRAD zAJ1Ra)82*+PfJz491_;+z|el1%EtVRmw5d5q|Sb7^<7X;sD!|uMk81f)26FEa!ZPj zxV(H@W@R9QDG@sP!6q!QX?gf3Ax~&z0VxL*YW>P0nPX7{^>{HEw838f0ZJz~i4-d*mSyPPR^*A7fXrr;HmOMFEC8dq^^e8lA!-;}O zsSV^g6eij!H|JC^ui96yotu^e?Lf{|W`og-k?Znl8`ujg)ZAP~`8x%{xg?@er}A=a zh5@f6XoSrWh1=sj4x21DMm%qXyS(TR5;UHUKc(QXJUV_Rv7b%Av1>#wZ-ac9Fj8JV zk_4Oh;bH2#L1kr&-L5WSFzlKyP=nntXXe`9M(X~8l<2SHTQ^cW7b?TY)cHq}nTb1y~P7AD?l&qp19 z+YVkr>n)W+dU|kpCBF^$Kr>CzgXtM%1y;ua?Ph~0ns^mNEdOfzu|6CROT0&g_ZdbY z0RVM#%bI5T+3FRP^!4XwDMezvVOamG_f;rYllPVBax8k~siisU6*J?;$X|bHs`RH9 z@A}g_hg+Y1A9fjy9Q}yCdUQjp6U|g`e@moX=Gz{hlH~X?noW&_9h%8xlvTjBYc%gM zW?=)<_sMi`MFv(UZ~gtzVuwWT-Xut0$|DH?W#$(mPWf=&kE;)jc`U)_C{(ZF^J&3n z;NQUrzHGmxr}rep1_m#@mMocDG%_Z$(c6_A!47lcoVaN}@D?;OHcN68hPN*CBDe;vU<(+UAeT;12V?o26T>WZ{g4g z->;lbF=nSmf32E_96#J16165mhPFYScNa~JKv_@bS*eS{g2mo9Vv$%d`*Vx=hwoQH zGM&R$=|Go%k#SfI&S${46P$HMAXqV`r$P%8cR)Au1HS@&_hFr^fm~qGr0*U4kKR`S zU3p@FA}~(i#}b>`9GRu%qS2Q!Pe#WV4C_mvzB|kTOS56k^FNWR#lL|N9Cw8n@VjED zkF{9-(TlwpsPQYp5+?7>=lh*v`@)_x6%)FfMBe;pTA2Kt6Z1e@GvfPt1zmWJlmK?K zc~2O`cj*&9gKe|p$1#SmXABGjs+&~m66@B%*q6WDTvl`CZZ|%Jod&KIQ#p4=bavcx zr<>f&c?~}6*h%sVxM8Gy{1GK>YuXSVS+gU#zIU2?l>&b7IxO$*(5GqkuSd{t#lYX- zTd`c4t$FJmEhZ}|&c@R=uN5D=So6Y(xniMLEMMcw{*}M}lMY@iHhN%6GSvq5TwV*r zK&RNi^z`(;tq#{!{`+t^?iV-Jrd9cGm_o-M!;F*#eQr5!9TRDL*)D-W-_ty>8H$KX zczGSB5g3cez3XGWzaEsn{J7KUev8MN*LYr})0Dm7=pps6MBBbG@py6hxO6yrDI#QS zRQ>q@{OBN4z|QRhsTgTaq&%(+)oX!PcAEHL7@%c3uc!B-_cjnz8Y{}#MhduwHOuR` zFbIa+S4g6`DvoU=p4QIylE&g!BzITpBMup#*!Kd7^`^N7 zWZQm+lKUQNeJM)PE)daT%$pF`ReoTL{@c~**^1;orPhTFIOGTDkjGR(a) zgRBc~y^I$a0MJ${C^{)6%=F4ezkUZzlcFZ-GC{Js4GXJqLbz_ zp~FV-5h&jP38Vd-(Z(c$W*a#iZuDH5$PuR_@(cycBT* zIqE9xK4%LjqxpmFRzIyOJz`QFWw2@D>ncA>X6=aZhm!}hJA9NIUXaZWf%mbrv7_a< zZ{R5_Lse(Oqq<1&Li(<)Wvec`wt|7Ls9Gpee{R^(3Y(Mv|#72DE5z_gjp{Tz%Jjpni#9b`OIC~4!%#Ait*?t zEJG%HH_U6gxa+A4dXBj1_y!t{#qd>U`izYAQ2eo3iB_`kI21})@-`L~_Qq?*L7JMf z-t$t!z@LhOcM~D2T*kDnrTH|Pz5nfY1bK(3%1mJA%!e(czOcm1Cg>Ms_m>>w!*&uT z*=^EIL-7l%&00*xjQUI^wS)e?*I1MdY>QcJ#DOzJ2bsmNMr>HpCc zq8rcNx?YuNWF($lB-2YRwy#M226-*Zx3jl;`08B*&(#+Qf8;RX@_=@xjVx}lwcfle zLQBhgcAm2$ZHUuAvcrhV(V;bG$G*iUa89uoG8&>XGP1HdLZ52M<}G|AHmz~jmq6Ur z%mzMIWWnMIJdOsUHR}X*lpR0kA>pR89(r&(aGgEtY{tj2jxKHteALCrxiqw}hjjx~9@k%i(3FdptrIx5v-z!g^dDON1 zX?b*&waMs^`YEWYOJYj27^S0n*x`jE=^$pm)MyBcc&~ykbGf(p_v()~7BO%8Z`0bw zsYCYwyI=(9ky(}W-%Ut+%uA>B@7g8aD0+Oн-qGHO65e(r5j)Fr;%Z!_HuW~Q% znuvm~0@}>jw_?#lZIu#t3Bq?6-wT=Gb*d}fX)4vr%ClKFN2O~-?(AWwyTtOfx-dJv z8y?_WDNhoiBrv-plSl6-FuPb^E>(rn%UbZun#ysyMwrE*`1RkeI0y(*N(Cp7P{~z% zNj@ICgQ>DKel!me`S16ae-vZTzR#aO#|7S)h<=&*;S!}_#W+)T5WzWZhgVNO{|34v zgLBmUY<@RT*2Ekp7ftQ_1WhS8F%>o$yGRsS=o0`9@;;!P6u*Sp%#uq#}&?wTy!9K%@F9B-lXu#STsk-o-%x{_Q z+iVU0ZJopBKS&TawVCJgzxCv=H+T*gWzrpcj?NvJkd=$=n9?h1h<=(uRqIydy&@5P zlt1&$_^d%riP47mR%mJ{fB^CaMpM3xZVzI!oeM!OY627$-nHlHZ>M@ z#txqFKd6u7La!s7I1O37-{SSX2G^^Z&Ox_J5H(EOtMaO0ej6opD2UqDYxlVQE8*Po zUEG+dd&R7FV3bN8+#e~I8+W+%!tA8q&=F$aZ%t94#b|RXyt$(fKZZo z^}iNE~YM zPXPy~V;61K?Bd(tkB*y&U!F50#Ci^%;fR4q(U^f7sEHK&3u0IU!jS4E=E{%%dd(dL zXdi8u+cM{FhKAp9eV22ifBTu4AztH+lzql#itWo-sb=Q~-ME*(khLstRp`S};o3?3 zh^rEjjd^ z{$P4W!Y*2AoMxjuTwbNPd4j6;ne~`oW^=F*==Oe5h?@I2B!r1}{5x5_?XaU=DT$3) z%$Al|SC1f=j6|9dmwYfBG+zyngEK)6r3C2Pi_{1tL-N1)F zK0u>Lo3KMySqTqU7ET9_Aal+S%DHy?o=$itlBa`Bb82j*5P$RqbwAl1TJYs!yhPSL(a81n8iHn`LWTylQ46P zO&1q$F{$C)=R$hA-QH}T-|gtaffqgD_)z!L5hDqTvw_Y390RPQEBVtOXHtQ#_m-H( z%Ee6ewK7cb)sqX6iP5v_mVYf=YE4d`6*1!(`xmjqd&N7y##p?KM|@2olYQ@)4CN?Z zMC!xz1}OL%Uu{QHIkCWPD6r^LtQE1bfB{_a6~M%MJqB1CpmNqyzPQZ+Uw%CBUdE!( z6RmV)M59k?1H#>Fi@wR-2hJOnqh>}?!UiU;#mw*Up$jN?2h}39aDFh6s{oa#?&O^~ zHLDI|s}!=&Jd*9JAeli+geigRJf`AL%EZAWb@RKpAtRi-4y5HMmb%rux!E<-?jkE7 zl`TT*B^zKL?9|lWQs;Tt?q`I2?p+sVJU@v;>#_`CsL5kON5-M@!Q8PetfjG|@f+&e zAn@<>>irt6_>3)iBCuPq5mBoZ!Nowyx>LrVMtF;rEg4Mh?i&N=^iL$>3&w@S3N2FF z>Z}lB#aSvxhi;lC1j+C^-JQSBTEhz6)wYQCE_?%(5m|NJ?UggOlYVfpGWjSUB)MmV zf?n?Z;$QClUgt6L+=@z$8d%fRGFcj5_G;e?HIOnn1)rQmSe?9W=d2kAIMsI+y(Ozw zqbFLUWB_7_V!Zh^LQM)OB>UECRK@&em?+>+=aF__&0n$ygqFovvr+a_G5ln@wIB0k zU4>M;KfUd~lHP5TGRn;ZQjz+ytea9-rVVk2(Gn2PFsfFvrO)qbG&A~wHbu5@#BLS_ z#s@`k!UZ!t)x+qtQUG-Wd152++2o^4vVI1-f|jZYIVuZeC#yrpR=09#7TeFGfiIz@>xBcgc6J*6TL~u)!MSWD$pM)GYhnK_Z3c zc_zVt3clJM#?0!*E~qVDe#~tB@1{|QDmE1ayi>1`zeWSsRxS%vj`h@b{+Ab7ybsr2 z9a|`8-;4UZmqR1&t@js8yth4e%NKZvV5gSNIgOwL0Wh*^K!lBf7#DyXPdr@a-GhF| zCSfC@@nB4sS+)76^Yghb)Nk-R1c($T46HeM@_C1Ls(rL%{eo(lb{h7MQ z@$A~8KCBJ+p{~KtB;#$d{^nr4I%wn4bAQF-yD?AWT;M+3+;3PX_f@CQ>3c!((@_EE z_N_E$vmm`BCQ$HxsND1q-7n3>23il6J;2DsqT~XZAx~FHyJyqV?%3#PcwTeN=#B!s z;=CK@c^wzb$V|S52I7J{-z&Bvml5|Ubd?nL-9n? z+>EkLOs{DvU7N)|(}o>*?xtq$MS!afozCj_&SmkQzvL2?`9Pb98qj zAfvmxyWZ#fJC64s*s(p^?)!@K{G9(8&~{(T@0C9je2j;JEdCx+Y3VL-yzjoR-7*P~ z54-sTYTvBtz~GYH_|*E#u+}g(BzLPy)u)H$1D&kwR8C^fqFr?oeMM3%+*H{~I}+z4 z_)_lZDybRJJnEHq#qP2{bT;`twoQn}f8m z%O%WGgosU=%=WJA9+WH&Apep*M6R%OQzBF=SR}kkx42yuwvy2kQft2fOJlK zP$we(1rkzXd^PtA5T4OR`-?CTM=+hmniP7WDlLqz3iMIyzL&4n%x>}^3s{Z;lhJ>W z44Aaq^g+Q+piBXRkqN}9jB$Tl&CB>s$#3#N3|+|Sg5(?Mv4fH<<&)nF+Tx)93~vKP-arWWph2C?YJ5)w2Wk0bu5fFV!nfye1OR9L6X> zcv#$<>U&LfQhPMW*{Wi5Fl=`Z&Wkc6Z(uFvk@sGVh9gJ~1np5)zGAHyJ@M0Vv}d=6 zLBTSEbjpWr_x%{Kl8yc&}x>I9T1&Ltp#i=(-WAsg?&{ zt$xdggA%TSZ!>sD!^os%DuF_ulvu=AJZ@nViPZk$9@nX9Fq?u27SAII7Fqn<&ewAL zQKjEexri=K#cokZ!fLSlrW z=!2ohz5mu}raHS7zltpwMiBY4y1Hhjg8G;MBQEGA`*s%VD-Fu0%G-w9UvDIvWa>^E z9t8Ehd076nS$Yx*Jl1^>ZLiqPP2Ih21zTs#)Us)7&!gfiS(NJP>z5bpy`Z{jXMb8_ zmtnd!Ab+RCMVJ{3E@*EJP(UNZ7OJZLE!$Vf+=?X3YgG`W%IhBbbZ$5D;(Z#7IgpF*I|_! zTfso18TMV?xah3M;PyuXT7So{q)g7A;mTX}oj1-i#=+SS`4p^LG(dPo$yG=uNk!o2 zn#oJW$X&ip#5PF??9=)ujujc#FRG}Z;@GBHkqtP~r z+dn84A@BL9SX(U3A{gk-W$=6ul`;9@A!G{ z_#l0m9#1h_K$s5@J{62+KEe1}mI!_)_+70(pEqs;!4wBJb|wr-`wccO+GRUD@})`p z`_>GKE=;iB_;coFI3DHMxcbXLF^dno2RozZ=I)l!pg2s@g=+*4*-5n+vMA8Dy+!B9-bt1$R|zSKi? z_N@6cCKpuYNo80TXLvQ6_*yeDWo%CV4HBrNO5W3d^Vtd(nj6Q7h*PmX^rpl!wcPqN zN-ZDsi#JU!4-c|GAs37;^D+tqMNU#dK7OcN_#9D*kn9P*L1*tG->AXS~nrI_IE$64fq%8f2& zeT2$1D9WRqTc@tN1&darqi!=A2I={4tJp#|5j3=2&N79_%`ZZ8NMghZv61SttmV-_ z?EbT|OV1iqOqO=A)2e=5@(3rHZ1<%GY!e=`OMYps5muPVgz#su%GXc$L+?ln^B9oz+u_cBj3=bOM#(Do1+stJ7df|6GR?`+q z$iF#!T3H%y1jlkDt|}!etfv2kGsXvvjb9CGj4Fq2x$|9*_r&3z(E>TDYsn4Bpg3q= z&BWJYxQORk_rrqR>=Xh*L!aFbOD4c4L2@Av+wb|T`azE7uVdz_!@;NYB&r;vS8Pse z<_i4uve=7vt=qSHLTN5@@_mk6#_{}yk=ioJQTPEtjx^O+a0Fz)Nt9TEu{19h0V#ED z6WxHE?lEnHh=wN@oL_Qg6On+=eW^k)Ut|XbAhDD=A>1iH$BFY55`uP&j8bYq$-?|g zHspQ4b_W-kkshwD@|E%LXEcTLKT0T!CplPS$5(fkUg)ucr|f6o(i%A0uWr5B2`D&v z-l}gL)PDa1(YSTLxMaXj{wezIUxEGmeu`ZVI~}h*pcE?1t3Z&_b4v;C@9#Hl38oFy zp^E(`tk4cMiZC3{zEvNlB$m;t+p((jANO9{P)VoLZ#XR$!mHXTjwnVcg|A5`nKDsl ziTFa8-=e}F)~JJ3K`5HgiH0q?ue57^D|Zz_y$x2SR>>tF85BOin1wyhTq=3;!waZB zFTLl+ptH6nE8EWUS*uqs(!&MT;TF=2G#;1^WLOjdcoG$3zO*v&bm&$n8;xcA4bA?T zG=VEkW!|IHc8`sC2hh*dAok#gh)Rl6!v&5M?VCSrc0@g^M*?$6q9MM!fLK zF8OL1-@1J|DnM49x;xPB$}Yy$80o>S4oE5Hmx)3sD&QwuWWwGZLE#_;;L(2`^o z^<0$HTAkWLg44nYV{OjATw!M#Um$f3%Ef7_{>=M2r9QJ!rryOnY%hKd6EHt(+1kMO z{~#MB%QN~{Ax1|=DzO-jnuejP|6rvfw%c=Adh17!nP-{I!B z_XLk?%Sx%ecL5?=)ydY@*UqkX{_yGO_(7Cd|BOdvZ_9ou9qcM4(<*hCmbP5=@tv6a z-xLW=TDnx*8kj2jmaqSn=+b%Cb)xJ%iSxtFDV5hauJ6ffDkK`rcQZl>Q{pMdeifEB z=k=RHt!-!Xce@8(Zv)3A`%|ZN zeJ^q<4rxJrm93}w#PQ+czfJZ zjkPH553B2if@p>Ow!#(u6@}sd7%p2MrL9HklRzWuf64cJ?pl5eESj6~ z@!<&U$xOXZUG5o671`%O%m6ybxlJd(2Q`PbPL?Krh1y0}tCpvw{D9_X#Jtj%tJtpr zjE~8*D>f}t==-WlGMrN13ifxJglC+?9|Z!*;M@L3z9IoZdY@%vMU zHH`;GT|SX5MzIdvqz{d%{aHD#7FD7(;#LVv#pkRCL5F$R#9@1uY@n~0(kfb#$si8t zNp)@`j-dNKNR^3awDRb|5L>3~QTzlZI+t+?r>YD-l#35yK3oIO#y@wEU(Z}Orky_D)4CO`wrrP%P3FT2l2v~8^W}8jSRwEwTMDbMi0ytp}l_s z6Ur2)Dg4-m2pEF#f0PjR4yMIGdm+ewAzHG-_d{ILC>9*~qcGPLaI|{E8w|$TOmZ9wyxo;`BX) zD~oMds2|>s4zYw0DoTJ)eBFf*(|Y#@0L$XM>U-LjNQiSxD>`x>p}O?a$27KLcqh;D zrstzdS@38NZ`M@1L1rR^`%%fNbcjDH;IG}(=Iu6h@`6|gg;EuYY}7~ETF3|^CiKrN zw1mFT@hqw*b(jPZX93eRbJR__*Fj%0N+hJ^?oEvhT%`3#|CyaF=ySu!LJGL*F_Clz z3&VV^cu6G;l(6K=^o;b0O8SYx4MqXmR;{kGyA5TCbVWj7y<(Y+8puwM6V|mZSHr5J z>~Z9+OeFm-Y`G03+pf-hCOj~Q@S)sdEjRJ&OfbwFr8)HFA3 z_A^mS&9R_;Lq|^u?)=8pGGSPr*#LRaD-mwsnh4`QJsp;w3Eo{ef)O zkSxt{p!0_@f}4wPl#v--Y~#~t;*CD#GDwNj{Y5~B2g#HSR>f{e06PB%F<7RA2Q@Qi zf7`VulPDn>odWmEm40trp_BIN?8gHYmmnHrFGTm_nOt@T0!gokECw+s|F5 z;hJ>3pLCZ0_DfGa;Of?|m1%VG@T2-zn%v;i(;8hTE7$(dvTb)$>?^f9hdEFs6v04? z`nSJIzT4HjY7HJi+YwsX$0cQI|M8uFCGIg}bSs5aN|5L=badOuow90{I$_ifFm9KOI zh~NAi*Hmr~liiTS;^|Mc z5K5iTIv@zKgz4W64Z)s^9^hI8Mv8?xzeiqTOY|IE?-VkCzHa1@g2bAP+{em={kFif zCPz_0SU;4Y^7Ddk`9#5>{(IhMqdN%^gwj`wj6}>^kQNXcI!>BK|1FgPE1V9+^3lQ` zm9FJ*@fZvaoV@KqcCWC$`gfLAE_@M{rqBnG6{EVFk6HG9=8NLAevC@{LWb|1`}DfF zVB74|Td71Tp(TA-V+@IlnfoK+xJ{a)>~|h~qjA(yP{j4v790@0PN+2cd-2|(V5$GD zF^K4F)!2yi4RqK;XlrH@pxRGT7DH3DzYzTjQ2mjOA%?f;y1eMV=zbk0aykBvfl9Q- zTffG7T5##UK&(62D7)zt%Q0^fC9I- zJDhP^j)VLMW@aI6+5zv4YR3ssiF2!-c(2Wmdh8O=0$y70^%dVqE3`930;{l#Q*>z5i!}3eipvj+qmanl~Wk@^N#)_lnUCwEETTM@jQ9@vZ zCOMFPpF{wb3Cy_?Sl`2l}3t zR=fWxYu|)vUn?-qI-E|Nu?IZbX?gi?j%V9e%en;46ehb~jop5^;QPaSc9G%R{4Xcs zE&ODa??7`;{t~HbxZ6<$QPDp47ve;IXi-`(AdzVIGZ3Rf0;EK_mEjtNjvRte&_ap> zRB{5@vtR^dkn6Q1O|F9E@D~FMHS~YKJc^T4oY+X1O%sE~hmoh>)&4dA&P?5Wq~X8H zX78)I69SXWIEec1+(3{BrUl&Pk{5+E<-Id=>FJ&B34q?<-0gur-XQr%qq~$PNQveWNjDIy$>E zdYQfOLSYM(vwhpT&9U{PXHi_HN9FAnglb@qW!g3MGL0%D0O2$v%>t7Pspw@rT4V#4 zovqrw?r~8k+UAmBBECMl5~^FYlT>Dt{zgeL?aFa|Y6b0gBwxN39%DnL(YtS6#iCi` zm!7N%hU!i?98Ab+UaDFBtXMfZf67fnMom5l!WCu?fp#i=?VxmZEHa_Dmd0H5)GJjt zzNEzG44&J+oIkws;5rprzJ8<|(L7Yn9P)vLuU&}IbXRAemROfHgr*GJx-a-0cU^Cr zIH922glIgHBM*;_u(PqZJTCg{m~?qz(FPP}x!qd(?M?aLC$alJC6nPfaFB+=7mV-a zTHqo(TUEje4P4*GIs4>fXAKhgzcDJh<9(F%%q3KG8nI?l-I&Hp7Kh?4NKpx%m6!|v zfc=Q~RoSbA?lg?GZk631WqsfSDKn?B3E$1E?gL`<-8a9!hG4(gtl7Zi$-VRP&;6^m ze)?J}`@8(}+lg=eyQ0c>(ExY(XT|2X)ZOG@Xek04A3k5Lwh_XIXlOvC6%-W21ub9u zvfs^3w`%shEY5I}yqS-9ArUg5DUye|1x1y9&AW_U_n<61@TZezGfd6;lr1Tf)~;un z{y>u`@J)I8R9MAZ%To11%yo6q!qAwoi0Aw&5p9{@Bi`F?Aa_}N2gPLJTGaSl%o#|P z(ygcEnLe8aAvlR(d~2w;Bj_cS_d%sq5158W1BgDQt$pYSmt`$P(l(P7NT=`By;2iM zoGN}tSTtVfxv!8hK)Ax{@R>l8LClU)a8oDCR`AnMpo*{0#l`EM>Ic!6ZikC!PvhSd zRb_YnWn5B(+xzR!(MG9l%Uxb9eyE%3vatOtUxf`yj74?jYZFKuaHL>8dZ6tS1R;H~ zT=>Hmz)E)R6eBt=oM4IueNR?^kBWpM-u*aG90x7oR2gK9h^$mvAZHb~pV5GDcA-b? zdhreu76pk3_>$nac|QAsV(BnIH?8b27v1a7wkAjK_nS4IRjq8FaPXqD{5lGQu=6 z6kX|Pw{B8=Mv827^S|KPT7G^P2{M4U>4^w9ooO;yojHU4gt>w=4{h<1{{nd$R{kxN z!BCHV-8%ovXzgs*p2^YyyO#Z{jN?{$%P6Rnf=D##Rivak@OY$=+EE1N9=N%L_+eV_ zBnrlhLhI(zWnFdRlo3o|8PikKYy4FNYirV-9}Cm*xGOmF>D{l!hi=4sUym7pXxWX-0%NP)#PFYb6o3rB=jT<(77wd(OJgRB||wSme0R){EhzhORry-Wl)gP$nKDR zV<4eGcg$IrA({bue9M9&KrB(lsx%QX>_k9oJ|+MDwc8Q{7)L9)FO9R;@h4=&ojfHb zbMTN~v|xfYR|20=2}S%BXo5#}qTA$K0uBvI!jR6iX>%`R=ted@|CCOlnh?+hYniR_ ze~|VcG2=$J8b--9%3pZcrKJYDKmj_?KD$T9dDSo|b&aQvvHeZ-y2Z5Xte+^imZ2q5 zb5u;<`lvkNrm7ZkfP9s#YUfgxb;cyWKB2ngz%+0pmDuOZM9shU< z6CkIgq=YjLsq_YUFek4?;Z3-bk6q?4Rv~&Fg~+4h>CBQH(@j+!jA4mbSXiZIlOtVK z#RLU--_8?#xV|z?Pd6EoTr}z$vnoRp`=PlL5YC|(q%{?9PRf`BI?AXomS!GvFTQ_N zNB<3_bS^bTuV;%(qc3-7NEXf@P20TTazLtz83Mi`AXR+%w4vh$3$YRDZSfR zcI-)ey=1@{v!4Vol~}p8lFibjWbtB?y-fOw$um-=J1}5$E0$f z%ciz{NU2)78L;fE)%k!2lro;txo|fRQAZsqrX;!n3mzGO_KzR^#W=b5ZI+z(l_SC+fC+Gprwlip3Cxe=dUdI1yd^Q=WSJ=h^a{{N`0|mNXmFja2+$Px;5D$(EYoR`-I1MLb*GagGMntP36X2c_7uRYI!Wds^wc?< zn;)xq1O-__Ou{5>q*wSA!g;x725GbR8$ldta=q))@!cgyK=lHsyI?-c=6$Hu)fDte z8!dWY3+e1pB{tG2sqs|!=d`rvp*arxhJehu4x$Zru-4N+;5Iv zk%e6zSaa&y`^^*E-%Ln}oI5gS_Tr6?J!}-?ou0|E-#=*B&um%M#LL7wl9eP;EocH6 zXv-Vl+W5zcbqW<^+0h>qjb<3^`#){-G zzGocOhpf*km9MxWpnL$AO9I_)O|_9R z{h~kaWgD*FPRZl>*OG1U|7!tM@A_mFX$qbRcLi~IeKENoQ_{}Jj`F1h;u)b_Oq>3X z<>hQ0IQ;o4#oR^r-A=1ip# znd5-#D)~Bm3*eY%k zW0Yoo&`Ybp*VwB|qm>2lXx$^a%l1sIYhQ#sIx(4VaPqK(d|5mia)5NaM|79b(M7&8IBTFvj&MmfZV`(bg7XEp zAxO*YMJzp5XhcPYX8}fZN>Pb?;haC}iSCE&v@a}F^@BqByPhI%#5BD3hE7Oo)sHe8x#`l|N5$;xi8<_ zd@K7)D6q(wU3!541(aR}{-FdfSkC8TT!cO{LY2@%Jo;JymwhNR-s#4mC8Kv%#iWIu zO3y*2%wOUnsfT<4O{4S-Dx^-JBNYf^X6+Vrf7vp%^d&rja;}A{F7XsM{=UdpEJ>#} zqHHs7=Bk-1!Tq8_-EXpxFNIesNfhai+VyUs718gB^7G95d+@bf!$V#BS-_aT0*UZbNGW zQ)xwrD>>`d&DsFmp44N{9?E`&WrHFiW2r!}%xu$fEBl`*Anv5Wec87hZpKf3`bOJY z5+!S&Dq4YJ)Oe>iV5P)FJXY!^;F-FdnA`Y$Hy|S=2lM>C%3>|Ds z4Rv~57s*arS9sf#^y5=dSVC-wc$jW9Kr{fvv|FG^vPmQ{aM*-Uqnl9t3?M z|AJSht?sYc5I)DY_H9e9Ey&d)aFLu}I!5AClaN)zCYa4|;@=avAhZb9~ zhOFtuozY>JJg_w??N=tyI7E@Z45DK zwc;tOkIyR|FA$LB>$uOigs(v|8$?RKsHI73#42l=y+(h(cziMtqEuY-5F#bBwiX8t zXS`qYhXT>>g1=7uWBD$n`2ICAX$-@`q_Fh2-k`akZq?&6b@t09T!m9UAl4jQ`LP6o zHj@=imZ*SjeFvbxt3}wjcw5GMWzAdg3XOR`>{Kcg0LtT=E)HypKEr1I5p4TYNAl_n z-BMS=5JNm57$%w_Azgo{zzem3IApO>ERY~cWq)6H?ELCj^zSh)hQ(hhY^irlNeCQj zazQ7T!0#|Zluge#Pj~59Q5g>jkw;SUxsdZkb~en;{!(|=O}WkLY0Vh>6dEacw5eqw^}IMz_jDqw z=dmhDob}1KahZyvW!iPID=a2;I9OS;cq+2e@{`$OJl@RlHMcSAc*QiaL&kVXaJ3SV&0j*gC0 z$@DsA@<+eTr;6$G8=<;*DS^rBc%s9Whusy6o~Tj}+k}caOv>}=c^|@i(+51xc8tT2 zpsZSk?2RY1l)t=>Tikg_bsaiTe=2OFjy*2{l%1CI4EO3kw_TzqIr7^)t8Rgq&MiXt zK6b^@<}gK{B6=+_ogC(0Cd(=#?6|iPCUUFXT|~&$0*hWd zWzl>L2Jy^v^)Sal` z1H;ogdkb1VImALLYHA71eI&*1{=oWqy<9|kAJ}I?clAL|cR!O`yA1xf&#biE?_6{; zGtue|HP8m}&-i4Q`>BNx-wGMLi)PEVd3NZNt9?)f90OUQwrF}|i1unxu8!o{AKMa@Z z!;f0Tduf$eYA0j~cs*NyE5`U)hG%``VAtWI8X|jn;CMiS#2QWj+}&ETo$-oBPJU)4fQvfS{%;z?V%muEpD3myuC zG%qYrx-tbQ+#+{ccengAB_TCC?McIhgv7F^D`C4O>fX_-`+W3G6i~0tL<)81ZqyqP zzx(ymp7oT=&BnUvXeKX*;CP)@e(pGtJC`8vB=CBi9qG@2b)UCg=cL#K^?B$1qKQ4I*y;Q}m==9TD;MUF-8S z)eW>yn+N~RW0wMjK>jQBqqlw|DJn;EA4N%DQB3c;-XrEb2pBAjlBy6DDI}EWdjA{= z7^FXuMnU_>A=Zapc7&nIgg>2+XvQu@PDDkw8YmSBDEUzODOicBGhR)%8 z33LkNQnE}BkQECC?4U0#t^UhPr*t@-zc}P*mSCQP;X>-EC}3Ek@z2f8H`A`{^(zlB znCT&Z!fE~dzIm@)uQ81&VTi;=9~%B^@7KKt>gwt@1?t5EcX=Gxq)~Sd3kM!tg}0PQ z=!DH5W=>6eI@p`!rdB2n>y|x%vscHV<5r4Fl$RVEM16Rb+UEs~GQ9|qLAlwzGp8O# za)|v)sdYz!zFtUaVj^t>ExjoT3J_|m7lT73K#GDy==62h=!LU8YQyX+Zga&<{!5@h z@!U*G5kA|&Co~cwEwD_7rD5hJ=BS^~Y6VVAaL8nI2X%0SuiKR~1jkAQ!_yPF(5(zf zY9XbN(yN`-cZMWeWWvh3f1Dy{91Xn0n7ZfY?mB|*$Aqu{?(LDzHxx*7kwAw`PY_LKp} zu)brsT?U4R9p}wJvcwN+Y)!?^4D$~um^3DNxp>p* zEJv<=m(5E!hN^h*8NuoK`}<_cf94N+9djt6pnfAUoqrt{yo7;a@UgcZ+aKi)a@N}K zLdmM5jizJODk3K@GvYnQx2``qVv_t;?}G#dH#;-yydl$A)v-0L__^Z?6rx28va zX|F}S^!(_3u-{H&nOi0!w)v-@Jv}WFP)Mm+DO+mot)7y&dYA%36$k>1^DnFrb9QwpZ@JUtN-E*$$NUN_{Nf|!Q&!RY2YNH6 z;d{!7rfDsXb*G(SiOA!Q6w2Y`P}EsM5Nd>|@3Xg4F_`i#n3y7KPrrFpmg?!E zFBlKyq@e9t3UsnY+`3b1zkmdqZoB+Bbw6#bcUmOsvz*Q9K8@Vgo1r~Y&gMGJK^&#- zcPFLqcp5@{*pIY!Vf=iLtM4@4<8Xy_+_dz-JLq1@B$?kljW;eytaB3><4?jFqg*Rp z3!=`sjJi~>4nc(r97o77V|C*6~yL!tc3yEqvsG+BTW?<T#DEHEl95?K9j?k5a)^ucwtUgBC8zmWmSW`cx3fo^o2ueZU z<{P1xE;yV6H6CdZ=*p<^7$6seY1*V)H7QHXU|TO7rOhSVr{7<{Zf0aGu`P*@20?Qm zfAVw=OR)&S2b$G3HSo~%%SKML09Gj`^S|8?UYSk5QKqrkk{~Trlz1q5Bxkf+JkLlZjjY^#`lx3rvZWG- zT?2&s((K(I#eMAxf*}Mo=%pZpi4g{>SkQeDP{a=XLV`c;WKY5T?G^rQanci-g0TIm z*JzjW&n9mwWk3HuA?ybQ?{&fzre|HS;>>D`k1$QUK-0Avr8>SLzt7nWk>k4 z(%Q<6-KzJ_nQ84M($M%ylwuvadkBb7s{Y_)g?~KY#9`7~=i2 z^1eQjkKd@yzOUN%!VaGk;&8zalQQr#{or%pyYnvF=Wy&XO!h;Ag{38I$_lUt*xI;6 zaZ1RD^+%%qI^|cGbm0oGj_gt!Uyq@(uS?(YY&~XS5+1XK&nuIR4iA%9-?#kUZ|v2y zekGM+R9CTZ*Ezy)GWBRo_4p3}gDA3oI09tt`g(ffGUes=)JBLYYl||)>(gSo3e@SeO>F+v*4&y#yI#w&oDZZ_M2}~^Wl|X_I2|< zaQR<(3HLej@-~8b<*Plo1RU2A_bbzy)gbT0$or%-J+grC-8T{}oAnAnqgt0P!&G=N zx0kM-ZvHkbFvlq7B?{mhC^FEE@bj;)YW7+U*?tw)1&70VMgaZ~d7l^_3j9sCU7ZH4 zozGcxpK+loAcG~bf(eaT*J=MZy+$5$PIALpepXT{PHOkvg(Y&zYTamWtUvUUwOs*n zkAZ~+*6Lvr?vV-B@bzI$8gOQJFG-&XK+bt9DD6N#eeGMt8$k$-kuxYcUg zT6TZ_T(++g&c(3@xnY;eC&%o#ylHA{I_%Sbnn+7iXj{J4nJ%BwnWn%F?OLr^rW$sc zYYu$3X2PWqp9+1Sz=_XP>RU?Q7bk((SH?qA2JTQcnvIExs$31~*gmz1F%1^xD`%4lcdc2LLtH@-=YJn-En0 z-SI5LqaBKzh;S`afl{M?=dj=GdRd{N8T&#`@=fWD)^~Wb-zh;N zk7?}9XU{&j4cnQ{2Q#M}*bI(3Lsfch$M=4OAz&U##dtd9^@SMowq@fS@9J44naaIC zi+0od_?Vo6+}xCk{IzO+MDsp4XGP|7ANQRS6N`(<0P@$i-q{-QhYv@>cQ=)g(%$)% z!98HhUByZk!w^Y9jQpin1e1LFRA~DAk>k%t(pOBTO^Z(CbyHSRUyPTpv2>YUQ_L_q zA2B1z7E^a8CBfN!Z}X`h0{vyd3<_D~F${<~I)zC3=_znx#w$R94b19OX^z#)^f0UM#`CNRbR?d|%RCO1lI3# zNdInuxD6CC7an#drFRj}Qr>*_Hbu|zzu6>pdQt8VpI{GQK2qj6`Vp2`-|}8@Of;6=O}wGIf*8Q1O_QQ% znD1}Tyjn2me=c92M>RS+3h0~4y|)FIQBdO?q&k^w1Vl%_t4{DX?##9iT5V|u^s_A| zkJb7Acr!t7gLa>l_v;Y;h?u+@v?+g)3ELl4FQ%A`ev@lku^a85D1c6bLnYGe|Lj+y z`G`dW{4AX}`s2<<#w}DKrzw&e;$v(udrN!El{T2NDu+sCJP0Hy(yf(DuVpmBI)<5l ze31H~aYX`Df1lM<&_H9b8Uk`UQt#mF-ldxNuDgpN=MLG;>P)q~+|1n+1jR~0=k|tO z&fmg~S)Q^6YA44$f99vHU-(;PW#<>hI>DQ8Kq}B-2$-4{gagP2hQl=fUAWU}C) z+Ww!#(yOd%%g+d^jluqwmahMkEvs9;0$nmjteXf?dbfr_r-k;@dQ%@J>>}a$CkYIt zm2gPuqSf^NcxUH_FTcs9mfhwl%GOV&qAAuupo((!0OZOzY*HrrW*EfN1@@PinB%17H%+z-pytv zy^rLyD0xHl$|m`?*l?=$fA0c2|1kxaqcVH znJtp=*#59faBP$pp`>!tqKb^9GF^zz^Sx-l12%0uePHiK@1g{Cg`hy|829YSo#_3K zZQn6hK=&=f;M@h|r)^X#q*FlQ$RX0fAV zV+_)FeN=ZO8SN>Dr6@gFy(xVXFK^34J;wx>p1i|wD6qd%N3Yw}5i^`x@TW%t{eHZu zR(u}4?JN8$){I>j$VfioQ$)_F9MCDNvmD;*a@bh5-DpGVzqY}|1a{BGx*b=vOtyjnW=6q7w;@w5NYkb# zpmpVmJzp!snh7kHx;EyD$KCp#O%9&+x}||eiy)CJBCW|s6Lu7 zexMT~h?wJ-UJL%5j4w4Eo&&9<9y?DT3B{wueo^X55(+#d$M}a$eZTq|Fj4QBN3}Cr zaA7VrB^k|TpXgH>)#EsEw)5!k1O~-g?NWeUp;t~7`WufnORhJUlPX#Tee61(UmT1R zzh19UJ!|tZqxHCw;V&a*0$s!5RTD|A@k}~#YtI6I(7W&?*~#;=^RacdHuc0GSlme-*e z)32jrGFBh~mBJMT5EZ!kzL#oE?(Fr&A2_NI`_6>aS5%njGDXyXq?xK&FNH+Wk+c8I z+JKdTk+F5FDEA~%H)CXSvPP1M$&YXGUrA{Gis3UdGPDq_tr0(6^#bU)v$u+EPG)9i zLX-@aRaMOdXsdkjbs zG6I8yIRlOj>3uI8!B{wN3~@@nWwPmkfzY%S*OWCc%{zrS>8u*#m3z7Ou z{ak4ITxZM~4rnP&m@Gm;C%`B2Ar&taA`iKF*cN>Te%<9$Hjfu9dbj$wU6)V9x(+l6Vhy<>7nL!fcE+^kqgQQyyON6cgVXkYhb;QOJ#RDs+n!_w zjt1h4NCm57;%@LFZ0}Y(^BUl5v#_xIRCrm7^{q$HTs;NJz|SvQm%(r~dydHB#&yi| zX!`gOO%4DQ1Gacz#(=ATo@t$*8E8A*zMplzP`>Ou{XR>|bCb?%qaRRFc`sh=seM!1 zD0(?=W#3|R46KJY=Z^TFss^(;o9CiXXsO_+YZer%%2)77C44_s24|MOu0|l)U_!kpW%L&ckebY zcA&`$;ca@CrOE3cWpFKhHaii#=U?Xn1ro9|G+FdI^A8fBQ$3mQ6EMD!xE;{X@Rm%a zSH$^Q)_u>Ibh9Rmd+G9~NB0fBQpDO4R1!rddIGs!MTCfF;w7c0g_Sy502l5VwNg&M z=otP#CMQ)f&-p)kQi>Gp$o|{L?grPib%6H}ibt))R8fIGf3}(uj(I0@`#MQs zr!6Ec6!pDi4Fy#8;)IZ0Jj7|clz;Y(i%#vzBLih`{wa!pG* zyJhdXvM}lovq%0!Ls@T};E0#HfjJk>GGBd0K74YMY5rLzCWdT< zrYvYhS4#=`(oN#JcAy`jAJR75lN)N}-3 zlW--FV=xoWTk%e0>Hvd)*pihf%ku}C0H3CZl`zpK?s6~F7Cow%FVN2NTSJn&F7bG} ztZhmW9}|A^9%-XUe8%8+I@61F_Sx&7AcYMLvtM$R%cm1~!aGm82fo?+_cb|NgNAj* zWyQXf2TVb7PRg_*Pr^jcPXCXls}868eg8+F$)hHw`{-t-r@KwZG}FyAJ4|;>cTW$~ z&Ghsz%{aRA_x^mZ>*pVTIo|P{=YHx@}z|Mm4Id(r6LfWYqBSQ-2z zOG5-;`W9{mptb2(LU2#Wy#J))Y&1*=FuEFDMiaY?Jh#{wu|TQeyt+&6})xl)fDOy+UsvT0omY@W&UG++} zRpqKsz+*o!c5J`|7bVsl-ggp3!GxH1!cvaKOMC|lKZ zap7i0%%64T+cB}`U^LmWm-8^eTCEm49ttVgR=V)f*8bp1PZ@AK4E*7Q$c>sB2GC>x z+ke@WuSDgX|Ne$7YA7{MN7HMV@Z=}n|4iw2AC{-#IxLt-_E1cu^;+BFldhMZ;vH@1 z+kG_(H!MhcOzz5#4t}?_*v$@6=jpnK5S$Y%yzuGw;ZqM4IMk})tRJ5-!*b+lM^FW_ zuhWht)uhh`MjV=!>gSpT3e*g5$KJ6McLO2DKsB8_-Z_eK!oD{GMi9>DZB33_fk^=C zSawMijQltK=g$$K$&4ASw6{+Z%u!-Eauij;qXwoTGs{aI-&vw1W$mvMdx%rHc8vlb zU$n2eYJSj-lU*=ml#G&xno(>2!<)&RU zg$-XBPG0Dbrvk+BJ)m#TNs8S<1c(muiJdRCqI6;LtTgdEaH!XU+OZ_;u^kjI`SWB_ z%=v0gw<&YHsR=-*)hp+Ack5T%i70?aNk_8ni;+O`(XL6~x@6UkPcO>eYgq%v6xF!J zR7@JP>&$zf)pdv5UR!S5wY<$m ztE!JQDC)!epj+7!{~O8|ba=mdaj_lUD&z1ow!OLVAMMa#ql{%Cjn)fH7DA_tyXYID6 z<#A#Can22!Tq&t&cLBH; zRm|angXw_Ij^4yp(iCbIWsZc{IFGlEi-5d&ps9HBdEMmcQnka}#l^+e7K(}JS=QFv z%uk{Ulo2{__+P%~#$LJmbHbW~MwurL&If?z74ZMqcDdhX!~!ztXzScLHxh z0^98!b>+EwX! zbPJ4qLicKOFb2T!9jj3ur939->{|aPr@&`xemL5_bn7&!kTuN!9LNDJIjNd+6M{lPU|MVyh?F@(Of z?rbkUPr?I}IUHU*6j3~t-Zj^tYtHaPT3KDjF6{QcRU@_NIt)4bB;x2j3b}3%KR-Q4 zQGr=r<;0?YIsSftph@NqZEpljO7I>j{F^#|L$Y5H!4Z#f#h(;n z8rLu;C|!Xm8FkzZaz8=ej8OZ#e=Jbxv&{Z-AKRVflpBMq+{dzIF3|L0a2jof^p}a``($)2_|##Z11ZrZzIy{ zV3w=r_L4iIp)j96r({I-VICAAjxAqc8l$XZn}WM}GYYo+C!5a%gaqb(L@7-U(pbUf zO)UYE_U=SDks8vw^mLvi#| zWR=cXId+`j*ah2I-ZFCCY#-aC*~P;bRSJAb0xAKQD<%`UJ7o$;q&RxQdU+&_6_G#` zk1cXj&xe(uJ+0~I8+~L>P%;|R$_zLRQM~nTcjcPx_^yZYu~VjS`tS;o!`Y}582QB- zyqieqi^GyFWF2eN;p=L)o;=Q2QYkbB#B}JP`s4bUS=mNLMh{IU57n&72=PWT;+;Oq zwJ}H5F-S!p?DcxCciwa^JMbGn*Ha$5FEgrqb2Xa0`e}4=VVkL6f3tpL8psKFWawE1 z$w57OQ1-@8GBq{$vSLQ)utW4)R_vP$he()9!*ir3ZKtmhLPC-X> z4c^8LMv=q-MIg-Ibe>?&ww@l%2+%TulFw&K zjsDp1lT|l)E^<}QW8;Pc!_JuJvBUNB_c(WLKmdbQ86y`ZsXeA1%?PXho!`q7T78>)JXruj5c_$I8+t~6tQ^uixxdQ;msy{{Vx zZy_mSV2!;p5B*EZsU{viq$*CJ(Yd>4auczBTl|%y&6Jb)`u=HYY00V~gW&>r^No!J zjFEgg%m=N<4+V2t9j?IU|I2a5LNG=F}mRIHsM?V84Wk^n6K;cOWa1tUQ0)!(VdJ;&IQ?c}xN>AVzKidJkhvF!`r46HPO*beWypp?hrG~k z)`B5u--6x?`Md&Fk^)P6fR~l$P|Hb8Mf6GB@UPK|V-hw*I`@`Wnedv4(dg)3(b2b- z7TbIR%&29NvT{riL>hTK^&rWM;sSgZ|IPgYr@$8?j&_TKdU{!g*a)-5g@rfB0Ss9_ zf1lBSmFlD~SkqwE*QQY(2P5vBwD9d!Ll2e|EO&34wjmSpzRk3Eg!LlsyMTu|*{Sgg z3i&e{b>514Zo^ORyB9joTT@ul;#A38j9S!&otA#uI{5qBxdH+y6p6n+#>^@D?T*SO zPU_&E*z7z1SdOyVg*SKpqNjZ$BhU`#$_}m$7mzqzFqITFNL?gJ_~4PEHOS2`LN)J1`PUpD%!sK94%>i#t0*HI1}WF7P-C*-7CCT_I~0O9iwOwmG7$i_Ncz{0_yrIGE&4vDvRX_!8JqDmT(g909w>-dTs2VxCwZY@VYo+kW`?|%)6 zkwhF$5Oqa2WZxWP_~`lX;=ioCF}}I)3GVUA4m{KCyuiv)kaAy%eb@YH z1r-$Q3=;qFt{u@w7ebz=-{1l)Qpc6%>e+a%gJ2PyY|mxeYy6M1b#`9IhwDJveQ0R9 zoVeF|7X~gG8fqg&nY-8`m|Lz<2uqCtQqP>lcjjQX-Pe;d#AV=~RZ|+l@J(lRQOb1Eu!WG$q zhls$I#W*QP@r(hQVK=x9y+eAQ#T-{nQso52n?mM%Y|R z2-h)`QRoPu@QlI4?A&ntS@J-NP-dai+?u1Or&OUnr|>PQSLq15R&SJ<&e?e@N9MmH zL_^GYONW7|c5ahjJ26v#7k>aN3cxJEE(Z%;!`Ab}RHlRf<3rKOUzw7Pi@T1dTsNj1o*@-xFR5~7?F#TG9o8RinPif%-)WUavMp`^X&uT z=f%&Tg;M#(B6zpDoby5tMyv9vskyuVCWzb1>Mo0s`Z|mx#yg$;7H9hrl~8 z{oyf@)D`pZ^DIu_PmjYA5Br5=h2qxMJDqlsDU)+yfvj=SJNeQaz^)xQh}pF~N39Vg0_`NKpNq-CW?5Qu*N@+X)@^vjo+Bp#Wjh5+ zl&wZlAu2Z=?idp)F8%bL=uOj_Dv|2q_s$Qj6KO2Llokz3TBC1BNe!D^2QDv_I@V0{ z=rBXq){Hw{D|9Lm03eV#<-THW6llWB-+vhlrwxN}Cu7b!8(CS-dy{}hAX;?hH1{i$ zhS%e@CPJK3VpHoTHCm9ozdpcgU1`2@0yd%Hgu)oBS=`_Z%+Ig8W*5!Oq`gR^+J;|8$wTiG=pFCp@Fpecc4qz(Y^WjcDaIdJX)di#B zhH`EzGWhxWj_#bVte-u5R*sL;8@1f!$#(eC6=Nd23c@)G+CxSt5P>HFt(XlYYlO{6sU@y%>|t ztXkHrF;EIb7tCauASUX0$zt+!U7Y)%62b`(p>vnRs$djq>iOLKWh{&DV1vc za-O_!ZT)tFcIBI`dZ|kG_B{1s{S(cdOl*hmi8$x%xG19>i6jUCmt0sdpeOv~X@*E_ z)`Yo9f845JrwyR?+3VDN;1YEy`oN^5s)`eb`A(7m9jG#t$kR{XI!^$1hy{y39ESg`HV6LV8ywd&=7Z=7QB>S@=8Hc31~q0spPauUoPq1`g?D_ zr+nT9;_RO#ML8gSoJR*Px!>W*I+Y%m`xcOh11&on8#RRtmC`=WUsgQ+iqtf}4`U43 z86#sUGJf8YpXk2Nwji#k{6(7r5_Ba9Gix+;{S(9zaId)FVSGQsgK_6yt&h?V*qgKK zwH4`915;y~(cStxL-uTyVh!jq6*XC`5g<<0W4;33Tqqh&;1PcA4z~mg@qA{tSB`5k zkk^B#u0R<4#Hjly3HD!7oFpR!0&Oa!8eMmyt`6t_N43KSBRxGO7GYYh++f@ZKV-O) zh2Gu$@1gee_fL;;yyQ5CA&)Rp$*ndh(9u)nB?H#!;$Xdj1iF{A+<-)tQWMvCl0Mo# zw}y*YB*q-~-pEEXJG;zPZd5ch^ze{mGvbJxJxgv9Xt_o?Z`OG83DH++n#}a{M=`P6 zuK5D+v^;l^XCXAq=1Y&!J1@tjpFZCM#UotTgP$DUfjhK);GYCKH#}-(`x7?IsMHM7 zG$Od8KcI6OWw2OW9J#3jQb2o4TIhd&4c~3#_Z{eqqoB^p-UHO}ItO zEMc*0_44&fwP*WI%TOs}N&<-4@u6axu-R9=MrW`j4u})&`A0ARt1*e>KDUU+&Vr77 z9F4akV)mUD8X^Z;=%7c>2M$%gT|lS&wulJC z+dDsf06pGswbQ%eiPFe#(G-CnmAW&}B`MqxJs?Lps4^@hjTG@LxdXpR;FMOi8ZaIO z`w`fW??U3g?ON=rQ3W~}=aOa&5KB^cEa$}Kf2XmWq9JbC%8g{5vl{YE7l0jhURIKd zF^FFKR7nRPV}ayKHL-C>9g2l>l6OK^T4eeV$kYGAQ0pJr9EE&Em6uWxh3p1ObsD&L@=)n(1Z7Di4h`ewb+py!&e6ol^?u<*D*6+j0uH zpsdtkH);0Q%a2zr{i$C)akh1SCrpWc3}<_d9&TQ@-$o(ZZV!Zk8nUO2rHt)#y-eH$ zK7U;g%GZ6*kBhHnvK9lrPfg#a;enp~_=rYRWzq$Vr2N^3o-JX+vdw6ysP_+w;gZxz zBaJHoh0|36|HgGHe=qC_Iu=g*FSLs_2ELd<$5UfEo-2~3B~P--S3x4ekxZCV8#4nzb{s$UJMi&kwJ!>L@-rl)K)XV$F-CX?huak?%i{v;zP*u$ zetv!r3LOA1=3VXYg_YSP3SzQ-juhZ_2PE@bTJ{BFG5hXZ--1J)g98>k463hLCIdeD zbmF4X8>S%$)PpJfN5K?xHCUL z-@I;db{4=I_k8@RRV!C(bSj^oinW+`9(sU*+@NdmXuFN@t@2I;NLEl^ZPHX4)Nq^_!~ON(8Xq!l=Zkdcuwy~Zdkq=luclrDejGInazhXeS#UDKBc)JPli z&0%|EujfbqfuJobzxO?j3J|*+Rvt+Y)s(S0``WHE64gKjCnv6K6ZYs)6^Kx0hbU8d$wk9;?#{8ye-m7Z$Q6 z_atQP&_f_W#CyQKyOT{jG#kG}U}zON@w&1jPK@KY&`n zxeDzC4`5YnY-~(VCrIW~L5hrpffeQ1I|F zIy^`6-xR$aQK5@$c3StD6lv#o6o%$bUcLUB;yU2c-`(9^q0J%#-0jtsRRhVSqC82n z{wHdw!f60NPf_r&@g~LvZhZZ20tW~&te%)ZWR>XDqASoI)2Y&LWzL;^S#b&!c_(&L zu-6q2WBBhU?p(1{WT(rrVM&SM0XkzkW@cWB{x5BvY zm{q7>W8m$pQU}F-_Q{SacFY(i+l8#%qV&BQg%JLlK_)-3xoCpGs$)?7} zMn50vc1__VtL^x}!NFj~`!8wi2I#9t&>Bnl=v<3q7u(sNDgS@FpZfZ~ZsZ*5)O6m( zt~5#wC8uRprjCc{3;i&ETE-{xdGfxWEgmB1^7S{hHo5I(B)UI1!_J}@Qlhk$hYRaf zhM%+;Eg*qFc)ip#&^|`7yGF|A(-UZpDZ*64h0{Xv_p~G3*X3mm@ioZqsZ)AR3yC9$ zAKK^b7Zy`0kmbMf1|~?phI;0QeH9A!HGIYE z;(9%JO$Md-oHm^*G<6Lcxy!QiUUREq=T5)U*q-ljT&8+1UL!&%i%wspK03uF!0Err z(BR0cOQZj`zDhusQ-MzY%@Zs}y8MMfrj#zj8&l_*-Dj&$$aldtfm6J!ll+~QvRaCK zlBB37{l^_wowZ7wKF&6ajIIc36Oi_&-?t0C4HL2tI4We0E&9M$HfcP9s3GHc8XFV-k0K==smr_>@k#IBtnTbWtzn3$@0(U2)%#+8O+`OWrUt#8%Wm~M{uK^cs@FC}$nt9b2O zLNbxr$}*<>M6(V8mE&!6=*36!#hN)ow6)WAbD3C(G|&f(&rlA+luu^;Gt4W;7_b+* zYT-!TTl>Pf-wmPlhMBFpdRB8vc<<%O>74z| z|7>S-z}4#L9R^T>8r_!i$PQTidKMj6(n4%$i`}Y_p z;8t_xpsx9txdFf|z=4V7yIJ@@q`-0P?; znPsK<(};zy?~DjCrcN7EjzRwUj(r;yk%CiYB(UcSZA`axazEF+^cI%i+g!3BOyQWXlWRrVq))vre1;LWP`?O3yGc@tw* zxP;!T2ClOhkz-D=Ygq>T3$6~jIvS0goXNldUAjPHSFm?8IjPJj68{Ip`f2sMO!L1g~VaO0oiPYP>$Dv!Yv#8`BuBkD!1?(jB8iwSa6eIzvtrN0fzsiF*1cq&S7P9|E^@RVIb$Z3c{Sd z6qc03ny!P@lV@;?GS%3dadea=>1qF|f^qaMaoC6I`Pv`nLC{uG+Zvi`l-<@zklBY8xYF-@~!rsnN+Z=a_S}(@@r17%{Zc*NS*9 zb-}Yj`>^GYs{sW0aG`cbZ_&I?vpSsH;y|}@Zf|$@wxk58hz|T)Z4*}le;zmD3=2wg zu0gz+bd&DevE58@sUZ=+fHmycy5m)|S7q1=99DqRq{VDhuC)yJY*?z8`v;uDd^^amBWvJE z`0u1aVox5-AobSv)jC<?GZ-Td#P_IoMb zw{LxB;Vr~=uK+x;^in>r(_vjR=QdiJ)LZyTe%6J+{;Uz~&_=w6O^*Kh?rM@&1XU!^ zzfq)K%H>wIVrp5+-#l{IIJ^kbup zOirqfi4W^dl)C*({!N_2bA`G*UprPBVkESIxgr_ACYyGp?1Q5z$(31)L68VDh9tdK zS9*?_GLD%~{8@lrJKhL`<8g4$9hX~3EZv?)NzQxng7v2sRe`fqTPYecf7bYsIKW7B zm1CH^Ad7WF&l6^o^Lc-|hw*a%6WB$30P&>B({W35U7A8^7{vcQyB$3a2;%&b&^JAe zY{@R&63%Th?@rdX{>}y52vBSa(XH&BX)na)u4BjDg0OM#oa5gvW!9(U(u`O$scHp- zko|^mQqMcc=(O-s&Ics0Aro_OHhT`wNqQ8wC3EZT&cSi;q!T{Qv`DoU- zjUW){a%$tL4B;!EqE0%A<&^-a+rJ4Dq`9Mqbc^{~@$;QIfqrjqC~@o}k4roT595-b zTlhzlxAH8jB`$u0IR6+qKd=(Ip-_15=v!6@kNMpC^p|?`PuR5{xAdzBdM+4IDu+I=t1Pf!0| zs07ZB8GDw9Cn&V`x&#k<7)8JVB^q$F8P&|)Z)JCK@ySs{CR^c}ot?F7xidclLNPcDudmQ37HPveO}-}1 z4Rj#}#oE}klDP8AWCWXfTb%loiXB>Vh|GGBPr8LWdw-e4@i#`7BpFphl@JsVxbez; zIIl6nA;xL@AT69(Ea1s$F?(7b&rlK)`_lFthSlbyv-N&vh%o$-{Hec@t=UzTdd~nrryO z?}6!M(@$J_9RYk@Jx6gyX8FnGOzn97<WYnXJqT9Q{@fPsSh+X^!7?pyZrI=*4#I8cOXDY1$q*0w`PjHP984?_up=RB5Cn&M4ex>Ecu0= zO^p}YBp?y0B0G@)o#6AXZD~wQ3>$!0IXE~>u8Guo-?0EKEjIY&to@DPVi445~bS@g2@fo!UiQsVpNK0K<*g2UIbO4mSGVg-|O|E_^?5`E_}g{7Yz@@g-_-)MV-;U|F8VLT4cx)g`ijV&qV`I;%!(BZGJ_K^? zvLa`(hd?>B0t$wD{@oL@=$v-cl!d;%?+gUQGfPW)?O}%O*5u^M8a9C{{+xC!xOE!# zQyQK3k+9qN&aKvQ9Ug(jm9n`z9W0KW)>xJ>Dp38YFH%;(UE(C|=9R$e>6VFcIWDsY z0I{YdO4S?^Bbfq4CP+p^$1az16s^yqaO|TeA{CnO&NZl8Jij)5EXyRu!xq}^Papfq z+xE4dDyP+t0dBg}&L7iuJJGtPa%(&;oW5nh40ehd>?}wcaJ9c{6cZ{!Z=&J4;R(%4 zkRa2%McrRJY}>DK^E7Hzw0EPAg+Ck}vR&GN41LPANSiW7g^4Ea=GhELg89hV?nN}K z;xIq$?$Irl61sqvKi>wq+#B$;8B#|5o|T*l{c>|aQ7~7?vPn-3I?5I->qY`iAuxI0 zVgaQl+*m4flIgTjqn4CDh1CWGLd7UH7k&9Y9u97a%6yr+MZn_h7Oztc{r;gwT8Il& zrM-|D%+=Y(a3qf3?p$xM%oETpmtOPz;JEGyLPR7*0QBtHzX9V|(6Wdp6_+YddW!Sk zT-7W&c-uI1g_BEvx8+?bz+wJ~$iH(&+hiZ<%9-FkhZEp>Hb7*}LtFQC;vcW8ac3A)vvUV`2rO1hT!>-Cmw>R=w7dZq^zMvD3d-3+vqc0b%8MOjG*3 z={kLL{rywU8R5Dgj!j|R>J~+qCbuEhF9Y~08yG)aTUpZxZ=Zuhzc~Ipn}0Y7JY9RB za5ajHZ1xN~+8A{Ba{GQF$D4ZdE3Q3b_K#yi4l#`I#d;rF^bPPf#*Ej|j|Y>0$A+b) z*2qYC+H7is>;_#9b;BQ~(XkVjFc?e{*qdO^^BI%>^`XI(RYvV?wY3XsUN@`l6yB%# z_O>Ka8-EkTmip~4$-ApqNd6UxMj#LS+i}qavsfVr6bbmGRzb`L!T=^bgRQG{X zBNT>BPSJkcmQ~YnTtbVV^xA;XVKLZfIVq88ciTEf?K${T2TMND{dy_TV@Cc>7z9Yv z#gmj!hXEc^i*vdrFAcdymQg&^RGa>=ws)D~>O@js^DYtM@^C?e6$eAVUSpgT&H;{-)Fl+K*>y z$I{N%8W=FW1_c#WX;lDl=OEBUY{b?4&B2rW?#`FQglb#~CDL4A^BC)7MJS?!zMp7v zKadM7Ke6Qa?D8TGfS00KGn@)dL7gVskG$#CP~wHxgcF$R z1msxXGA9Lvgf0PzhW%1~F)+_%(Pc;L)~O6f+}j&N!zTOF`FRgucU7-0>V@y#61Q1J zv0-IiLHnnGzrP+y2l{r_yH{h-?%NmP!J@-%9ZG{K2|bwF=vy#!d(!S|Bb9R^ z-tP&ASve=*Vv38OM$hJ)0d}^2o&m>bV$b=s06uCsWOa0y8=Lsp%xS&a;phKB(7!Nsk>xV`J3{X#jOv^5-8gh;v0v27q z6R}>=oZYEA&e0Akz08(Mp=Hn&|FYn&)TvI?K$QrBIdlt<#&r1IEYts}$r@*-EUU38 zzx!kB$KyPhC%n!+a42x{3n^$z$e4Mj{zM$kJ`+Bm`x8Ps{% zl18&x`n*7Y5ffD>WjJXWrrSD1L({!>78<$1rFJws9s6CQ7EzqbnnW1nwsUvqJFvT! zNzZ}@$y8US@gHd0a#b%)aP+(=SSmnU_MJV`7)jUhV*|2Ji>{}43cFdpq$MOf`CPqK z6|{6C9(8S{kvD$spgdY`w{~@u5%|;j`@`J8g7H}zzD{-L>3ASq2%9EC!`QDcX8kFR z@@5yE*}u9k$9m#9@;x#sk2z$Nf|A%tY(Uh#{?~-L8v`@LMjq}*@;YFg@si*7P%Nt3 z;p_eu;(suk`}cq=M&^+rMld$wHzk%D*Z1 zsjcb+{;zIG6Is^l5k8Sr^_Yf;r6&|3{Uv#TXtU)>`1O=<&_?9h!g7_g;n5@>XHcp6 z7v67(rjZsr@MMKNag7*J=M$;en2Hum-jAwIZVXF#g%PM)>}964h!HZ+!fh7b{*N|f zCkPn6-DU3MD+7G5F>1~J@*?6vrd^P6 z?ZGG<)K8K;`D=4&;cx`5Sbg`kaG7W9U&8QZEdQXxzD!F`G@^mk2P8aX^da1!;hI?~ zJaDuwZ5)0h;uWzP0}!%Dl|2BN;*miTc#P!j|JV>(@EN5~at`;1k&!i!CEBi0p@dkD z$RqUG=AyqM78Eo|?0l(8^C~D7*a;-WWrzwFs>3;@+Ys52lx~=b058-XVhU5)t64(@Kqgob&IHk^9AQXDE_CB7mhf1SP#lWS)xc7-Q5) z6@Hb`^;s&%idaqi_VbaJ50|3L$VLnf#o@(^_hfkj{#Ww(i_x)q3~l$*9xMc6-pcBw z>B;8Bufd=#4N@WwH79uKv@%(#eJBh8 zv3suqjm(l#qUX_~M0A_0w=*J1gsOKhKKsl1`cl9ow&izFa%IZF=(pDlw>W_}lS5A7 z_il1=g#PF0zkQ=^aTnctD4&07>Q?S~`~IP$A*?bAL|M4%<>X~AbI7FLR1NeU)3X*C zF_yc1`;ms=491b~+sN$+)%m z64WC3iIIwCvm%8>02ndbpLBNL1cQ??YPNu{m`Z8IxNVKTXBg8iIz+dzTD=g$l@w?f zFpnEj#Z!nF&h?XwoN_8X_kjVs+Nh0Ny(t9DxrD{VmE-L=`=w%*e1q6ralrV^!PXDdriu8c`34r|q596#H!aFqD!PRo0gV5f4ux zSZ?|{rFqg^na89G1=D+%yD;57nz=bVG8J6L%>NOD3mRl(P(EQm{#i0`tj#w~Lr)39 zHCoX83ce5rdkXTUf;DS@V9NZ3rh=z8FFuKD)#!e0MdYaOxZCuv?#&j}t-joi(r8$q zs3Zu-S6AkVp{mXs>=zEEBN-nosv%U?vZn&!5R1EqrRU_fZdT-C5C}61?xEBMj-^%` z7<2JIE}3T5$^4IN%80I;d0mkzP8U`+a#x{Off&G%F;WT4y!HSleG?mW(Nci zlzz)Z5(f0-eI=l7UDP+V8B%Y*#z(d1t*9&UMdcK8U8MG zMusY&&0hD~eg2gpfdwT=Gc_3Izp1O8RzBM5hO zL!#PhUtUrQ`aoDgI_CJV8LN+>8BSF&F#T?_>sE37IdkdgBon}l z3Jm8~!%EhvsDY@NT%pC#RmvCj#Mq_^Ol_JEE$%xZ8AI5MoLE_QKj(@JF$jy#RQUBz z`~DUG{PXWs9`0T`Br+cHN)`#Df;n10aepP9q=qCG)UA__Kr&JfIF!WFXI|=RH)*$V zFY(1%oaUg&=vIk7k<;FKW~nI{hNefyjfU7Sf|zEfiY1spQ4Eo=HmT2&db9qJX00fUo{{OQov^BvmKA953u3k&)jJ%Z?=jBi!+0pwPSJB zih?!84Mh@t;2Bvzg4{>1o~GQggFAmEWRQZ$h_e_AD4(t3g8kW;FGUgZa4Qnt1b5(; zO65g3@+rw9AGKnNOA1o@%;NiDh|IcSNrCCHQn_VI?SI|?0D)BQOAmI=NhXWc{h%SY zUTnOOa|A;r+!Ehfu@MoSTGaix9I3chYhcgL5F3oKFG!Od2Ga!m=dv4gh9vuSfm|aC zw}up-4mwX2ECW`0tI}t^Jkcv`kkujeTSda$D=F?EtJ3RAjQMuiiU%`SUp$nYHu!Ir zz$*;ucsZXsIcTZ1b&%=Oeqt;NuFpfX+?vZxtlNaBwT1WZX$nTWF$LJ_!;SDo-Im*!1m>5u@r@+p+B*8p6pXn1AL_6t|o*`5b_0S z>TbjBDwR#9rpeTOnc6kq87okFR+i>6Bg-+UW-nY~zgUAc*D85A4D{W1#FhWF^X2LU z;K-S{3=nFJCL|;{nOt98th?bBV1OSOv86x5K%mOBD$c&djUhMV^HGt9>p#tI?X+Yl zr*>Dvi{CS;|Cxxx*_Xm?gFt-T#ZKuoU^4}E_#N1U(VGt%j%s{%& zcBbw=OHS?&bExvl@tQ7463EOgDJhMm5b<4A7WnU47+9@lKJU`z0%W!AfZrlS_ESLL z`)~eS?flc*e9RTN=U+54CK0vR9LaQ^YVZtGG3Wnt0gf06fxMF}UL6FYDRqfjJAF!7QCN%So^d( z0jDr`stF>EM+rMPH#F%?mqMj*iIP}QXJ&V%)T$R5((sVwc)^`Lu0x7c&XDGuT_tak zwheuAM{pW4!mqnEE*_!F8-)Op;^pB%DylX$S4=u8;N-u&s)^}wW0i{F_3vI!kxdVu zWGZC2?q|^Eu(nt%%Fp$VU@DcC{W<(2^7U5S6H4`F;;q}l!uX)hAHBIe_SLnPcfF?{ z^UtJ>0=_%&7xkF>aqU;dXAdRvd}Tr?vE!9}vf*Gad1L-$tA-#V z$or0SNe@>m1>PVj9n( z*SfQ=vrp3vi{b;wvQ@bblH&{%2&W|JWm*`u=DnNaJOmyS_!s2(%QYRRnjzxZ(^V$Z zV1AWI;z$$&K_*@%Y;-8JXsl5M_Tl4bp0Vk*^SIMLsUdUCB{?C@xMJUd22X-Kzub4- zzfi+NUgfL)t~^LG^nptduXl0ACA+misU^h2q7rapxTjf!v3WbtS&G0udxd9+e=iw1 zR)3oPb|Z&I#_7w`1plzwp+fxjvl&5L?0(!>{vQP+-+T|~SKFAfnBEh9T{=wxUOIGW zK=eksQuPB*`dCR?Oavlz?jd!72g`d|m~SCq8_;mk)R5c0`=x71xxbx3O5ET7%d5I= zBAK-gyMbvkPNlfvsVZt|#3X5?Jc9=Hx1F~SKXsFR_PBf!Oe>?}V9J2Z=^F*@_T^a`h1d6kkb-^H4?7>#_5$$!DJ39q zj6YU133#U-V~$wt1WmMR#YzBBS668@ln}!(OsCVVJXGrCP=>~1fU*A1fAX`x{`IeS z@7`Un*AcN&sT??P;N5S1`(@wyisLxFxgv+5WSUoxF6XLwJK=wQ?9+~L4jeczIyC&& zH=Xy@Z+>mZ{kvS(QSh!hes0;cMm4j26aeg1(R9tb&cB#HTzAtAw<~-w#?@1|Y&-2~ z&*<#UAPf-TJ)i!tb~yt8U~kI6_uIZt^Rq!1zUw2G96Ef60X}veQIWaOD4Pk2tS;6} zUVFj@&Mbh05QTg$pU=JiRjMqTf2n_9R!=cWtUE_Xvwe60=DEwKbd z>z1jg#DDkkOX{`Sb=O@dr95ff3Fo~0Rqy@82OZbVWpYR6l+QQ<%vR?6ELYHk^4_Jb zHuM&^rcv962oTC>3(XjW#?~lT%c13uX}na-%!q4<+Nx8q4JDsLTn~uhNpZv0Hg)1{ zduVLGDoFpdZs|&CT`O#t!52V*nHBmzI;k9dDe|);km^Dx9dS@bCZW{5X3S-&0-T1D zWGFc(WOV5enNC5g4bk?9ZQ*lk$ig2iAQ3G@!#pr$CAme>`^l7$3L2wH42!sV&aj3e zI8mhSGno9vqJWg0mq19Zc^DfV9n>0lu>0L-RKPPIYL^f4En&S^j zK$r!!Q=TMR+Ch-r@@RFmM`Z_+LkZkb4N!Yok4e&wfzgc^uIk~m`R)S2qJ`pLqwdpP zl^6!L@b6Axk{(My+Me>p>yN)$ey{dFnrbyQ%nq0(M%5J2Gu>74tnDdMZ>pFwB?hPuVzdicF>idq z2_mG@@I1QG5J2m!G%{|X zVPoqOF=tz8F$D-#jb!p!w_0uX7PDbUp6eF#J)pE(AR-||xzfm`*lIuou-RevaBr?K zTb<|6^VPC}M|*Sm*{V9F&NrLsenoWxLlqO59{gRM+X_R_))VOy@pipLB!s;P0qHFy zCN;v00RW&K_<+D_szh~}BJ(F}edeE5ZW7UvjOkF8_Sh7t6AKANqEYH(pWH0J~q0m8dr<18x_|<9C zJ$v@7UAxxvJSnB;c|i~Wz>+0P#>dCaTYSpCtHUWR0F|0247Rc-j^Kmb+OZUcARxc( z_jtKRr3OSOgp^VsO14I{LdA(;3qVAH1b_lT$7@G@auxsxXu3S75CfwALN6;6eZ76V zckea;G3Rf$+q_B3K8Xx{4ix&BnsMZR<?X%^JT@@C`f(MFx8Zj z0jvdpl#&pMAeBn@_7>AU#Un?i_V3%bZQHiJd-v34XU{zSCB+;r28zx>s|HQTNAYuBH=;l!su{pkSknwP%n|GVP< zqK5OBEf>7`d;*%8p1Jn68-;M#2p|o}?HNA+%#9#$$M>Ic=IealZ@1e)5R4xlTfJ&j z=#!(8AZWGNkv7?LXc1~4AU!H2NebDo7W@19=4Yo}$6GqG9Z}Bz8=ls%!?HE_QPO5z$ZOBCe>(hDPru29g2?6TqnrxkiMcKFn`;7$?($qbYN34A$kxLt?w@OL#ICg^ zDUHu-k*su(ZK1_yagL>7odAY`6DZ5Z>w(!q8sG&lW5Z=lHrL0leH6B z1b0lvXr-elqgHP$)K;$|diXr&NlQQ@@Sf{5TJ2)Khbwc0007T*GHLIbr!K!?=Mkv` zSd&H!ImERQ8ByozwI(*fwIZi36;$*{0C?V$mr6f z13CS+Zm-(QMlEv^^QTkJ8_-nWTMBxlAUyYpqX2MlVz#e08w4SxoMy}KNqdqA2!x~D z`G-nr(?6t+$ZM8c@MWZ5NiuA(7l*0xY%Y_v`ZpTV_5u$8p_IPwzxB1}ZrZqM&z?P* zZ1&@y`D7-a^-`V?PE7hCn#-2W@RCM{(FH1zk3tgdxO2yrEn7k<_1%f8-g>LaA7$*6 z76K5cc<4YxJ9g|i<&;z2eg4Iled{X(%6Wsyx$CYSr))jt)Gga?-+3pp7d8NZxmgep z;HuwT`GVu&P5+$Iapd%2)8h*^eYDeY%=C|*B9%{pM@R%xk`O3m$dgA1!A@A9*AF4Y z$jB0NRDW?##z#unqb@3r4fcHm=rApcoGw)0;%^Z}c-gV!U%YlMN(fP_)ePV$wEJf+ zm$NJ4n2_eCrbX%{3s1LERAS@N;p;t>2Iv#@4s40gV#t5fK5LbJojWaQgENd*|lnre~(tuUpSg zHl4gFlS;P&pK-Z!&U!i1eD}_s{6RPlM?VOHV0Ly!2*;GILTya!f8#|T<*$sXwObXm zcCDu;C&_4Uk=`0pa>-J=1&p+d( zPn?&Xnh-%X7BF7;0qvjHf@BzdrQPLvQ=&yIIARQr>$1UGnF0iV+Z(pAIVqB>V9C!wxQ7foR`0WIn!>peczv& znp(eZ8KRJqPyi9#^vjY;Jb;2mkV2S?Cq!o>gt8Es)}-w=wjH28$&kASs`)dF#*q z^x0+;hniE^#@73w$ zaU8BQ3<9Rxaa@)vj_XP(UB@wPWJhCl4WMa0AeU?espC5Q&}8mRVHm3B7{*$V1Va#} z5?-eaRAE@bjOwgNO3C*Y8rFfi`JISglthlh8WGwIH`Upss`XAv;W&D)5qM!yeAa8C z=|C<-SLE2Gb^XeX;*k)Bk{{}(30-j1SQ&-@AUcZTzB0Epa_5JE#Ug##N>qY1wmFWo z>pB3aND4#J?;6f9hs_gHloy4MpEdkS7=~Pz>1#uS3H)s<&xvWy#oC>PdZj50`7Xnd=Qzjj;?bhI!y1I<4bsFoLYQ9bP<(ils+a6h7Znp< z_m~plh%k)g2~RFye}64o=ZfbB!NN;2&~jwlBm^c`@V0Opf%ZO z8^?^#h(aRLl7U&luqlVh0yQOZ-O@aZyZK6E`I3_Fhf?o$seLO=b$_v^UayBT1OlPN zCNXX(N%F;{S}uh`I8ug2J5`&42t*(RhCzq|iJ}#1{jvfIBqB$}X9X##)eh1r7XT0i z`=+Km*AKc!ii`D`)d{ne`9dzo&x*Oc@j_J{VJ&=}S`i`g36pPK`jzj0|NA@d+KGss z=bitCH%}ay`0dTts*uuAm9b(LQjky&0ux#J-RE62HZi_?-`=&W*RUEPiLSr?`e!`j z8E2pQvj6w`NdA`v3qbK_Df!|b<0*F zI_+uCxNYa1I&20MbA>4#B_9Cp-@WTNZ2ISxjw7dwr^gX&`e;L#$1&4CY0`UIx?FUU97@7}$8?b@}DWyv7o(xpoeA3kg{vvFl$$F5!3 z*<>QpD*&pSRRuEsB#FW>6hRg{ zF;_suQh&c8ucxQS^Sq}%?Wv#p`WHRV!+4kU<_o3)uX*XKuDk9!gGdHJkjZ3@9zCkz z*@#TGFfmTH{h7;r(&lZ?f9~_x;QzqKJ~UOH6+&>Hl<+?Jp^weZ%(j9yqF}Xn`O;;q z8-MkCmveu)uEQ|dg9rCA)WRO%j3T(>`(F8mcRG$^EC&E!$6nnp6t-KcdF;OkwsMCC zNsYWRh#VobhY@nD&>#%`b{l{=CM_SyHzr4KZ{PYQs~@V)s(Xe3ICwq)M0dCV0>B$z z^Lju4#J7Ct9m2p2`c~+v+Wb^)j_**_rZgeowymcS(ag-uj{WyBQ1aU!{hWxN^{N*c z^jZ?7jvP4sf)ATrBIbz@V%5rJj>{+yS!R+{T+l7IE)}pwIl=Jq*ZpSm*f;zFXw5;+;I|VW2q&=n(TdFPX zHUhNbqfWCpGVv2MAY#;*V@L@KNvC8cLti|haZH0~Y#1bTp-Q= z^)SH@L&}0Q=nTGQ7r*Pb$$qGmheeCxe5QyPqq^x97A(^}8OgIy37xffqG?_0GM{}_ zk^RrZ)D=^@_=`Q#taq7R2_=p503x;9_H#cmTz%hOAEHt@+u~!pgMLeHb(t5P6jH|= zU%VqaU&Q!0T!_&4EP0q$Vj7?YNJWpV)d8@J&u`bFIJXI;4$(vady$CPrV;8GA7hGX z>@g8pl0g#*u|?0!6aEwX3aIp)gcLBM0n~^x>_Z`*_mpJ-5K1{dId{UEQDlUU)Da@R zu#iC9xOT{Iw}UVwBH#C;MHQl6gpToPPi;VGTZbU*j(Gl4mP?WX#9XEAy6&#c28Euk6XeuP}fK3mAF?M6_$yE+K^P`#}&$ zDfjK&yKURHf4lnEnY;tYswojffio=KA({wE+L)(AM0ER(JGO4!x@qI4O&d2o&tjnN zDq6N=DI}CNqn07wbKfoi7#tXU+ndhg7p0WXe&(~(E)KxZ;2;306KRMLU4n-I@Y~=0 z>K$+WK(fil(_@_;3*_{1lO8Xy>EbKvpP;@+yTbn}i7YojRxLb_uYnRl5XEiLyM^k) zD5I8r?Q8%1<~Jwzrn)aS9rc>OwgShx@-e>tdo|OpqU-C6mr0MvmvfQw7tq-r{>4R} zFK8StoQyKcoM(qq)Th+V*y!o$$>nmjT8-Z_u<1fRK{iQt6_M&JDWHHujv}IvApuD# z-L%J`8$xt16ru*{G>pVrnD)=2xmua&QKg~qT+eaTO--(FnKwjI2*(i)0ln{UK6LIm zufK2Cy@pK*3715YCUT{FnEf|MnYhxFHAv&+}Z@ z^*ryH&wS=hJ8#oCvV!;wZxLe%uMNBr(c9j9J`v5#&VKOI9|Zu%an0)?$q)VQ$C&^` zBoH8A)5c9i^x%UJvT6oIBq=0G1cSwXty{_I`Povj6a>N1LwkzFUeJChY6`Rdi;RHK z%=8TRk5Zr&aaJFKrS1_Cf#i84NrEIP{kC7P)mp7~z24B$gAt#I4_-RsG|G-}43K2Z zOIv{+9vVi#OD?%2?WM>%_~;NxihMelt2_XH^yTmHlMCMWPSMi315_D{{va@9fzfer}x&DHyvErgh>mK`D3 zoO47hFtO@bz6UN=V`S&pVkCBq*ZRSU9G8GuVOY%^<~fA`4$nb@Y3e%c8oE7?#^y2Q z?+}K2#}AUCQ~k7vlj(e|GF_f?9XDa@a%~Q&jfXIlY$7{CNJ)Gtt|ZdeBs4U5$0F zG3k?#Kwe@{U_vA&Uje?VI1Wj4Z)}2o)1m}MVIG<<3zcljM+*wFOEJVJx?mAS!c^TA z*oxN+5pa~Kx-)Y}h6G8A!%vCVO)g7j#@-}@y~bbc3TQ5#Yz?ZrE);(nbw}4>f6OXi zH{e5G-_&g`C@h1gQ=;l_)39^Ic5TihAT$92CYt~qU1^mN5!qR6=Zp3lEIzh{oG7-z_?I<=wg}E=H{5CGmpnmrQY>-IS&2 zyUv!|(@LwXCoatrkR%c+RyN`=LSJ2B}0~s8A1?*t|OYQHUhBTPRZ_ziGEV6V#Gfsa4aUTo#-4d^JmYcf@s`!WOX7s2l!hjuP$)|%(1ov|rDZ80 zAq^0gl<)y%X(=BqOW8_jN+}d5g_N!E(XU}CB*Af3JHbxKV#jv8#k248-j&Yx$B}d; z-TU5*oy0MX6&T;At1BHH9ZBc>bR;RQD{0bbWJw~&CZ-Z85iwJG;etvdQ!}&8S3Ki{ zf4zCY4HupaOWcEqXl!Qk>(^b^-@k0vp54p)m+#%Tcf;EC6B84Sta<3rp|z(!<#S*8 zXI8)*NlUtWhsKS|tw1d6RmZ~$d7d+EoGv$ z*#g#RGb|Ajb>A8g;ooZ ziA*b%o)Z?^{g3QXY5@iSw?+(*PasBJ&_qb=S1P6C2W4frx7Iy0KEf*1@c1b5P2UKg z|LVUer81S_7EF?)l2%aQt^*G{y^aV@T(%QB9gs(_aVaU?Cjv+*zD}AQksaW)hYm_X)1)|Q)nk9CwscOlO!>I!4PZ9K=jI& z{Si0!wmtVPuJ?o{4Ec7Dfu(??kV}>b_m4r~;`$;0c=gL(!5=K`>9g_+K=6{6 zzC2Szp5rY5G@DK0qbIahN~LLUzspCHqzZ$L&UFq z>+2W%%6S(){leRCzdcEkXFvPd@BYyHE0szhP5^+$dpd$%3PmuF3qoyJGZcHM#vwsO^Lv=Aq1*S z+fgYO6l+;q^7sLbMT+*=J$nG7EuG*wPruNhLSBb0$9H@e*tkVKM9kwx!OoAL0rJHF z@+3XVIzS)12KV6rh;8TGrp;-~57)Nehgt*{GB2mOz^f9bQ7%~}oedETXzYFl1$e+> zZci5>p<>ZRdP;FXGel1;cohHuAOJ~3K~&AdHp;~;WU|Y-n7_|(D6r9#Yh#Lh_V9z8 zU=!nE6-7SqtFQ^MD9l`F)Qw9(5I@8DYgdJoK}6I%W!#GigO)Z)8xf6?waSEICYN-4 z`xZdEr?}zJ@1dpNLP?7kJ1pnmDKw@*$m%mt5UN1sa}<=Yh8*_GtM-0kM9)yxaP%dboC8ykk%cSl{Oi0ssoc)&1T4 znbv?v)l@1Xo^@wtngmV05kV=fi7Kh=tvNj>R+NG(61`|gU2na6czi5>l_Q>DFBr>P zkN+a#7ys?cXRKM5BnjiEQp%~RsY1O?PazHWU4L^9{yB0DTgC_Lyn+m&bU05{2W) zX=(VSfKAIahR3V0qgvXNHu#nrxI?RnbedKgjajmOY5)L0TI))s!Y2bN2|CNrJCA9e z<+V~s=C2PQ{V-43oHp4ieeqSKMm$zbC@RG5>EStbdU~3@iQ{Q^m9nlqArS1Rw zFM6p}wqt9mr>Ez2uYT=+{qXwhzV|IZpIy6l`K`mx^?DathZ?2z&Hwp-160L0TN(?1 ztio#S3{5AjTuJtLHRG{~LTfcWJ>6_(h@f@K2M8d0;sFA{r~m#Ru6);@Cy9(KVX|Wl zvzHrvVReC@tWaOH8Y6x1o$u$Udmp&(H_y9}pI5J1&EIx+)y_ThsdxN*3nD6|E_~** ze)OXsfwTS)`Ji6*txJTEQkZ+seS3!h?5Pkw((Bn=&d&sdloJz^N~y82$?@^=+1W;t zB+8yICWMd@q?F5+E%REuRcD`DYe9gq$?@94u0+ZkZ`<^fTW-=y0pd^Z*u;LOfVgx2 z9@i-Xl*F zVq(nEl3HjNf7ZzJhz8GMf;o6^je$(_gmo3?s>19EOIQ?3|wTf{#XbBqIDW4SUxxGdn-`BFmP!Wg)B1Bv|mt! zY0|dig*$4fDCl`qDbK;q6}A#Nu1prba%J0&+M@OC<@Ly9#cU5_lf&dyFpVpdY zW*cdmNP)A8y*U99E0sh5CQ{g}U8@7SMr7{Uc#i;6_k1Ao22Vs>v#N&9@B@;BT?;D! zR7qqr%K)H~CTSuy5fW4@QVLkHw9X8%zN8lYH1{&)1AwE{GTB4uoj)%D3Vh`Y*ML0= zfO)m|z{m+p>QabimLX!2NCZ?$XPK4~E2&&uuaq{ne{fJwEr&OJNaSH+IAqJP_6bKhuMtE^S8%=CY9 z@C^@+X*6a5Kx>_4O+L>X5rvSA#w`11HM0!55}0TvNpe`o>Ej*R1BFj`C_+M|cFqy! zT(o=`Cn{!+-c|Bs@4Mf>fB*93%lUi;=SqC%op(O(dCy}{y^)cTr$7Dax8Hudl(Oh> z>XQ-J&qhA*C&mKX8xR2&baZGi-e49+F#Oqa&)tZaRx5m~ zk5NXQ(y28fno|q|v&i&*Uo<0YhU#m0C?`RpYyf<_@*dS*7$S=P+bv@$!} zNYf-ulWL`!R#K;*BVu1)AAmVa)aZ1cY03<^|Ni@)_nXh<7DNCP!to2N?w2c!MCYDy z_Tt5h`T4RxeO=TA0N@}0?lS=J+ACjAM8A6Wx%ug?*3#p0ckSBKRqIqrE2S!x%D%x7 zAw;HBC6QUC0f983xq1}M0R^O4cnAQJWbfX60FYKHl}hE2M-CyP(uxlWLkR+TQg#dsZ`*yS00ssi& zv}G&F>C|D~hR+UG&C>uGBhl-Iz$gYWlr}awu1UK-rM33pStfGGwl?yq1`via0MfVP z3ubf6K{C$g!F{{I(!$xC+P}I8ZTN|;0ps(oC12Iir6n|C58n@6AC3f_<3CgQ{5K07{T=99dCoo`< zNv{rO`KKuSrraDjwp;gYM&5>XK*VQk?B{kxB0d0#Nb`a9%FbIvptYWy$|^~Mh;M)8 zxgYr4W}}nwBn$wi?*kCT^Q&J`Z^OKsi2(6==bcDA_c3Beq?7`UvyBW9DrrKbHKEp| zlvWDTR303da6_&4hk-pRZPt8PHk|QsQxpK*T~*tfngBtI!I6o+-cBiu7}RHnF#9D}S(yG`3}I1BD&R)`F*FFue6AxJaS3 zS%C)aY!DNT=JF^+v^~`&2PC?0$34%77lWM~kB4I_9Q8(-QzLug!?6#W9=&jEqh9}W zg6oG$WqfWadm1u^<#gX_wS%w0X*QdPnGj;zJzEKgfD|dQKsKkEsXIpSiL6dcKxFWg zLWhrSC`@}L3FaAj!~{e!j-FCe(0>2`A;i?wl)DI+p)SV6`NAkJ;-ZT#+PrzQxplO4 zeW`$)0)R~9=)u7yCoOM40|=y)#_N+;QibtT47J$&FA)(?|FV8S%v8ql{2w2N%4rNb zgV?#CQ)xXsKI#Y<7#(7iRoJ^P$+t|1PFl8-?`CzTc+Od}SGj=IUYzQ|ke}0HqFK%= z+PS7e)VsLXELrn4M$O_5*#rb3g_KE>Br=g{nu58=m9k7Un@#2?m`34RdFC|H?c2BV zuQ$K$ijQ3L3FP!Pf#soDCnpO=l}eox$f2Pj#(Ym&b)tXLC30f9EMS_cS-pzqMdvt?FG6ucQN)2F zYzh#M7#@8Tx}yfJ%B9Je1_GZ;fjl5aO=x7me&~9dt7DMd69_lZx~lu>qEDi3UB)M` zD0_mFE5(1GECGPl4?Oe;+L4ooq9l=NB7rx^XZo8zyq8Ev^8%Q0Baez^ilCC- zw}^PbnSEBT0&PG`eaqH|mM*FDRrYSXAptnUaP7&xWHHD{s)OOJw6mK?or@;opS<8S z|1JAqKD>W;K{XWuHIWno_zYG80M@U>p^=8sx-Anhl4Jz!E!GZ>4R1dvkPb?-K?Nu?0rrbW-%@~wfO-d+(do&Xrre#@nA@YDM3hzK`qy6N`2 zx8$9ak1zA4&MPnZvocnjd~Vou<860uF$;BMIRL;Q_Vt^;|Hez-?#eonDdBi{Ji~G1 zGz!N!YPZH7k{BqtW=QPyKH}=gr)+SO83=SHY)qcRA0|u9fcX!qV;?Vdgnmd0uOV3heA`wnmwhD6f zh;pqCf;+W^-@aBOc2#ADM*Q`v0R-^#?LR+b{TY3W`)qN5ZRRIL1Q;A20lW3x=}A!V z-Q)1=-+13QP$jJ-NrRDZUVV{5$RuGb2(fYK&>>G-1PD2A&;V`3=8W|les$wHx7>Ay zw-*rr;H{Uv@uSy#g6t0G+wQvKHE+C>0HhEEptWM->YqRP4*)PYIQZ80TgE71%>99=i9Q(>AQ*yM|Dp z5D0{P9T^evIb6Wo%1E&We(;e)M>rx_xjc3Gi4X4EWknDXXmD)AovX%wZC@b(Je9Yl z=jNK@zb~cHKv9~eB*yRQef6IFt^L>S0t<7Xvga1%P$9-Aq3r7i_wV*o_0@a2J8OP& zmLlE&$9|^e17!h)aT)b&9P4AWrYlN=PY>HUHM zTFwq0UAak*wpzv(cATLATJ`XRe3t_jc5O#^P%u(OI7lmPA+ptNO+EOduqQo&iaeSz zdM2|=+6?(r?rDhDw2oM3kxy?@XpI+Bc;00Mdm%Un!{93)l8z#abcVIHhV=Z@uuxQ} zq@!3~MQs|ER{T*OXU+K_bFgKXepQtAKq??;h&+bEq_*?`*NZU0kT%;PZJ`BvN^2Mu zYln-1*5rw|liNtID64_Z$A?SGha*!%ut;c$kXcp)ye(uCMWS7sb4)jRlToU`ZyjIw z$#ZEh6cNy~Z6tyZtlT#UFNt*l?W&VuHFRCDI**RH?h&6npN`r|PI60BdhK5lpK^9}3P zzxK-4lh+oDYm1!AZA9B~H$KA*xglU0Bdk; zn5-q?l!@NjqIzdnDVHK!#s3POuJ5M_kf<1@VqPH8-hKPdSbqipJZVWXdapT!5Gz-#42vToI%EBYt#@wm1%_KTQyK5l5{YD#X4xsH9Ne`Fc=L~O8kHyn zXaWS@pKiS22!Lq!gAbnkl+#flu}+OhL{bPLBsT?L`IQn7kk*Qb_8r*Ic0~*0+r(Y= z<}0rG*ryQj^{>73_XiFgeB=>+z4U~oOZxf%;Ht~7{M*lboLK_G95`vWa|$CShycLf zqS3zfivIq=M-KS|jtPL~{&Fe!0D=BhEAQXBMF{qtl>&t!kk}hRgFpnq7MQ`gfB@O& zKmejrit>$MrfNzl-+Igi(8dM~v5HC{N-R9qAX-xIA?sD@Lo(cJ&~^a=Jf(kCBv4V# zeuz2%mc5Os^(aQKeeHx_q_&(?>o= zC&vfJMoLvfcQ{&TAtNtuXd;2AwC3dpZ~Sy-qa8U6D#F!3KENY4Up2AokhL?xz2SfI zpM~Km0;+uU&3*23GrIhzr8=COx_R;7nt`hldDwYKrPmt9yUNGZT9j7!?GLIxa8?|m z+aYn}<4rjaTU#DEvJ5%M8?}YY5JK3+he``bf`JJc!nNQts*udqv>Zgr0gE=FO-s2q)-kzQOmSd|vTap*1VBL4tkH*LF>m+F4L4;t zb*mbpZPSu6Vrl?lkI6h)5FbiHR0|9{zWXE>tr&83)wN3+UcRM*S{yM-`?X;q_=4J^ z?#1AZBoMU=lq`clu`3s3G~j(nRQ_CeWF8e{FC@d~Ud!eQmu7f=c3WrM>m(6;7gDA* z0BB`o02A44W&p7B;o&UH++Kv7FmnVJL7|NtF&-`CK7Zv4*O=oo&7e*|P)(CeDJev7 zN)`d276_#E@aR;gly%Pxxe?7}Rw9A?TkjkJJZ0buo^g`h-0yKi3QZa`Xre@#``-~! zD@{acniA3N`w621;;ckQ;u`7BU^M^y*wfMp%4n@b4p2rLhu#zbHi7#jB8hnrORLjq zY>P}cW|?PK|HV7)JWF8Ctbz}|?*j$;a>Wi&5W?0iTh2W5%&Xt?PBhm(1M+=Rh#!34 zUlGx-ocUCDf2^sZN9>`2N0#)R;Aj7&G_{ZEaJ*4mIF@14qZE!~rcYo%M8-w{pjxeF zS?1y!jfPUHTCFylO^!)~Op*kMB#L@>t=iGC{M6NZAJ|o?RF3SB)58S>OO;n&hjY)R z7_m!pWTZyQ;$hh;k0!J+KK({OafSYc*$?yR{k>>6kwJht^Q&Y{f(iBo?qGp!$uU@rp#|{mEh)U~xLlzO4 zO~*Q~BhdqQ-?e(psYn6=W*ZGeBxUY(Wrxiy%ciHN8?wR2<4#OW+;Yq2iK(eHO-3fi zd%L=a#zzRCx3-A+H8e2_M7&}7Z$I(TtKRq)09f3+xNmWvQ%t$^_x|k%z#{6y<6}Lw zdZe&V&Q9}VGiy%HOe5mZ_(*+0*QT3pT-aIj+XWGY5HgVf*vzsd%M>W3HEG>wG^CVT zYQ={h5D}SuUgkJUD-TT7(BM#gQC$j2q^G8)8nca=*(LzklYco`&eg%8LH4XhJiHk% z$CHS9yL$j2O%ebY92I$q>!!za{-irKLf%zaUvxJ6a_@FSqQl`XuvnY z<0K01BxItAG%4U$+M2v(fx+KAPDC0}fg&QI1tB4d(@!~#Y%qe?hAkoPnOpfqjKE`K zJ{yMcP>^r%ua`jqDlG$EgR?9R2-pUqjY{=#A4^^=!wjGR_yxswyO)o{drBcJ4n=O4 zqhpRak=zCWit?H&c<93|g^e{(4SX zOZg8BPsHrCG|VMMEwYUPtadaP`9Ghp^0egP>E(D_X^EPm`{r89Y7pb$UvXzI@X)GZ z!I<9~&onPx7v%I&qE;;uOJ!T}Xd&}Kj8OqSg$#igwIaV-i*!2bN`>FAtvBnLfAg*V zoDKO)bN(PuPHO?3B=7%VJNqkNxW-Lre9$=x^IL1p6;-?iPzWO8YmvV8!yW!!@~Eq} zpD&_OmKDP8S_gk_4qLaJLv!SmSw199jNEArM0Cx+Z*!QbE8R(hFQDMO9=u7_6LbKC z^EWPGrOqzIBOl6{Btk0<2vSIPL={44O`4QUBp}?f8~8?h!&kFljD{m#I~$t<2mq&M zrn{_HsT5M?+DmX%gRcg=>mLkny#JgwnAZ@49MH9^*PDU_yg;jwFh6^0WOB@}0yoyy zty?#4+*tHe5orG7)j0zCv5g8lJ z9aC-Kg9TWZvYvN2H=HkCcUL{fjO>c9DKt;-745l*`B_1j1z5ET%B0RE7XnDbHUD(& zWv{se05+^!&!}kll_krYAUCXA|Jjdx$|ZAH$;j~V`~UuL(Wcf~zx$nUKXuJIfSfaE zci~UZG$a5LOifHSXB$F@iLr4a4FtkoSVZ}$kxCBN~4 zv(G%M*41^^S!WTzrl0-nU%vL0`}XYw0H^H%!jRo~1|PV;}#>&O>{hy3Zsnt}m)v zVAm8$v3l)ZIGJYWP5wJNF>WLCe4I6fWm(1NRM_*>z=2=fIz=7L<`QE~?u}cy@y!e# zk*o<9*DDGZ81Z?FRv z2ekjU#Xn|ywvB*{sErYEWf-IzXM%yu5#x@_^<0fI&;8Qsj7)uQ2ijv|2XqwmNF z&j6Kx2Ce`AAOJ~3K~(XBv$K6_ysQsfA-7$Kf|#(`3r~z7wd(n$ufoVusWt-PgsKIp zZQIh|mAP*K5I~wpGLs5vAjxN<6E!o%kmZg&L*@p-C^v78#!z;d5U;c4pfjSmxt>*G zb6FHZM>S=2*LQ{m08PLr@Q;j5`9%jPBG6`kKIV)-CgxYN(Y`B7g>v ztd?j(fqdx#EBO;MG(0PWM0*px#}1FrT-)Ay_sIB|r&L=k-0LSJ6Qgd=nYpt*%rIB0 z@?KWZN+>44Hx&>;TcHi!*lkisv}Nnov(Gx~jh9^hseii0WorOXfq?MFKYjft|LK~b zSi?LbqC2+Ux$(?1mn~gp^$G!KKEG?*-5WPz|BZQ<%pjb37dV za2z>>V-+?%?CI?Yi#bZ=91rb+5NN!qfTT>gPc|B}LI|yOlBA7B0|0)$eG38zvqK-c zYxOM4NYT>O%Xi#i&hu81tltYh8W^q}2^Cxl2VX?4K@A|gdnN|h;hhcwu;Nu#A*=KVQmoaLZE zODrNHLs5xL`~~Ntt^+P#`Wy!$6c%v~`tt6vk<3zOXS1(ACq}go#>wkPzR4Wc#&bO%BYvS39@c;eLI~Y^!cTvE{rS)QP4t{_4UUYWG1HhB zo6wpFNM%{?($!0rpZvfB_iw)KM%}r<9037&zW_jXK9z^2E`H^!5b?mi0U<=STA7}l z9T^#4y0pJisqp<+uCcTRt<~u8;5`R+vzI7yivJxP8>!pFH=%`wk{cx<;))_{NDuNSx+T72KI8Xq#U+j#fHAoD6yl?K9rcEZfHP9ptVr7T}3F#-JGi7*k>BThZ5`qqCi1{h*03^r<|sgy70ma zH*MNvoOb=59zh0Cl>e$Vo>)R`bWOZR@mwFb#LEnb6M}tnPG`QoKMxq!^v4nck7&}Q zGOta_P5=4i;Gq+RpRGOuuLOeNIx;A$oi(ma^^5uY=oEMj8bB4^sz!VCyi|`Wk+JY+ zI|V6%G7IRHPio#%^5aS7XlNfWHUE~OrM|?MWKu|*qbnZV66G0v?g26;mm@bl=Yf5L zr>FQuV+pl6&k=mN54~lB`jid;0cY|#0?a}1`Lb4=1I^v10WA436FkguDj{x zCs|2H0=-j4&$(XwYY&4ln9jLFO~W!MYU%LiCLo&61)Ohqkv6()?_kH$qc`x8?k+VF zF}tyP%yJej5L+jXimO1Q5+N09|G=+7{$(L@vEyZVHAqK#Q;-1nvnl17(?)~CZVJ9E zyIx7suOA~AGwb(OfdjJ0}4E{c@zjTyA%KNTg z1N3?R=&p0#43>bgT3nR`U?TbnI;8l2W{UW%uhEN?aJeaY=t%rD1)N3(r9Fo-jdZne z*^kNm5ps-@Jdtobm5+S}LEQrW7Y+PwJu)5csPPM&E0-5rN-~4Qjdtvoq91mvfzC@v zwEjbPckUanWmV2y+~Z!$i!8^Lp^*D!4?@o}BM7m3=LB1RBvTYt8Gnq$f6W4MO$=q6 z!8>JO;>GY=hF18A$lY?cSD;i@e)vC}_t&!bm)q~()m2R_KB9{j4ytKU(vAlw$2@I% zy=I0=am|LxZu>nuMM+B|k~)`g1bG?Rz20}eaN+25n$D2Pd=HSCi??>9s(>2>Y~)+A z!{#=Ds-_LnN_ku&N%MYi4#D*ODWyW*{A9h6#}XoZ-6+lHa$w!*%`+{Z9dGw@2)ck# z3WID~!u&5a7~}}q$Y3!MefXl(zl2lv<20^FZUueB!h@cr)-SP_o%mYARB!QhmCbs| zS;onUtxb|kT+lx<5-volmX4gP92&e<=dqf&YK0Z{8)+w~(zAvT2 zJgd@ieybj*9qP39GKXsNDxtH9aS%Hi%`nF-9!_5W%QEDs$?JI_sq^u+sFHogp{y92 zayl8IOW179=3INvtD;>bmN5BhhNRo>c&A3w%W)wn@3-zBYBuqQn_`2dix?FO>S4CG z-dcTA_~5970M$Q4YfW6?*@{mpZqDXl;9&JvH!8x#YOuX0>YC2IKlHCcLIceP#NijT z3b5bLu!jc6qMenPiQ8~Mwf+$(<;aLh?vKNsXlw{yR@rcH}gAY-{7=D_g z3UG2kn{WpfQ{0~h7#LE1+KN*A`^Ue>ZBC(XNGfCTX1q!`=c%O;;vNrI5F335AeQ zD%hL31uE{7SVcX!H~|^^#DX*v@lfC|a=nAK&SNi&03skS8}!mJRlnT@wJIrvCe5ka}9^ z^8<(Iti%Crd3Q@glL5tsAfM|?pS(ppd1f}zkj;nQLQxt5Cl;P3QaNZIt^l^}FXEwy z;<5Q=sYanrzs)PsCIaKHhnlpi;%D=bx?iG#y_w||O_b0X$3CJ6aS7m?d2%F`#YSAvYcaYG#=m1a|6x)~ zLNEu^Qx{xq+>^_nXdhZB%^z$fcpaLTEh1&59|9t!!lB4$_PYsKDND*eqHuOS66N?zg`ylK$Niy6(liKHq!XLHKO%IAqO#HyG?~S7NG&k#1au zN>1`YxM^U?O4qVJo;G|Y>&?BmIu3dEw7t&^ax|@I`^|&7OWts?aa>YEA%3zRRzx-Q zdp*pgXei5Z1MWEp{$jUUqU_jldxGR?TV)B@cw{f9Z;nWMc2pd1Q| zQTN<&*nD59%eotvW_xXJ&4w2;$?&+y@$s?Luuc#l@m**wYow039=D(Da9W&dlTkUsLZkIFgGORUZyY8a$&8oj=p?Uot2u&a- z?{f#bas`s2qN3;ncqZvSh*iyJED4ctpzH?6og*X;*ZF@ouVOkOHIKgsEyBEP&XrF3A$#s-KTH)@xG|b+2nwJ6?QrJg$twRCzjZ>-?Jmf z4k){7LlII=Qz6;LNOgCrNImNES0J+MxiIp$Zq2rVmbzQe5~QzV_9hWGq|EsIP24Pl zra(yqq<%VIW>2-8TY?vpY9M%%`CY%xktk~`9aKgMc$QnO3txr{zl%H&?9?=U5oO^F z52>1X_-)_75m+VR*gxm4sju-M|755rXA__Q_xZaNx_;6}{o!Zm#yi$;1-!9YcjPub zA8Cb+R}H7X3PBUxHDfURN!4iEk(i3SY+FvpN)u<2JxnHU(3VMluB3DJdt3_`^6zKf z>%6e69Y%6AA=7AHUa$s8*~6A}?cd}{P$rT{Es$8Z~g0;c79>uq`6q(0@Gd_hB|DQlzEvfbUXN!M9!lILsN z=AWQSb(X>ChnsVaDOE4!9QhVs0Pkl&&z%B%qO$Txs2%{K21rO7+*Y2o9ta?zREQmE zE`@l40zeQ6k3k}h(9PyBYAq_GKT8QwWKyK|PfVULcITK%7eNei`NCB@wQl%I?K4~~ z#8)E)``4#afvx$aqDkY;y!~RTT9) zVn=49y1RpQaQ;wplYzMJm#0XxgYJ1PsU-{k+cJTj^>Z7Dy$+Gya!aK|z8vK)Zb}P{(5CcGAqE^ZC7fGg%1~5}&|~M}$Aq zfx@=#M1}tE_LdY(=6U}Bx4}>7yIS@j?0@wnik|83&UiIg!S`Y#%I3d0zSEiW3PNLXc^PdPH=7ve|#Zpa$^?a#uqFI4; zi__RV#LGmdW9UgA8W{@l{%pObxD6i+7wQ*r<>xlUrF<(%%J*C_H9`@i0QUJJHW%;U z;@Guc0Oy_kwvl(U^3Q_MYC*xlNT!CK;b4>(DQvVNUF__v5Z3$=8U(w6k<5lrKU*z8 z-3w!Oer34lm4=Vs7~*I7#B~RWglD#gQj$}kCj<`6RNkrUf1$VFV8npMJ`b<@5f|8d zes!Gc66WydM-5I5o~nfbMJpUoiQ+^zE8tSs3KPd6(U;PE60RV?7$1R0#8thNxWQ1| zb{~24D}>#q-)%Mw(p|aoOD_?R*5ThHZ3%ds@mSknbFVbMHp_IgL;OZc%ni*{1C0fE zZ(!p{4?&?@CL|8YseLV&G{GVhIVm>FW*q+;Wma_qXKBmMa%CHxZA$H@D9L9XBZ!xB zlid?qTaf3i596%`Pohjk?WAHc-?F07o&2sS^bKa@A5$&SwdI*JTYXz40)1epxa}m$ zeyC=+Xy1dqHP|#sTCEaSsl$T}?QtTD9C{~YtW6%4t~5UceDud6(8WlrdfU5zJ74Gs)zay z1zs7TwU*-cd%bUi=CN}D73@e{Ru<%d8qwfB!xGuF@+oGbXbE#BA`qm~c@bJbMaT0n z{IjcmN&BV{${-smJR%CJTZ7&MM*R?n(Qx+K=ry=m{}Gu{f`E*_qm0$GT^b2=f96sA z$pZPmAi&6NhQFWe3luGdvX%V#6@sKjYJ+2G5;VE+FooQDRN{8`ja+I`=)s?B+7>Em zr7+CQfXCqM>M}u!h?{kkio{svI7`gPEmuJ7%>>PIW@OwCpC)w4P8!~qMqoPsO*8H86!;dD-<#G zGad{j13kV^l?A4N1c^YuL_@Dsyh5Q_?3a<@@rRwxPLaGABMIc3czq(sXWs6B57JRL zxi2HHWwDVbM}M2a7_b;W6HA!I5vj+ps+4FexGK!Q)}=ckq0azgpasVls*JLlQce1! zs6FJ}Jro1I9GUDmd3~wD0rA{Zt$o7dze+#xc7o(3kkr}gzEt5mI4$@b<>Yuk!;zVW zr33qO6d-Aef^y|Di$bS-Lc)ZvW&IN7TYZiZn?Cb-4m8{F?Bg?=#q&L->y$dH6^471 z^at8cqqMzyF($?ZvrO;h=;wHI+VG(1^}*P#OB;#*v>1Q&Y#8t%~EF%Yn#)z=s?xV-c8)$$kpLL(uz z@Ms^2M#l)efUjFf_=iNl1%qv4h04&so5SXj*HGW_ti9I%d9gNqRCz_PXWZ`O=SOAN zgkGE36cgPK--Mzh#PTk=k*Po2@@~YSxNGQN(+kE}5Q+pVC8?^9ozDWEya^kE|ui%?P7dzPK0?V)k)R)5R_!h9?k;aE2aM zZ#t9^srwZd>810h2d((&f5b2*@d%OaVBW=iVkbq^)&1;GQ6Y|4$A@7#zs^}%_0?We zR>i|vKm59c8?n=~M=(6*&PMrb$LKUf*Gi6&JRw8v`tIu5RzDE5poI;#Jzc24pIT|w zTX+2@OXHAFrv2DT%L{ZUXX-KbIH17YPVbxd-`U@NnHH>rCps>dR4zpvlGvc!@5+e1 zdhB&Dn5ap%#F1wS$F@ybM)gWSQEu#o03#CpzqT#_62T1aZGMeweD?#AZAZs1;tC@2 z=UTEP0TkllV-yOx4Zed#RC5%+B<6oNL}qq+a5)0zq7>ro3hm-lN~*aHl7H}D$!M;u zkjc1o`r#eS*O*1F2*Bto6hZiO6o>M^L}X~!mxegc6_`HpS(K=|?I!Qq;PY^^!dtkS zu(aT3Jyc0<`Hlsx%Rjb!B9SE09Lqu>bq*@4OFRw3L84*dYWiF)i;F3Pi2VZwOvX`y zo0ei|t@?#TTG7$wE_ftFAFGdF7FW(RC6Joz86hwJtt^*R^D`b5l(U6NhH%3L@V@S) zBYr@$7Dn*)CzNNY&~C+ms-*)urBE}3?L9VlqR2v6`nC;uO(qN=L{fQN91zHY8UL%| z8B2Gh9Z{^{Byo$!H!`WwDiA^E#HoUP2~WdCrh3z+_zbcyzto(NM2c9*f~j7y%2lfO z0;sy#q^7OFr~H&^QDKy#B0t@wE`{ZDgcJ>kA4miR#8`dSI#~?}myiXhgE+1}+W729 ziU0JP%A=W@e(#?er47k-{*wvz=@^PA{stoT2SFMC@{@NaZQ+NFhZD61MPJZ=Pg^3E zYX0C@pyv|TYoU9&9Lq;a)*bokrm3vy>Ywt2Q8)L+F|ipR!TpQNS;!8}n`Az^xLBPP zMbCARTpQF{tvn{FnU8u-*>~r>4(wD4dHvQ9h=P!3iLl?qsoBhmY<%@63!DhimYgFl zgFsXKqSQ7?^}AU6h!5iTNc2Opqu@APyIQQL(g1S1OdogNc>Nz(XReV*4kt=Kl`RVG z@n^wDCmUe^g@)vkfFzzT6vpkebWQ-Z|45FY;&Q`|{G596LHa|7hr@DixNk zUBoD))PR(^HFY&l0AI`(s}UPo0X`a8Ge{PPD<&jEeX)U7x9gii>Sf4RB`5CiZT?n_ zYwq*Ro@Xi(rJV@q@WXdtv{$KqUS_3pKR*p3r8L^DBc6I%0?efL3p}X|%wlmZ6Iuo$ zLA`qw@t&rT;51(ja?eAV(kfrcu}ZoS zZpU)<-aK!5LdSnJg3QNxTa8MLMopl{4~$6@KO2JOPXcy9$p3-?qJ~9wAC%m9tH%ku z_j>R%t@`$Q>eOK^zKKC~_rAHN6(Z&-0tlpJ*fFQmT$MS(P^{u)9Wkm>+>C??ZR!7^FF+8iVw1Qf4ak7uu|-F z)9Y$Q*{n$6d;kJAwZ9?D!u+m zNT!e2Zpmwl|Gn0TZNnWiO>NRudv=|Rne{By;=++9GM&h6TRb?Oh^zW|#+&KCM8Y|k zGZ{=a#&`z>D<>qBpD^0}wLCoJ<acA7G}7-x-4Ya>O$5kT{AHBciuOINW)G_3+M!`}5>zz<=GqUx3#VvDLvAot zYf;ZkX)*8&T-=wtvDNgXqClBA85LT*O2Y6_XzkdFBJd$&%S}soXcKV(VH9%vdI2ah(*Yw=yy)USWmke3Z>9g*Fo$|HYHmAM|%x$y7V17D2UjX0%y_ahi`~ zqoLZ|-7a_I*REYgr}k+%=>Z>9abtCuS1ymGci&OJe+A-SF7w@kIBt)QI#ynmNi<-g zMQ#F1jTZ=coL>peVt+sZN6a{dc;K&}BjXji><$!l-fF|p2)Lug9&=?8T!2CY$@Btz*7YKw&n5zRloO#0m6YXQHI zFVpuw+)v|Q8L4N#x299PTh_3PSgObF%tpg`mt&(FeoQ32I2$f5+>lZi(GoL_fpL*> z9Jhx#AXxrX+kiI^FXa3u=TB(Pq4PfnsbQ2W*uc>4%5Rjv7s!g&D-cD zglX%hN}+syGav+f6O>m#HgYZ1Kx#1wrUY0=1Xk%VJNcC@M*~VogZPl8fkT)#h^S2`zPe$VLA|2FaV^4J6#`P zMUh=IJ;#!UMRc!?1{cRK@bfi0Wc5jn*tdQBWhRX(^ zJx`eMo(AGusdhPLfOi0#cJ9>U_Px$OuJ^YN>y%LEu?c8z|K_cin~YG0laiv=rk9t~ zsfXJ|om-L1c*9dvZ-4*bs)s(l>r%Lx!=iM%Q9|pEUP2-`5H$KLq2~=EP|fUaBcTl; zTDS^*?%htbWk%*8IzTL!VfkF={zj7gQ-`)7est-vUZLa~W+h^Yuj4gHw`gqRLbx}R z=2#aoP&PqfOjf<+d4sT300UhI>T1KaO&2m#wiTrS~bUSN&7RMgvnjzYP!>Iw-e;gK`^* zCrc`awrl#OqyvrBya})iv6v+DY$+$bW#ySa-mF{x9~PjLb@|i+^b_goU1o-heiF7go^^uVor65 z3GmVQg|fxXqy@k_vEAN$P-4)~aw`%I3!?`lU=~1k`ZUs`tpx!!`~y^OThredMt0tv z)o|E7s~`ORIW^oJn*)J^BAOrRJI35?EMVLJ`Q7nC4Mk9Q0@ydLZ*~+Y{4}@Q_ntO? z{`}L}eEC9FsoroRx#<4(DXHT#Sa@mT5GPtxk1h4m^fEy9eHY-CKUG+JN+Q|<8(?1l zzVrQC=xXNV&R>eJud80)&@24dZ>w{**43s9lYMMS3D%Uy?&I<5Ha8bDc0uw61(0}M zLV;Md&Yap4ainx8xp2?{JW9oFj~~K1ZUj)V!uj`>6=yj0iuVW;*;0FIAyeqB+96w@ z`VgT+G!#)J)q}86_m-u%IF$Ua1f-EHGwaC{wo9XA^ia2p*sG{>Rh7Nh>v!y!OM*&5 zO9Q_<$;<4)^ncq(HGx>y#Op)s@)?A7yQgPelkMlP$E#<#+VdQsEhlq}MsB zMSs$#Ot{PC2SdyIEf{hJ@snQmtoLy`UQr+_Z^B%x+<0H>Q332V^v%m!CQURwSvgoK z%n_t!S?K5=X>ra7819Ed_p5!sEGOtTi{trn^rE{2u>{(ma_0(|-Q>a_Vf}*@5DQp# zhlMz$F{I-uW|zNhb{H*oy`O0@O~9wAi%mB*qk%r6esF)-CH{$3l+}13tMmAqv|p!l z_xr|e&plKRM9c6lt?P9ilANCD+DDm`1-Lci@Ic@;UwiI-a0DaX%+no*z6?5DxCSG= z&vtp@ft2ZVVrT}yuRb2n?+;PhHThLj>pZKa&r)nAdC9qV0}Bag)Hi}GB_Q}J2?6%r z&t1f@P`=R@ou?NO_-u_+JuS#;Q)@31UT<4mQ(lu{8rT_&N9=a476EzlWI>kOyfWLk zKGMYZHUvx_xqc}NYVwkE$bI?|gXLi8?z8p-dxy^vDHP(%=q&vM)A})k8)@m80$9PH zK>=7mmc(~G6V?8DQWnP?QzBINm~g9pqXnpP6^G#tj0#=J=oyr#Yt0F`h&0#@X2J+h^VvdPrB(^8O1zsF!8fX9bX8F|tb;gu6 zZdna0mti$IBgK;WbGr$wa9}S%xBs0#Jq0Wv9_R9I$HyiUO<|U3WR?o3;1F2FkXy<~ zUW!w_^XF@Rgqqf%Lqknc22;UpCIz)@&*ZjnOhxx`St0czR`)Pe2%N9>8B#`UV&cBU zgJIF3sZoWHSwJKx!LzBUDRS^`6D+RKMoOmSF9D<2{xJfjeyy;H>Y42Wui*0;(j*m% zApU$7GHPYE`XSUJTmZYDEssJb2jZ77AMB;$FQ52mS=AOZqDj6p`Rewfy>(^~Iy~6^ zTlj;13;?0yk6%Gi>v@GqE1|hZPWb*h!dNh0~)+9DN${b z^{RwxEnc#^ZlLtFE=E@B^BW)#+HsDHT?q9c#|F^8I8t>{lIc;9uURFMTK^ozXTSMC zfM|p>zchvTh{a{TmpWB8e{!8b>x|4lb@Ml%y|Cv``jG0Iknyu#kTMxoPT^7W_NRr9 zdA3!dpQ=fxpme)Gh*KvoH8#7p}SQf)k zM&bM}0L-eExX;#w@WIJ_nC5ouo<5-?5~jZKFrYd@B!x2#ys3`3mV(dNMMD{L-KYIuPck z`w<}m42ngVdKE!bL%~M}-5QyK(qCAemvK|RQ zFY{a*Hl0)@)Y42=%Wt})R=OY(b3sl-FRw@@;br%#M>~t79N9=3djF#c;u^&F77Iigkv5XCqF8P z+6e|Qs*D|AxS6-v!;j!M7k2M&ZV0Cf6*caih6MrcMi-?RfZnu-G}#?q13wK2@s1l= z6J{DCJXlN})41?+FI4AQmGN;MTDVF!&)P6^?0{j$Rk-%8rj254nz>{-30gV5CyKW8 zG(9=j&EPqnZD>mocwi}a?8j!NHGa(1mx^C?DM-t55=QDq6H;RKe?OM}5s=W+Ktz|< zz$1nB7FnQ}d;1K99|5l;I_O&})=<^pCqRZZD9uiJEG_J(%MMQIiYZl9wtCK`sm1L` zeK6{e*~_pxP8~5e6k1kCocxq+`ulSLorNZ|)gmlNf~F}KESu4ZalY!(GGVyc9GWD} zP0#BZ9E!Xdh;4w>E5`9n?j?Kt<7ics=)Q&>$U8d*Z4v?tZ zDz0tm^?tqRj|d4M%a&3%9={+jNmDUCe~IYPKveJvj9X+(20h9M_?I|MOis3bJ5d+~ zWO2Uf)T|M;pn$xoflACW&;eGLN)fu^j8LO90p)V5bKUTJg_8!pX3(gc<*}|Qhj8a# zXMhI&YtW71VFu!TdB0CR3TlbtB$fOGKp= zhbp`geUv@q@{2sEV^flp-XO{vbx8NXXt|g9Hz@mXH6fK6tFM&>8#Q*1A zFbH5t)RmVwY!rQL8Z3WBCItj7m{{py>{0UcDrmJNltSf_%})!!+{-L!%WRchCcj

GZ)wFIrgi|IiO}GCcFkjRUM6eOaBEvZA+qe-6>t-?w0JUY;H+NK`=V z+y0nKdf4IHND{R7cR^|IH>g$5H+TQs`Uym3qAD);%wnhrSa~8-cw2l|*`#45Sk|}F zDa`7YLEa*H=%lXi9&@))$-eH$l-H<#-RS{pATpE??NgE@DWKX0?1bE_0$nSG+rYPmFn<9S5ZQx@P^FE6EWh6QSMO+0E%NDxUuKJnX` zT`U{Q2!8Gs)!ug5p4efI6`v`{^hsugK)O)jw8lHUsKwWpI@nYRMrw+t6&bx!?$?El zLq>Kj*wlxXyFfunp3Qa9-WN<9111y4xMPB|4l<_oE-%;+hXqa0rJ0RC*jB(-?v#<; z1z>kFt!4>gH|P`A*D9z#unA3JaXZs2OCmx&{2LH3c40#_3{h#kfd#>SR#muZ@9-jA zi67!hZTBUJ{Jc?@aVUmW_&qNX*>*#8@a1xL)o17v;a}P$^WAh)+0fxt7Pj3V-a}-y z-i}1hOCDQ`YxhY!E-5_mOtoLXX)b+Mp!e9HcfD|i_I6cSTz@WQ_;@86ylNkK9s{MVYqNC$W$Qouw3y-A_N$JLjzDG1&6GSiyAp>g>Zdt5Ie_UX zjOUEF$IsL+Thb_)K_UlquRCGic@NX=yXkjx4tFu<*MAX%Htrgm5*Av`N60Ss^z3x& zrDr@O-8Nqao0Q9bb)^dboszoVm_h9$@5^exgTzs!B&S4w72Bt^|3gm$!g7jesD9s9DB7Frm&dcPYezl zmi_C22(Ue!Z+gxI;PB2Ng^2Grv}HwQtuA)mLipYX9A}w17)M`60`fbvV+%bUz9wKH z#w+I`1Zvi9>`Q7pToG5P*7SZ_DXiUMk)XFGz#a}j;WCh~c``1DCDTtVF|K3a*^6un zM8#j|e44LvpqhO4sE8-JcthiRxg6rXW8mwotHLkGo9M2<;8#+I0U}8B?rdzr zm?tDRDZSA+f_CNmB2_o4KY#{`{3_FfIiGabx@mwA^b;2b#2o-f@$Wm=cIeoqQibxm zf=8NepkBrO*~V*#tY@vNttjJ)(LJ+tJ~(Aob7!f$`ulm3w(GH3Q3iI&X3XC6`Q+2< zyowGX`a**tx|eE&l8oAdr6rc3A1I&)dAUV_#8`qfH@cVw$%l!lZt7*d>GcGq(B%_q zyXSe5j1Zu`3Gslqz2+KKWWP>fDLztyy)zl$R?`IxP>6D_jUca2C+MBiqP@US6 zfMr~y_>troi+@nJ`wOl`bWM-$^KSUW6qJP@!xBXd8>A!U;^|d>orlNz-rpZR@n=O;eiIW9VQE=gS*Mm33;61v{uM$8jP1uZ ziWp&=C_VFvw)G3*&Yg%1nW3hh=KhI?8@~4&sXa2X+?aWwAKV5YgONkOdfw`^|8_hX zUVD8nSkK7@C;x`d78Bbg!v?E0m9EI;!;(bjNMf12XE=`VxY+OhxM?669>C9KI0N;YE& z4D}o2SV5~I%5Ky32k0Xk4!83vS>YTZrWO=!!)O;bZx;0SR_IKFV23#E&Ctc9TBBII z&*b(MY{@)o`sSL*;fALLrS}lSqiu0`J|6b<_r!k&crgeG&R%rKUIeTjhI0nV$9d#N zu?4Fz<;|GFH4L26aW=I8W@l#0umQj8p3*ZD$>!sffDmQEPsJ)Fj_Pr>v`I#x-QC?P zz$|I=VUR6Vj3N9eURrSPLKOd6ffTZ91^EHHppJ;>&5wwspkG+z8i3QW0^$C~>Bb2X ziWY$Oof*88#-zGr*g}e*7r3~Lvt~2TL${C-v{*a281r}goQ7V5JOK#kiWEjLupUI} z)lpa#%q(}{YXq(izI-`iLd0R*K%s@*IQW!-sGm3W%-xyx_A6_6Kh6t3ba>AS?;h^Y zHNGV^&-Zzgvn*&q|HYMPXfU9Z;NJWA{QdE~CWgU>orfzgK2|}dT$6)^=X_~g;m>~P zCn}lrt;)?mtIEgxzoHtQzxYy)xmZ13X-IhfT5&o9v(a6eExkXYbx1mgP)K26Wy-TS z@9IE#j|eK@#eurTqxD%SM9UcAKx7={2n^*swT?<&{6x^3eIgxR4#-Cqz{zVh zN@Xy*q|(ts0;d*a%aO*^zkIu~!fQMeBusQeBuXlH!30sGB|$~!h!oB$86*ae3Q~ez z4xFr9clK2*murP3@PyIHDuMd6kNA}hG$d25L1#(J@gdEgREot|(ywnzncpVt;R+BL zPaU)!Kft#o$a1@AfjlMM_sd%>Q)dBxdQKj+xYB+&XHf(Fuakp4qtz~g%9pV1HCdqmeZ7fN#o_f)ibJNR)nhtG z?72Xm85fA#rrXHw_qTz=7Yw=~Gmn!FhJ(xPKH`UA1iKVZ>TxVkqvdL+<*H7{!$6m3 zVOW|EltpSrP0Mk0bF=f!!Z)3l*`&VGylW8pZL2ni|At^6=KC(++pZg%*L}*A>*Uf> z^5&v0lEn%46%?rb*3w$NanaVOdhztpk=`CQR+cJKrfdJHiRZawirrv$&?Zkab~ref3i$~qzo z1D%m_8U0ReMV2L37IG!FM2LWuLw!9)`8F5cbfZ{oCDB!R)?8@wI?ijlVG5>JMhsc6B`@5vg2;0Gtl;2 zAUhrzT*dCekLcsucSwdVMw$<%)5Vuo$c;Lu2fK9$eXKnB!+C~J z<-w;GzP%C5{qb zK(N9UcYs9yTepgK+x2UEk0|UU;Ih>R_Pof zUdGruGy9A+Jx2aF?cw2JbDgWw#m;?H62O`B2MwVAUz*Q(dRAvXe^SWA#`B&s_4CYZMq!aLf*SLX24gI zToCSez#HCq|Ch_=>1&C0)u!k3IgZvJd0ZWwYl%6QDff#Z-h1)ySl1|D&Wheo>ttJ> zOrAzRn7jf}T)$>hHe4!=|E!S5T=Y7d2E6iA^*uA0lb4nAZ7b_=^$yqW=}3YPb@=$5 z4ls-_epHOlBkprW}{}J}4cQ zKk$V7mXOOaJSr+GJp2-wkBfGFP#i~Vs%YJ&ha_4q|hmT*j zc&ezV=w9mr3^5pgcwmPjy+5;BbpKTQ|Rip5jliK9#7J`_n9JWTj+XA4M)Co{N!`MHE&blh*) zPjUoREyvoAU`b3dF)_W_^Im8Ccde&_f`TY0D1Lr^z~{?qEqDj`RSK_xfkA+P-XDwj zJ|iSj8>OoLnD~YX-#peV4)P2_Y}*M$#G&Gh3j^_ps1u7(Kgs2GU2--$ zDH8};|GD@uUx&JOJ3i-U$t~{OYn=PlMbxYL!?k(Yq^_b}VCx&Xq!oB0@-GvDFV{L^ zYADG2RHbsV7(M;!pF=m>psnH;WIfO68xJ(yb?&FsD@?-@g|DQ&O7*J@@U?G8y??Q|NmO#9xpx7_uxR^C&I?bv1< z&f$EywRsp(?MZR$NBkn^Q2r!2<$5s~VUlYfagN_j>sU1Rc%stzQjylVesAfZpFelp zn(iH(6SIyc)pmadSSg*d={SxYj8zd36N{R_?rk#}4~XPlEi`rB8U}p$E7>C=A~c=y z+GCl_#d_0&=26V5>~>L?*=D;t$ouyLv>XHoq6jr(0A!`4p1D+6-JC?Ncxyi`q62{Qp{HXDK=n0-z<4vk|rP)15qO3D;evmv}*O% zRpK``>t(weqa^Lx$7@=^VYGuQrn3~V8`!)~Y@)MtuVEG9#V*}MAmzf)KVzJShXN35 zW$V|!rU=tKfh*vs)!!TFy3;4J?ecb3zE^f2ejFBkDkUmTsf8pIf>;L4NqM1DXgPW*Z#+T}R6 znDzS4MCt1<-ml)=P4=yj&J5z0t)Rreu%qX4qyx>rg-8qd$=9;^TM|zn&O(-*I!?9l z*(}{p6f;L@!@sllO97`I;(dbLLsr&RTE2e5+cjXCV!8F9jtq#ut6u?=*o8aOql1?~ zipYNhVaf39hb|^t`b0Y;kiYIijiqVR8Bqp&vuR+Ekbss`aKEU8+p~8hwQ98-1Gp=;Gr~BL zQ4!=j1&2E07cHLe`(EL}@&qGOqnnTOo9sY&G|6}09_4Wn*XFo-dJ@G2$*r>PKthj* z^H2_t$os|?r!9v_y05hg=>!Iib4KiL6;J=q0TA@{dB&*R;!_xP#~PR&e9mX}ox$kNll zB5sQN!k;Noq(xDc|1hJ{Gyhybb@%x3#Yf-f6+ek8_K<7$864+OAb&9K#+_hw9TaBY zUY9>rLR>y|5L6=o1&_0I-T$djBopX%z$|lFomQV}NS%woOGH|bDgRF0 z7_4rdQCPCz?%!;D`y2FQ@QlyKdtk`Hd;xvt&F^`!Qd^S$8I3@-&3Iy~+neFf!|?V< z$Vi{)NAl1+_b{qb^H1@CK`k+Hv{Z;&)7yP-=X?DW@5jGNfI;Mk50>Z@Ky-L3QHNX0Xz53=g#*hxR+2;?NpDyWoY&Q@OeOBET7Z%gNc zq6p}*LoHxXK$x^DM7-|)vYJ#>R8a&xe}d!m{|^f=Yr&!>p;`BP!p9E*l%x4o(5A0p z^&F6;LG15%zJJye5F(A%YN*ueFn;gx6Ea>;bqIFenuem~A%~txou8aVwcYf2eK~(> zC_*H4gsj>>mEd1^=`NNOm2Wm2LTz(%up0uNb7**6rol?g?Ck6#X0_hbMn_SG>&iukTlX=1QKsD}jN4th=Zcxz z-MK-Cmt!ZXKUhEl016e56~rryJ~E8IZKF@-WV!g4EL%-9ewGX$_ucF%v%%~Qy5wfo zL+(x`NKf7EdFg<7wv7@0TZ7?mlpot_221D!drZ}#U2l*8ULy+YEW=$=5NZT6w{L?h z#I1*R~_ygb9KQ3gGu)~>-a4GdkwvH2AhK9W)M7%le>wm$b#w3wr=hi0|thKX@O zGIgCUd`{%%9hcFf#h_mAn)lTt({8{h$?Mo0pjE0^dDCsAcA%&HE7v;+IX*VD%Bc$2 zjoVFQwV+EHJ4|;YLZ=kJ?gmUm2)UhyES=KpRgj5@ydADzaCqK!6c;KrI6C!pZK~I; zP)IwU*R28XK&KYT=9Y2tvO#&>Sc<(1N9L#rI-N>c^lnCV3Q+f`Lmcg?!C^X}z~@l( z&$WXbxG8oTEjKqC&o4B2y^^y*u5xM|(23SQhzF_mKfGc);TF7J;V{ZIJM4B#imKG= z7NXUfF5~)$58K0Q2Y%_PO zB=Gl%Q7G59=_UoSs81lAIxm@zSA{`8m_mBP=u1DMWwjiaOB93#Ki{8sm_KW+c6vE^ z4SnLLqb&$!s@1T{Mlf<|9-q~!QT;KvS>)ZKOrD}K$bIJv@FChCkq7HiCk_Bv(FqoO zCrcDgdb%_yeg|K{xo93qdv23^LMLNIYV1Fy>(~Ji%6`GKA_(AK@p`-4(TGzv&BjM$ z8lzZ>3FFI-)!>V>Yuq+(Ilel>)(F`x8ypfH%(}CeHQ?DF-WH57Hh6z~U2TG>a`G2k zrNZQvH_`2WA#8@zLH0qs@a2BK$`>hXDMyQ_o8)?KubUzy`g{$KsnPj-?&f%=nTkpG zC-_5vBu&`BP96Pcyec$NTf=)=Ujc#~8>+ptBNRD&@&XWa#qFdA74N@^=YIkwb_07$ z!$(gJr&HYaicPCL?nhfMFE5>b&yc6L^|3{5Lg;EM`ha)^i2|h>)t7{^lRiPg;UdIw z!(iL;H7IY?<}hUs5a{UEm3WiWX|mA%1hCNs){iR!8WDhgceU`mV6m80f8^z9MIq4u}Ifk6VtV+BKd=VJ{Z+*@@hdg#a7sLiX_?o<3A55g7U){gIM4`yPOtN+U zLS$g!xh-YubljZr1KIR-c}gJGcnt9XK$&+tlzU>Xvw@it8<$+xx5ULRB=oMAhAQak z(*GVTQPryC0qsvnvVYax3}&Y*nbI|hpBB-&juy3#Y)1;re!HGjZT@T87WTv@eW+S| z-#0IvKk*x;g1mS0t=mpDULr9u?fzBKc0Rtzx_>cx6|+sbKWjeJdjCg6>d#eI1e6^Q z18?F<+~+xMY^ZYv!~c(_vkZ!>>ALn|7+~;00t9#W;1&Wyu;2s;5G1%;g1Zg`mk`|D z9fG@ia1VA9EV#or&s+6X@%I!{r~35nUi(^WALMa}pVOTWX;ph($ww)?6dBD9a?fV{ zNL+Y=w`HQmB8nr6!(VU1+J(B>Xo9!@PP|SYR}KwxG{&=cekGONrREBFo^A!rKi8g9FxwS)e<$T$mZ*S3N)$&QuU;vJ>{E6$EY;1>qGggL0 zvsQw}RiwNwO^f$|0CfRC&EgM9=cX^e09C8;elon$g^2<))IfbNi)!}_Rk)Iu6I-p?l){m5&E!xNDn~v~cfqlK* z9DEmLmz@4A$8X~v55_JMH>+9{kM@mv1v&UY!`{knPCN&JzrXH<9l(r@P4}g44dj2W z8t$L^zgrY{=T#Qpz8=HA>MJB4;qf~nq{Oh$JGK|rF)H5~Cf7TyyD=oC*tE~2q}RA< z7j|IC8)W~Yt(kXL6HPzAk?5IPBOd;*VX)X;9+^=}I|`XWnkPKkNz;rY76S!woI=e&M2=28kte zT%`ASD8T9;{`tPN3h19ncs%`~hK4}L@2)?%;2cSXz=hN+L%>ZDmaY72>Ekvi!o{BX znjF~JdSpb}?j$}q@YD;tP$eXz|ErXEH0-7~aZg*Hx)BR5d}zbPt&0U!=$GAeEuZap zlLzSfD#TB4x#E1vF>j3>QJ-xV#$CT}TOvt@CZI~M9$qD?)7Xy;TRy17Gfv*O7&cp9 zyfSC&a;d%E`4<68KvctGd)B)m|E4zTZ{yi^u+ML$b z1*zTPhDfVKfbbL6%8o~;Za&_Mxi^1tepR)&W*-yI?X#()RmC+J#1Dod5kft|1K(I} z{UOZ2#5WeV*{0QfVn88!LEAkJz>A?0T8+WF2jLk(Xll?zg$dF1U-_^i@0H-GS~Y8t zF%ya_0!DdjAJQ;iC*7NScXz+v0&;9J^*er)lcNa-0I@)OHeU=}?FB$WP#t&UVEwIO z%fOS~_#E68co~$07;RLVf#}#BCCx@)rX{3Y*7p`FiA3#hV1FwV1kF~O$AbJK$A<#+ zJz^!xMiu(+VAR}_rel`@CdQ*?-?DXCk-Ij#fbZ6uu)OzWJd*@b`l3(uymvGgku(5U zDt~}&J~=R%q&vrTC&*Q|96vEJQsHbRf8ev2FZf<);k6K$6 z#nQ*gj}-9vJz{iIGn6hcVc70N>-#bm@Pr^4^>lAx=wXALmL?d~a6ECh{`eyij>zw_>S&7wqi@?=vldgbG98|vFT2VaC ziQ$iZgteuiAxZ@>;BYk@d7v)4^2)X@j*p1<2j-H)65)_T@Ak*)yoJ3M`^0vKr z&l*)Dqj>4hul_(PqUw^?pQ0SVa`8J?RGlVHzLdYL?47)(5@NP$N|Q}jDOnTa5ej{$ z`EB6Uu2c>+XyHZ8lvpFWZ3N56kKnaQqwU+Ty%`S)%4qn=oA9cOH){Xz zLi99oDy;TZ%~ zhn(GOcNFTDD6qWz<9d#P{_%0}6QrFOn`paV?%a0ota=#jd|eX5ZHobD8#?zRwtR2c zW0>FFpo-5irb@?AxZm~6OAvg;ZS|tk&l^_-J}>1}Ik%Hjj*~2_Fn3t#Bij7k$3U;9 zO)|gX86io0+fCBGPxB^EpMQ~uiJq>soJB?%^$Qe*H{$~U-pl4L*LKYa(Hk7bfZMXk z$6ZCv_CyfD_CIM@+f7Ht%cBHxL zsWQ8^QaEDr;I;2(tv_Gsb=XECNi+8$HUl`vm-=x zvLrc;ZG^v+LLut+A4hVtc_RRGzGFmy4Kn~7Nz`WLXagiwQJRWoxV!0=W7YB1#?BcZ zW5Q3~Hiu!u{l}~l4preX&+>H9CeqX))NYu1HX87P8Y70j8oq)2l6PR>9ZVsIhZ=>H zS68D{ULNMvJxGng;^8e1;SQxO&@V%WE>#h{jhp$^@MrC7O@e~F6thV^p@0@T?Y9I? zd76T$WjPwp@Ng4!ATFL}SK45di@p1R7bLm&o&Zl&w%Umephl8|Wko^2U0tt#mw)TH z7JnM1NYEvN87(Hpd<-E}$6zt7(E+3Ne4+aK_j#-V2LP@qL>JN6%JpiNUwn&)ZyIoO zh8B{2(3c{;UG3Gk5qQd?MRf?Ew@BZ`5K?>W-kQi`*9sw)?MoU3;bR=U3&g;^70< zc?D1gv~6>7*YFzU@93bp(U1RtGjE&1xgmXip?8nJhZ_`2-T_`Xr?_63hVSaauJE$ZVs^3TT!qqj7S%BeSJlZo0eJY%wO|%im&|y+kt}OwYcw}+cN^D_45fFLy z@Y!r%cVG=Fy;3)87P5rXG9=KWWWR6a9~=tg@ijpXkObPE%q(Ok!b8mD5Qp`Mc(5nq zwtoSedNC!PBoFF(0*SN}8Adh`u-VvCuHKE7vPuc^=O?g1@;}uq)SVRAC$hLOe^%61 ze8v;r!2B7u+Z@xuLnPLt&(d)U=2f@RDp#KUJsBa5cHJ{Wcm-ZXq73l%<>hC zElD6zUC~VNncEEtcbStA^VxtwJvw&v>ZM;rD7en5x%cCU&tExGz{r~i7we8!mt5-B zQmw{N2^}e&>rmEl*#f(+%I2>;!=nVjfGy-S@Jh$th^uX?TEt^A}Tg^%@I&gC`uSRfiOe9L*B#W63W4vT5t_2xb9Yr z*_NPc-dd{Nn5oNnbz*b)u;Ps;8}$dnZ;uaGu++ag_?q6CMv;Pylq+FujcMZg0TOX4gHjPZ$5by#iG zY|GNj5hY(Dq7m-^f0AtET=lUGDDq$}8cb7-Pl)^9NhoPPDZAdx~icl|# zEcRblFNf!`#*jM?xp?3DR6Tsm|465}YdslE>N`9OG|2~jsq+&Fl6pQ`V8PUOu*q7s zo$}p>gfNIs`_(aswvmhu4W8;$o(o0=4WmH;l8A7JxErF8>VrK@uDfwVzi_Hgojxi` zDlg*=eqnHAr?ZcK*ThNIub%dz0f6gvEg7+~`Oc&|Og5x-V3rMN891-^I}s|Cr$d>e zDMf!-J3AwkqB89Y#@vIFl7oSx>kLs&k^RT3L**s6&90ptScQH&q6*k(Rn5OA2q65k zA#stFobGn^i{Bq5Soj;o2A_PZVGlw+}L+sJ+p8?j0?@HjI==*bN{7zMHFWIjiW zHD_l|EN0{~S+_kFo+4*c0^n){z1pYJ(Yb-%E3NWB4YBdElUUdXx_If=*L2Vuh(_sq z5Mz55QxKN)cmmhDCV(@C%h^Oh)Cig6X$y>Qq!I14D(KmOUgsDs3I=^N!=w(0xh2f3 z8EkKuu4jqCV%yAYFoN>RRE>E_5uaL$czeha+50|i9nceAF@dH=GL8qu z!wQh>@JNi}q9rxSC)CQR+tW=9N0-zkiyrPfubUUa9}@f!CD8vR07ckj(TanuQ-~W- z{*7j0X=Eh4!>Ey*%$Y^WQvEZ=$+a9<{FE;Q0=U8IMx{}NID|B;zjMS@8nz}}1Sy{T z#0f&>Y?O{Zt3vZ~rD6q0)ruuK!HPYR;`cM_dBS7$68apt*ej5DT(_qpv?rH?i{0~w zces=ZWD03zxbV7L=lSptl-QY0=)#a83t6JwWR=mV9cjfKnqO2W+YEkN@t@!R_r7xQ z-Lto*(?e(EP)8gM-y!bP!}J6e$~b>NF^Xa)**P7|SUA!wxccCP?!j)F=2y7A{6Bjh zA4V`h=%F=i{jUoNrG1Es0^u$Q{Ze%^T1Y~0+ctR>|3E_c zn==P;$cN5YRS`JT$=rDd4`VJt6yn%dpozpie^*Ji_}lWU%MwWf$7%GQE?HJuz|dfZ z*A}MlUF!eF#LLIau`n?*R{C5W8ayv+moi&()Hh6T?d>h6ow1w4VH^GrIisaF<`tX& z&}nJG6%Dd9L&rZT?Y7%O$qOOPzH2NBCE^d)xiD(<0Z2X1viW`*letQIqi1`fKMEq+6-~9Qqs+itvt|%?Y!0}y#X>Uk&Z3mh? ztx&ft`Rpjh=0E_|P(cgoRb$xCgL#d1~|b-U)d z3#<*+19{QKC|qu{zdROg;wtCop@5KNy0?h}gE zsK9<*Lci#>1o4LzYE^0~zS_iO)^DA@9+QN4r5jl`YgT+L1=Xw^$`` z2B@;0?|*XDqYZ+;r-ptUozpFtH%L#Gn&7iI=5qqq&W4TsO0o$iMBHD0qEOVGQ`|8} zmlf!sLRgwJK0|(A|M;1t&}=NC^m2GIFKKLa)tCCdEC4>fM}F(=yNgsj|Nd{1Zd3A| zB;pWXX{?U$MxqK>oOuUf%28=a^YE(#fA)Ut3J+ z;$mZukB>jikv)gL*>GMs4tf!GTwmJH^toQ@psTB^tMc5ofvRCF$+uQ{t)%(h5&Jq_ z?2Q|+Kb~uM(&krJppcW2m^w&F*gZX0C|Yo?{-9gW{o`CeP8_`07c1^o~U zO_%sJqp|~kyfywm$!)+CE2NeS6GOKM5%*0KDSTIrA|>6f&%o4kK4&zSBj9XVWr}gV8rbq8tg!CBxncpaYUU*5Mud)bAvQ>gfVIilb?Tioq-u*AGTA{#@Br z8H+Co%y0VtW5Y9p+z2f8b!Bd_Sz&+wNrTE{brbbDK!@zF*lvAG)lsF@K5|?;3itga z!|S|^l0chEl(P#kiV?1CBc;EFR%HM+zGr9Z-<5XosW3~9^V(H5aQSK~83H7;&AMa8 z6l8&8EafRO#99TeLKN>-7N`I<@z`h)JwykdQp)wpU!~Z6TZhztra?2iHsBV6((IBFTPiN zeEBi=ify6#Z0~=CCC2B-=aPh~|4CRGW_H{qOW?@m?GL1^FzLUJe zIa0j2vIcSys;T(ak5!u86||urD)gOD@)Ex+tUtW_rMPEXj)8MvlqXwBawzlUMrj7%pe2)8rl^m+ClB~yLyCPxF<*~mIsQE|HNuahW{yk}baTHFojvVIc_*k5%>%O<=68h>fSS>E@(-cC6zUWxOg(WQ?GtDX8f#uL$#8!8$h~<3k2y{*!`0)#R6s zuez4h`E^~?NB%md4D+d1%&|4Bp}BftEjy=1bY<*c9b?eMNryv#`3jv~RLJ3+ZjGuC zuMc_R$8yqR;S$pdeHu_lshE1jpp)3#&zfSME`1kQOUG(Hp}q|B1HLllf0qGq_Yj?J zm_!Ssjr9Iq=ecK`Ou`U2~!QrE0PbGMMg zu4^;+7lan>&tX4wb^6QVFA@Fj7&6B`Op*Gk;CxYCv;vJyRFn<6zzRY$`@ z2yF|7(zm^OzH~^w{g7FrNoZB^q(+<_3y~Xo2v7XdKjmK4PV&mKSoiNYPbV5>uW%+h zJeF7^YSg8}>mkb=Q-_+~$Gm*+iOJ{zwaD(jq%s)y^zWpg0&pKLCG`rgwM=CV+R~5K zg~>!pL_R#MeIoMPU*0j5KtaE(Olz+ON&dvXYVYTigRxN0AdEXw7#;2QI!_lv8M~nM z^HrWVDF#h$`vG@3f4Zi+jPM8_c&6lanTd$fE<$nwdL(mZ^`XVvQi-k_YlmNW_R0v_ zL!#lPAZog$&quUf3>Nd-&4>-(CRFsuLbh@X))rMDtWvYr>2I{qEx z1mx5SWDYNEI;^{roqjrMv>DB4@_uNn(ANKY@YL#B@ws)cEc%Wi z1MUs#)tIOj7bCvgno*%wzR?l!_`49}hjrlBw|p6=5Mx{z(+In!Iz0LREWnA-dPOM= zW>vbjP8aSeC0EffZ$&<6u(k7bvVEnCHpL&lyMv>peEk8eX?egD8efxn!wVf;FW@6?nSSu#|T%p?+3;;F9DBD+C+ zs`ZGyLUTuabmuqVu-SdZCBH@VA7x0XCY(&d{k&A`WJ|v8ex=1&DFwQ|Ftl3+>*ID2 zF>BNBju$y>#}TagDjiT1DKM|3?K+t~JwNgjjQ6^t0cu4klcV5ROLX+jzr+D6EL!-} z-@N`|S5)UH!@`lszSpLE{{dSBjz1OuS%vod=aK#@iw35&^Q4Q<{bOZcJ%}cR2NV9XCUjCJ7!+75Qoa$3XN7Wy* z?|;H@8}H1rXsEj~>Cm9uWr%2`%EcQvLY^>~zaO~R!5*R%Z-#7doJiFA)$5mGB|+On zOeSMX3wUWi@LSlCh-tHcqJNYD+-s?#-@e$rht6+gV01qGdse+Ld|QKPNLe2*VdITT z_k#Mr+RU$ayid2{FD-C}L?NLxOHRpRxpT-8(@O7cb_dx$O&_}ovk#0T?95BMX&!Xeo&qheY>&t_-_rH%B)LA;UZuK%+*1d+( zS8o9YhN$b4b^q1MFsL*SQVi``bf|l^_xs}^$utcjwF2CHxJl^+z`Moq(Wt?#q*fJl1&N8jaO=l|@)J{@ z_HL*FnCV;LE;#-lO)vXW@upmno@g#0+n@x4MwvUPCs$wtZq&H=>FTs2a7aC#X}Vr8TZ|0MQf5G78w(SickxffL)c zt@IC^MuKvZ!8>shAnJjo1o|W?_Rj&mOQy%P9R2>{YK7OlN{z3Ct>$M)DZa-^bGP3P z`5w{Ck=yXK9-x3j3s41B>V~bqYbYqtMZV2Jc@fC_I*&{(m3ifF8E0nS)=yYz!~XCuA0ysBn{y3J&f&{CN1^ha6QBQqs_13|{qW`50ON#!c+MW_rQEcCBMB#wUR_Vaa*=TeA;I7!~+TdGNl+#c53Aa?z#So%omU- z6^oCLkI>fWgQ29MVPPpvn&i^t$N}#X)Fb)>5nArA6{W~|=6{c~)>7ciM!cakS?YgR z-ZWDusQ!rI?qgd^nl^S=81kT+glo@>1H=WO<^*@~TNyO=wf3JT`@2e>y{XG-+yB<| zGBq&Q`tMHu{J`zZ+K(_%Bp#%N@-AZ@Zw1i2MUvX9p}O^whsPSm)$X&CJoM7Pjp6>O z*s}EF)qi({;$5ljZV|}S{rd*)4{L6oJpCto`VG*VleU}3i%DE*<*No4=P$ha$`ALB z8_1%W&xsZ{H-}sKgR!%+A3b-%w!7z|seJBvdJAz2*&f}#`+`S(*`NNQ$EhscS$;Q3 zCZnYbrq30Mp5+Tm32iM283W~fpM%k-*L90H=IdY0U=0S;u*69Iqa-eaq<7lUE^@a- zcXZ4q!fp;byR*3YxRcBhy>&d5{Ic;&LeIL=6BPGAjq~tTp%j6VkXD>$(~7^Q1_T055Y$EbR(Zs$)DA1o%HhQ$iX{ zK^Q!|AG zN5am)lz@M%^5+&UvIOg=qRt0d3kMN|LQq&F@rjh^oZzqzyKI(qk>N;vL4OUK#f~9`njMZdg(dzFCByKpxpK5kQfZX3Qz z<>0y>B11bA=sqEYjqqy>S`-C$(D;wH{EJWYAUeX`Q) zH4VPhk$78Yd$T2lR(#q|oPMwK?W+5~H})Xo;R6F2Y7&z_%u+B5xXCbcwZk&cF}Uft z^t%8qHjYHKgCKRGRchh=QIti)a>eJc>#Hh?^zw*-2Xdn`lkL4%uXz}Jot{1Ai21w(58yu%Iek?CgW4fx!Bw?G7^jaJsvNKnThOu^NF0Wm3_ z!BMB9((!-!lyE1nfShk%aIlNzmTo`vpyK~4EB?FS}OBETG zra2QZj$!YcuB$(xR|i@{W;Dro^{!oqqp0N*ErYu67f~rk^2c0=F=(7?-Lf+ROkVA) zQzvlWzP)lbYusH+qeYFk7|=}-3x9TS9ul!{SVy6moc~LWv#&=`z;Uux8se@C`F!uRX;~ujSn{MzF(B`fA1U2 zk&%vJm}98N~{k=Zz4HVHN;4i=GW=zO#XGQ zCSV0S)f*d6h_a8q;R=qPIag{}J&Z<6*F*&--ljT;q3*aCscukv1`3(EhSw>EZC7um zQY1a3-Y+tEWrlwR#}Z5TwxnpEe;${7_l|_{#})Ny=fnDQ4WUY3U6duP4JRMCEAdajSzgBj6?2dIAqGuMv}Fs zU3S?4VoWOj2UU~hWw{?D#ilA3^)k7HNNoxFT?qPZ$N0*!gdoa+a~Iq1Ohtql)`{?} zboxB}+@Y=;w_1&CI{c_&W>ZPh7zDDePh%pjdw+=V{4Li_tky4n`Vjy7q>_k^&#IJ| zi0Bc6kR4-3mf(%nSWWYWP96UOF~Md*+wWEuNuOw(wTPtg=<=a*k)qnx_wKHA&g6#* z=rO^8C@k*(k*;bNttE9~XO;Dyw%suZIsyj+OwtLw?=cjd??PtB>RypepGk1o>2%DV zSX3YViw$U?`dX$QdIaQ|*poHBfHie%bHfzX?U6@*L;xE#dO?PN-t_D|%*Z|%Wn9%` zEC+QXPa3rp{t6@Xeoy%ulANng02Z+o=OWzIVbAkn3|kcE-FY#(R$KoQAA&ro=X)uA zE`oEN3%)N+;c659X%anEEVh!~Y`B!uQQWTyEdO8N>K98kZ9r6S@#I&$HV`6z5j@=q3!0i7&Z zr6eD$-Qbk$rgUHY)T7G%Zgg8{?^dxVFX;%oyZ1*lv`xD}x5%ngqoP51M)OcJ`}Wwv z4*mze@|Q>%o_IMDk{og@gpW6Eaq<@*?;Zo;LSSY1ESm2ky+yqvDN;LjRA@7xIq{%i zlOw)j|L7|3sc7S{^NxtK(`MTo)qz|8g82BfQ62k z?Z18Q=kiuNVFR%KEtMRUbo$P2T(`^Y9|~(ti*DS`JN`71zh8UnWrZq@j6e;zS${vQ zU!I!eZ7X(qHXksdmp-7&#r$)8ge&^hhXi}FKPBeJ##EeI0};7DKW|azLT4-z)UQ9! zH1Y5O&>Dl%>JPgizC%Pd0029GhaR#3VQ0kHtQ1h7fz`PG-O;VkaNEV(+tZsJU$RY- zUFB}!BnbCl8zGdT*1qBOxe9dXB#EI3g$5H3(-9C3l^S%qo)`9hXi51x@jA`mlC5(O zf(hf}RrlK+HFzF4T$ke1=f!(XRWdtVvK0DXIu{Da?S&cD%j5@#W_&I7U6_2M+fO#T z*LQE39z&zfNnQzcK-g(qisKc(%X;I`4p9Z;!SWn$-4`V2B!h82`tALm#}=iXon?7V zGX=f&6lW;V3=sOG&*NzK5JUM_<9?x1XH9lJ_Q=DZ|HJQ>uw)MxX22|KuU?9{hX3Vv z07>}>lDYYJWAphpfg+&Fy@{pt6#Qd;Bg&6%o?9^kcGw+kP+iyaL0Ba%*F~rgRz=cq zs(^aoo4d!+sXsekztxYl8@PrBZC5AodUtwnD%=M+OFxTq`h2Y|A8jjS&*FEyDZ>Qe zSElLob}+6#3RcN>p;5X6!1~od(-R`DqW9XTHA($yYs411_sV~8wpaZwg{Tr@yuy7O z=M{~R6089u4@)79oE9K=#IZz-Z>;!)&EUQWakjT{b#2t=oJ8<^2m(A&{ZogGEl0hD zZc8&pWjRRAaH1ckjnwoB9(C`t!q_dPy*mqr2ij-cnsxxMEhBui-ic& z@-uC*K^5^|C$)UIe@2mLTbI;GdIjPs5ei9gAhUYALC@s2kh#5@8p0e-OXjjf!%2uy zZdYhUDx=0F@6IJ~v?{rHOQygojf$|PQ@+ki1W8tC;6}R~j|GDB^LMHRq=vrKz(HPX zl{Dv3PGJ=G?XF}%Q=2M0fiEhT`QVCr6YU`g0$_$TFC3m7yTPDRgc$vNN`NHG-0@c= zYEHs^f?6_gW@LhdZ@BOFBmt z@l33OYpXc*AMVU{dOT3dUSt~(K$F+NgTQhe$9l7WCYCN7(x#P|sgqKvlY$SoW6{?Y zkH#YMNT9Ry;g~!si_8)627zMRzrPk}QA}Du+?0%&R zF=r|uoE4SV$Yf!SfaWYH`pY5Sjw@0QlsKN=ID!U)I$j;&KDRw{p(Yji<@GK|24cdj z9)V~hu%Mx$K~Z8Lv_ugh233Q9IFa?hMkOO8%#sL!twGsSTvi=v$tr|@amTS7VYi0$ zeK#&z*=6;V?{Vf6Lhc=_s`-ZhU1~&%oKChC5on!MD4(Os_df3;wIG*S-cyZ`%tRzZ z#^6;Hk9>UF%hQW0I}U=FLjGX(xbMo^^nB4dAjFcDS1kS_k3f^wSGZ^~te7a8dx-_Z zQA`ToM4`~et9RUuFA!nKAWW?zy-7p@-&m1#7ZX=y1Wg(+5xSviBpe}SN-Wk_0+8D; ztz;B}dy%<_JoCNO3{m^en1jOHa@T|M4;-2Y&Al9SMqc*phzu&~P5!s70@2$?(ZYC0 z=w3YBI*d~)f*}6^DG{Gb*tc@dr^iVx`nMQMd`Bxy(!xxdno`p=nwQ+%hi55!x>4tw z|7t-t%JO4Le_(~_@6mU{+hDtZ|5!em%VbNoXrtdt|G(Te-$O@Z7%eHq>tly72YM;N z<8FlOav32*ISyXib!)dQG_AEq02BzaHQ^I<8@82@nBTOoMM7Z*lxQ?}x+dd7@)x8}jE zE5E||*-vipv*~$2t`y7r^h`!`mc2W==M8&Hn8z6$7V4>9>tXRuc@NO8a>F|VbLyDU zU2e%0=5@3;LjOhvKVRqC_$t{v^>g{2?fX6NBW*4>wcS-c|KU1pxMwrGj#%it7|*Ur+~4;Y_ACRme`P1j2D%81qiKY=cs1 zj~N`|Y(fkB1(u8%ruCoA-@;C^*8=L0Bz{)qz7-#f0wq7nGkMh>*$Us8{zu^C=h%9` zr8(9qw8VZUowVD~*8B1%bp5)?;n%KL6SE&VvOEJ=w+ zhf0VOje(~6EOp+pyFHsV}{?mzI8Pae7?N(%rjWXUm zP{dctQld#;u!-vOKv1E9u<^21F@YT3#SG_#8F$V@mFOAO75B~p+TRK?fS78|3UZHWSV zbS`+g*c!dX`aID~sbgz7HS|jAYfAW-ig&yeqIhwHfpGN-Vd^5NbF)yjXFomY9}L-F zv*;n3N{VnNFQoaY5GoVYpWB*-3+{UkjN}QQmrq>==;ldOc?$;_5_r@VDA6cEj*=-* z5IeaUgBT9;j$LLrLeD5;)*7J*mRB|ma>T7Cxr`GopGZ2gW)2$q`xT2A@7 zB%ebSg7hCJDveN(2pFJIWhtPQ8Ms6Mw8+tmik@gOR4In~rfvv&DJP&CVTDG)0HJM> zNQXYZ^>U!Juu$8L%F?(JyK%i)^PKE3UPQ*r8;Z__kHRBPG4Dm9JN{CiR0VBwim(<( zZiD^+wJhHwkfSlbgIH@Z0%8GUdAS*R5yhM1R<|ODjiSGg&q5!se!H|T@ug7R%I_xZ ztnbfj9F~aedmsK59xvG|q|m#o4>-%SwODxUZt+(7d3?o~Hl9PF7eaW%l2ore>}dbO zP6K1b3Qkm>+7)_hYf$v0;n+PGKX96l+24DJa$DF4_vx>d#Zl6gQLyUg>GLQ+%r(_k%lMt((M=al30V+~VJVLj*$}>Wx!B6KNqJ%-Nseo0!uT zT8cItX3$_HC`?V!W-TJOqMu^tbLxbv>o~>FapUCXoi7EZy#Oh?`|xT=3ALj_#C(4J zAA&?~EcSoapY^RMWJ>3(&nWRt+|7@JpnD>My5CThWRdWKB!3-pnLb{h50Q;&*jPuO zDiq8ZLm`vfbt!J&*^qS&8^QSv>bg!r^^CLuiy5aztA;DxNwgBWbgi>iG6TSm|Nd^+ z(NeDmdx$1W4OEx(pSPg&^wZJKbP2qk*nch0qT)5)Lk(H9C^j)IQ56a8_sV`vMVq^cnT+f16JnlZ&pLo5#laEKQIq z)J1-n=lOP|#?1LMJz}5D4;}s4N|R*|Q$D5Nku;3G%Anoz?tHf<-sLe=IE|KNLU=d`pysLGr1ynXxoL z@9LmmcA!#Sh{gWN-?3?!cs$d>IJHsQoRo5@a)kjU*R)71axwPToJgeg(dCPQ0UjK# zTB*IJ#E*uXfQ$hk6*Fl|21^MTQaL~+TMV2C5^ZBEA}yznJfi)rx4!}GbF4lfn&AJ> z0%)~eoM7dAN)T`HUiY*rj}e5{{4NGD{CK6pF!0;%SaK-OT`w_j?cP04vkJ8A=IvQs zA?Si^DLbt&FMe8v|9b)mK#1db40IZh>+;L+Vt}YZB&Q1n?!<(A?8vWG#Bv(2hbu>fAGHlsI|?2FC|3Wfwfeh zn5xc70X8OqrApE0RzRRkMTGzlggy=|IxQs^CeoiN4g?dzrEH=YIjau@WVv{72<%q! z6F+EZuu9Q@4=fd&{L(74M6il-hiGF=-w`zONdv6Q&!vI<(Y9aeq zujSxyK$lf_wN6r@oAHN2iMdOJ8aGWo7Cl;I2|sDx96l{7f}D%|DtEX)@kJg2V=mam z*>nN%5k0`Q=otLNhle#uKwqdCR21_0$v7}zlEx5v9JfG8!aQN8RSyKaf>H+j zCowd(j@AR>Mt(v}KV$>&Y&dB`0!0ZS$db0;n*}SsL<=S)ab8LxvZ@bXH^Jgf(S$+# zq3pZV+rqLXad7;6SBmLo6o**4FkRAvlu4KIqtt#J*Z}?% zTpXCPmO1#!s?JIXnoc){adI=d&*-T9go0_c~E{{)Pc5oj^eA4JV-Gj`H%yXut&Q>(^)R(asi`O9~*G*tlQea_>_ml7_!2(qm1sk>H`6Fi5@LLI1MktZh&!>f!>9R3d z)@V{6Y4_T2l(=;AS-#6;VrD7^p+nC&?TJkq*)&SETO!pf;t$4}#Z2wbFV6ECbH!SL zO2K)HvEgD3?*v+!miVJ2?hD#F4Z7d%?yNy2@PrXCay=qnYeg}P!u!8c*@ZDn5=_8` z%Fh;2Ym^6EqWB)LHoLmA=YxAP57gQ9kfvfP#ZRx8PEc($79Km1i%(7&kB1L_;$bX4 z9r&RNtZ7W`8H`lg5_c|nPkcAmBI>T^m@x{@94`u59{qHih^m$5iLR_01unc6LX1Nw1qE2 z?i|xFPWkf3&>1fH!)jW!LiI~T3|h`$RC_K2sk^_CftvczbFR&2UihVue~$4lyD9+C zR#Gkh>#y=-SXnZwtoZrB#us~v$Gqq|h5j1GX@kg5%mvx-lD5l?F;t3euTdO0Q| zhm(h6^V^Rq0$GP6)d*!tVAdETWa#Tyi3(qOkWnWBgcTCf zwh`y&-|f4t{K|w_qB^wnxWA-&GW8`ElBRVp)qOT?G)h|e&TT^*s9a+v33 zz@T;bE>7#l-Mk%b@ z&3m}LP-4FHCr*Xfj1iCi_+LFA^U99^SpP5hWpeB5k77nWbsp8t?%wA z7o$oQBtisGH9S*MZTq}k5jGtQ{{s;TB1f_F8JE+-^DOG1m)KETyb5nA#S8Fp>!9Vy5&pS+ zob*0sH1$e9*?~Xb)-D9RON_I%4(>8cJD0a*i?(BnX3c7aNy;IQnAbem*sabfCRa|6 z{UJthF~=M&DM`i*H(Mrg@@wR%CNCuFTG{~nWApE}WPbhm8U_>68v1DeE+-WL@akM+ zX3w^29L*=?yKJg*IzT7PlN>)SVtLDbsj7BXPKYb!Ju12v3xHZe@Z62zpKRIy>3RZw z`dyqvo>wiOrz$*o`2?ss|3}NDnmjgQH@Zv@!hFC5Aggi`OS^{MedjNB9Ki+0m|OS9 z4HFy)3>oLuSO4Wf{SHNfSUWOd3m|ihyL$Iznt6cn135mLK7C`{`ThWBiB@D$0unnm zEYr$7d-cCrzb}`Vxn`tM+7KJ%2O#>eP}s&%+fR5V9etNjPhW4p?w0 zet3x<0}6DKEI*icdxL~<&J}ac9L<27QFCb$2flu*7=$vqFELYiPhUvjiL@^~A2Y6b z#IxRBqL1$}>}Q6XV({pM=yjiL^LA1}a*^q*WB-ggRtTdzxML&4XdVIFH7QM2f4Qmd z%eT5>k>{nkdN($`)=gur5s?o7BOaZfPZx*{AUe45?w@f@jx0^-=iE{iv;Dj_l2&>} zGng(G(|fsfy!+DaHNBqRX3VrL3XDIM_%WMqBKVX zTI-|LWahT|`rkNRsVO?xqI-%K89tQacmaeV}{QJu!w!2vK3yQA_bDW*coPi(RdH>Y8#$lOwSa3bIp*?7kpdXYFtyxlPF zhUd-tz(JT_{?}ge1E&CtA4)XE`Lbt{00YSYF=%CFAy6<=J}C_|1i3fm+{?nUO?>HH zg?kC_8pAv@JKok)*{W+3AhHT;*s46AAtF)WDk^r}V_dg%t^)lx`+O2@pkw}0C^2g~ zPi*0>27cBfj(vux+gi?fv-Ru}k0EHMr3Rp7M@&4!<2704LFTuC_xdmXYf!C}lypJj z`qL!*$~z+flX?Zi-q`T3OwcR89!%`z(!cj|KHZBJgTI^;7WX&faIX23KV32g;=PfZ zr25}kfumB$YCE);*JkPdubOgIYP-d4TW;2JrqBzSnO`V^pPs#<_VdsVJ2p;@5tquj z%&Kgc2xFi+TQ`~k<3mJw&(rltR)cmrr6W@I_gWbflpp!|L9o=l*XO|V&=OD?Y~-~X zZ%Id!cWn)JnUX{U)A^XHS>E>g;w;eaKC~5C(eeTK z$@&yHHmph3uy#bk6V%WVrohvFBT8aNf0HsoIsosO0_#7_rN`~(GI&p$oN-MOa()$Rr^KrIvWp*Q_{eS7&VHd?kl0w6Wp1+&-i`R44E&p08^&U%b zi!DPjz(BhBXC14Flb!2F7xOFFM=0|Zz$%ZvL1;GT{B&%0^6`W3P-e)kXOeWbzZ6s9 zq?P5mhx|yjwYQbAX5yS&No>b?6w;lj0i5t6lxAz%+Jc~>GX$5Port}m%xy4P9P?-! zvnJ~KBM7PS9z9*Z*jb96xxjCvc{Zy}6Wvg7X&~1_L=ZF4DH2~X0Mw{gkgk~&_t%*o zwn?&bA*dhMPeO;*M^eVLZPxgJpxkV0rl+2axTeX{v=*jbal3~GcX;eM$=qCoMqqdZqijH~eOHFv!9>yPK~`PJ|<29KC<;^A$FeGoLcN#}v@Ix}q^S7&Ye z6(kwGJtBp9Pnv6@=C_$I0Rb%94aC=Tm$p<>(Apd_n7Tx(HauL`;+sjMM9Af1JrVzE zhO6?@bk(7gx>1f>v)8YUc1t(9+q~UigmM=Uv8_-Q#z0YU^8Qz? z4d8)d6TQpgeAK}gjTW@I#eeZ^QIs*d+*o792$(LJF{$yV`1tSYh!9VXhAPBw>GC%i%diSoCx|=ljtH&u)B@v;1gSh%*hLDiYbjD zA^*tQrHVk&<07NJT0VBOh%HFdJmc0n@ZjBixavs@2+{I1)0 zQW-3+U5s&VksnNqJCs2$H1zuBTr-<^>v(KCzveG-qZ@q-1qE14$CQsxe7b(|35_xI z{wJSqG4H-Tb>&xQHT#QvxuNsYv=S}{5pjR)^riv!c+*9~cBKeD*xv47z&kKr?$JaP z?<@eK$*UME8doBv=zzNbrf#Er;L@JOy6v(`AVIuAGitV?miV0E$K1B~ zaTAumwv^xUbTmT;!NuQ5kiGe@pUizQ0U{T(}k`t zg&Y3*cbCK55RYxKPd>3n1^tTltkyvNVN@;zWoF509aR4^xChAnQmR$ab&?c35g5!! z@%9*owZ)FKnRZ6wFBD|mJ3=4BVuwnw90{qaXnIoyM4f;O*T*P{q?l51L&P*`T3YEu z`px3|cfJ7fp4O+z)?d`t?&n0;ze(dul9SVA&%)EXV?`bhNbNh{fLfeFjLMB934^q? zxcd~@sTxo3(|Poy*l` z?|K!A^5lQ~PWfp+2h#iz!1_1UTmcv3CP>p9_nVdYk#gVX+0cla-}%HaoH+RN4V*z1 zc*pEH`j8A-w*6gT=MZfqTW0o__)pxCeg#m(KylvJ=h{wPrj(A`Ec@FXOx1|2B#soX zIt}sOGaC_E#jYOhGIc-{QP89nIs$w|H|;}(S}9#>Y^DX8wi`pgzi8F0_ZiI z6x#b;X~SddV_cMl!eQ{@-ry)Z`2jNRa5eG<<{)>CqEXSR$hz*?t;u zXrQ?YEWTe65x48$;6LNCdAoy{-=B|J^U z002-FG4Miu^>nh$^y-?K|EJ2pCSyc>&o2MOx43nyWkH{55(x!W|4A^q?2eMkT2m@c zxL;M)u|msB7_}bnfQw&Fu*?eM&fQ1z*n#{eAsMp}jK6%Q_ATKuj5isrVc{^2X-K-3 z>a@eMJ2s2E0(O$7T!{?sBre)rh+#zS<3>lqKogs{5r&1svYhQ@iS4#OLr7x4mvUHa z_=gPTw=7#%!S5&ZmD-z4qDFaXa1a20qOHcH25p$p66BrsX6RV{sh{GD*EwIe%d;8a zliCssP^rpEmlJBsk!Er8gU#YXnl4jBjoq3pd@jKNjBa^b^3t7-9LRS4Oc$6T)7I2ly?& z$5QhvDSj4PU;_ea+xauRc#l0uet(4p3_ynI3-AkX=a$f;oZen%^07tD?(GPULw~Qg ziT0~D%if@yUONFKun-v;fQXB;xOM5AKovP$R8=`FHO4@HK$t5wo(cn!{t9hg$oIDC=O6)~U*D{+sUv4Vl3H}HMH%GJo^)@wjI*#p+ztn*8 zDkpS*sUra5JZn%oCY&EUh;+a85x}cI7j00*s!WFo%Wo{{JD*!f{b)fx`kCVJ+F(JY z7k$LOH+(DX92~ic>6w`;HKxO_zRRzk2i0L&IyNbhN#nNYZ8NZ+f|?sozeOLr3*kk6 zx}~#LyczJ0fDB$+&;62Okvi9C$PNJ2VD3YzcKbz5c<~ach8%XIX8j}%W3$eRRgsA` zd3LbDRy8s5u^Pn_4U(1|Bjn`#n7Woo6<_lC`B_I!Bq2dH+j*umg~E{m;C(K?E_8Lm zmMY&n_ay{Y!T(wl5YXM-ErzXU^Ek=sg7|Tj*l4id#h*LS|5FNiUftR8>F(`G?YKxJ zAT%M| z4+eYod0vM%W{mruMgmXvm=DLJo*Tj0k9%tH%i~87j?jILb>)ZI(x@2qf#cZ=Px}T4 zpt&Ln{5XfQ&VTkdKUU!A=f%YZ+I-pLcDtJ#B@!c!$X_tvGxX->hN)a@2rkg}z9N0y z9PEj`ADQp4*prfDqz!3%b$XlOYJ<*y1VltU<8sSYlUen~A$*j(ozpUHqYE}TFHMJS zAz>bk5!}=J&bo7=Kzet>$Rc3-J`XE?50Cqb1g^(xb?^-dnQ(Q(gk$=4rU(PqBlpDH zJ2ADKWc+)zY^p9|dx#yQWHodzh4*yf@q2S**BN8`!x;oMfA%{w37{Y@pc$zIOOf`t z9!`IM-gBR#Y5)*gz&LQuYeIq)IV!l%Ds{~z7)I1BFy}rl^i*i_Y4~1RS0CxCk`r#6 z<6ewe^DetnQz{1j6kVqdp_ysxL#Iq1r3=D7bZ*hB3^VLf?@!3+6(+Yie20pRxMlj* zUMc2V+`J~HK26-adFo2!yBKPruAQ-cJ}iqeJzQ~oPLD>vYl0Re#;l9{5`e){LB?^9 z9hK0p9`_F{9yEpUxk)VL#HPgss`U$%Ki6En8eVKz`D%u6zuoC)wJjdst4u#(>qRlN zSi;Ejr|YoM8&`l~>^on0jXlD~=(*q<;ih2N=VPOG@1>0O7?@AH&q$x=-c})An?Z?tj+2fXooHmm+s_PbjsO5r6EB4*w(ooP1YfoDu*AWs!!qwzRDHa+ZTiziKtMLh;dNyaacfmR1jLl`bHyDBVSyJvQ&{^^ZPTxm3AQ;msV^t&fz6#ch<*6(=UY5dTs zv|4suMn%>SNvcPG)@{LHY)$%*FXb{AmZ2ypk@a&}DM1vMsRQU`*qg}G!?_A-x(A5K zJzg!Y(tD32a~bt>V%&LPDIo}RSKxe z#TI65{+MJN{mbKgq&3QxG`RJx`xwcUJz*mG9>j4>UtS(w;$io_=sx{BsL9tI_7I`= zQIFFt9?ukHvHBOZxDkHrhBbP0#`hr0ceHH_Nfa({n_6A3iOU{NzIOI7^E{(e9WPJr z^i^J|e^V4-X=2PIHt+k{miW#I>ziaeorP^FAwWzf4TGIPj?#pfopedm89-VSw(E9oD2H+11QRvXA{Aw~LNrB*xYDLQMLgZ>ioAI9gx_# z=Jix8aw`ka@*T{j^NpDA()PWzW_`+Z8so@~9vPA+V;$2}m~`oN(v|e5;SOlp*m@P( zgXK3bW@VAHE$-8*{+YF&b7-XNr}y<<<=gr$4tsc=uYK+ogVMpwm?CBN6_*4Eair>D-F!b-o}V|}CA zvkvdZEYA<~%)Gvhiwj;?!Wvu3KQq38;4ztdf~mtMX*pGwwsV?v!=67tR5?QgH4l#M zo~woP4%KYJ&iuwjtTuxaF)T4o%_Ll#80K=Pf;^=rbgm6=G?PBO39jC=LXv2yMUhac zyQ}0oTbRm$bKOpDL(b+`AvShB4Wm2ayHx1-sxpP@CRzS!8OnUdJ;C7_c(y5o<>I>z zK=UfpxWg1dm2A%5?EAd5{0P&~j3JFBB;-@vE+6R@zF<%1m)k=t?eVGv zc47#w#4)TlSe0prbp`SyAP1j$Q&uyzu@P=JX!L~3%3%MEQf*bsV3(T3bFXF*`g#c` zx$7M155h-eX_o9!aYwVb&;Y29PiUAvbUGi2=aDg6%)gm!rbbAbq2mJA9kyg&bif8~ ztg#?3UVwi!^eeD?(9#As>hs_p=HkDWa#CEt=S=tBZxpW^(o3jEaNzDfTB-!;&9Hxd z4iRl7!;P$WG@ifbA=1GHgt2P7-nxyW*5T7V2p>w~Fi^O;qr z@Qd?(0vkykZQz1BT2J~?s3l4-1XYO}kr_L8^bli13PWNJvJTBngm#JcZn6-%oO_qSGzUcB1O)zS}W3dv)q${!I`eYEI@0i@1scK zYny=HxR+kibA24ytvlgFOS9#8@)r_?=`O7LoOLVz>|9`T{jD; z{+#^qX(@i>0YpL%mHDu!XTniJ8Mz*MCcjry0L%5~)iHA`77j}jS=Vh4_Otwg=`~>Q ziOLxVo7~7_I7;)9dz~`oUAfHgd`|A~tVaMWYql}8B)9Nu}Wo) zZi;*cS9;;Oc>KvFI5(bR7Ec#wS8@QRb z3*JgNo@+*^I)Z%gt%tHm)EWMfwI&bUJav0X<4QatsOV?JKd^lAmYSNJoLpZ&zv~g0 z19zrqnE%MO3F!MsAUSHrh1FwLTasuLiLOD=^_Jfs#XOtUqg7fEGR?a(EiIg8zIU=m zLiIMV>9AtiuH_iVrlLzemKs-D#d%tNo@?VGW_sONkNW=Zs^h98*5@s5rNH@jH@_{U ziu-x;8>TT8rj*yVTVKWk^*&&>)KTi~#34MbsqF&(W^Kp3Yv>}6XMSsbozDmxd8KR# zs$hc>jgpx8G$CKN(MtHvqvZ1{I!8zPomvTGS^iYJx|-%)qW8`C`lc^OXfK)lY~uQto2@Q-)ipC20K}DJc@5VU7midO!R{<(H;EL&^~14`AlP8wt-> zTKTW>4=atYihm$7)edtj>$vb_RLMrRUXZ^GD5pQ<27z|F?RAvyD<3GzCv-WWzliDa>arGGq+8qlz0KJTG9Nj_%P1Aqo8WB&d@f7EedG8i zVR=-isGTvUbM!}J#_#3zbnJowMe0{!M?ro?>&A7GOWGC_IxA~`Qj(NT)r#wz8w4@8 z3IjS|ZrrHm(X5nH=Dlezx2o|VuhYC2E1@ORmZ2_;A(y%9eawPYh%Gr)8O8gzh(0ndaO!051Qh`xd#QoH$a{?4 zFMhRgrN8S{!91I=lE;=4-eg(*jRH9sJSY%2H`SPf5nrNQ3c#vD6|`+~tC&^Fy& zG%|^z)khZ&U3&Y*H$tkXiyHe#wopwK7^(K%+$R2nlnP*xCuggwZJ#acRy2hGI}p!A z0)uLzbL*L;k=`LveaOy25A@$=ys)GG44WWD0Q^0h<>>I+&iW*0$;(k+l=-cO)JzBg zQRN+*$p!E4oZGYn^d5*DIe1g5flKibeSC`4Ts3t1sR|tPsWD~_J|lVcOn*z?U;Ox}`|UZTE2GM- zz)X_jiY8|?P}ilEJ?qJBH*6&ofK&Xu5FfcUX`NO6*_A|xA3n2KFno*dZ=S5HFU?Ol zxfwO8msq=(XVh;lV+MY@B>?5i`}Sm8d(Mp>Je+8ZBXRk}^ohtfIDe`$3J{J@1GEr! z&7J;XmWO*D*24=9fx$B450}^P2?1J- zc3JA!7FV~M=9bk-Mp|@@5kWZv^j6g2#M6wy>+7zOAh_VX@QbD<5de;DUQB1@=;}uW zL!;l@Iq>4c3RS~T6$%qezC^$T1O>+*UYg-g{rgW8^^_?9ReWs=T^P8rf-g<>*{>Ad zwky1Y7Es{*)3P1^S=08*-!M@Cgf79d9%@MJySr>&tY&HO`9n6F^J*hb`AT6pEYSH8 z?hS5!zFo%U$WpQ{S1Xy(*H|5Yl&V+Z0`LGX&%_(>{qDaHs{NLm9;d+kA@L$`2Qyug z*0@fwbbO=Z&{{+Na&R=xp)_Ez$GiW9rgwu0Ts5 z5&}@}=8smp(Wqp6z!yd5%i}eZADEP%H@@>@27?qh-6~to;RRthRII6da(EqAsq-aQMl}&1)>b$6Cgd*@%D$;VVY>qKb5M7gQIQie&j}giqMLmJ%g6xKusB zsf(&VEf1b-c!LfiT-FZ{vl39XG#8K}e)G+}xRy0NMiaPCpt{n0xz>X%a$R33kW0P# zZT-)a{lD$=hZ@E}3S~y63dWDm3;ou_bkZ|&lw7XXMf2oJN3rVK>)k)GSB_# zgL)jc^;z^r)3oPV8%EhF75g9B*SmFhn7t}H2nkYZ99?!XQ=mCip?GWte|R}Lk6sfk zl38jnewr$jSEN0#3O>{Eb*ve(72aIXtnV)Jzh54%+$H(9$&+%yC6(L^KsA&urDY93 zs})`Ei5xo?93{>48SEYg{Lo>|c|Nrl5otpJ>|JA<2ymsvR47RAi^wMn{aeUKTJ@1+ zeN(OV-U;@WN~q&3952RaR{!OaD7gYx1)d{NFY5C*eikWYE$CKoqukzu8PIm8`|7;+ zHRlF(Suu;mJ$OO=n~>Cncb)R9Gv%;E3T;lUYP$Mmnfn$BIQDDv&4{xgfQfe@k}N@xvX2%TU?j?5 z-jDdGss}r_TNGb$51IWM17KvT;oiCajqc{tLr<_Ij$pwjlqtkQkm?V7Dy$$rvU)HU zTqQO2he=%Ovx$`bKnUoblZ>%2dr2e)a6$x*qEVhq+z_c zk!At*fKa~A89;3^8$86rNYJw7%V+yHf6=jkLe9Yu>-VzyNmpiEh5*}6r5xAc(V|HN zelhlkHo=Ta8s()_9?HDZL?KDa5`Dy=j6J6*nPoif?Vd4-)s49&=dIn@4W~DlWBCN4 zOP;6IH@xAC*_rO{zgEe0_=Ez;-+z6Ys0q>&=+UbcoYLF54oT2+cB&3Dm^M#5e>oDr zlPU3*kff0Bq(wSuP|CnpA|#)G~q4{nq3O3HnWNAS8@J&DsxsNgyBUZhZI z`s9-Lan4-715_FTAZ_SlVi?wo+#5mjTI+i=Bd*<-NDfc|A(#K$wZ6N32^qi{vaD62 zQ0@|mvT3T+5R&w|J=u}zQRGgGY?Rn!^QhRRaqYzWYxghv_0k z5yVni>kf2@^w`EAFtCR`Zpr}Y%ELdzukq>5AYJ3-tXCn&dRsgH0`5BL8luKGvFu5v zBj#6UAaJ>5v8yj~RaAoKIzB8#E1#wRpO+c-u1c&>^oWtl^jvjOT&Wz1p9&Sb5TwKyf z^MCv&{xMxl7R~_x3hU|0!+kwh>j&O{o6&V!?K2TIAInr+=BPk-wBBFSeW>9sBtnWhnDM9^{`l z@4si)hQS(MHtkoHzbn$1k2-$4@*4gDbT~u&+C2MUyc5{SCK0_fEbBb_ zkaBZ(gEy&M(c);6M<;X=3ae_dONxJjfzr?I7awc{yn5un5Z50qi*odajblxh}M|%Gm1rRIx z(lnR8s!0WDsFk-=|E2D|U|**yuFXdLbKaRUA}^$NubP}Y!L4?Y8=3=pcS=Q1<#_PO(Z*5$79>u#L~V$;92$cVnJ;?74Dz092hPv({3#}L3C6U7B=>K z?+r1zV>s^)^dgBBoce!T#GhL1>$`$KK zd})`HRG>uGrXomWB~jn*?WKj9E6QAR6}Fh_czF4GcU~Y-N$#l7r< z`wjlx@B}dtI!$YBz4EzeWi_V3aZ3?zr_ezg+&$&)(Mgmq<%jx=X$A;+7T=6n=GytDudh<$4dHfk;JJ^*ZexcdFO~1#oHf5q zW!CD=frKIlI}(yS_#vi-*C<;@JZgMl(`6+ZR|*knr8lgzX)*Pslpr`aiS6SD_}6!-wnTQFg2@c>rjAv& zZh8uxtc~qfA_O|xrf8hxPF*oFeK3hYH89PMno!`Q!jNE$4$}#lvC7478qcg?$123P z+W|6w`fkP}6qv9U z#MLPU5ak(BoiMEf;u@0p^t z=0;+5=e0cJ#G%C}Q$tq3pNVf(^X7sJ65;BFjhD@0J)jV)h$6 z0qryy67g>`U1qQKhQOfl$CTCcnv_3E$iQ2%^?XgO%);bfM}8!XUH3#?9_g#B*3vni zzsm&}`6Lu;%Z2~Ib;g%0*GL>P!Pals8gG29m`zVSgdkg5n5J*z(Pz2KnOB(a_LTbD zSAyT)PW6BccY|<#;D1_f03cd8{Nhc;)Y8Gh^h7s(M`@UXSL%1Wv*v#<0f8g-tF#Y@ z-rH^VohKW+a1%s#b8Y4G=#3%h4|qe|v&O^i!L&?c%*7PA@iL3Ea<$>ZoXoOrKKZ?v z*Y;oEXeR~|-u-u&r(;MpG|hTn6Lb*}M$vMfi}ul`F7fh==Z-WM3MiDCt@{oD!4*Iv z8J~J{ULRW+A~ZgD9qPZ=VhlbwIEYi_+`RdlM25y*HN#_@Ul~1LtNRsyYndp!I0;&P zQg~OUvNih zS4zWaXa>&3`M+gB`Kw~6Ps#n*!>sSy)Pp^E^vl-i@7Sx)65FdgHM>PWr<3DmeBqR` z-<%cC7|b~QXDY)9=-pFVEt&I zk@-^;%3WXWzO^;SFyccl8reuz$N9?>5(((=Od%Uq(R%&vJxL(}F0dEQg5daVM8R45 zO8sXcYnRH8JEjsd>+AOYgyBHPw3An#c~Jy7Ci8Eg*Y;k$IKyz1scPwesq*V!R&w!K~{lyyc{8_~R%5MB;h0+v7*ujBfgG z{yw^K43(~_$#36)OiC(7U{PzRFR1o0cU=0eD4uf zKT}~iT5wQ>=3*h&(Qp?pu+H?tL%OT^^_`Fm(B^}grsihwRpb~=H?Z_L5Yp0<@1-S? zgBcJRL7#tU!~>CVWw3p$`SC%iT9Gevr+c#>Yg;bHMxmCJrXb`p0d&$zS-FmKWxC6J zH%sbCYDeBmt}0y5cWYA#qbXVHCG^^REj1TTGJ`Hlt?76vug*8(s+lfnUr$qI=Pxa0 z1zl=@B`^<#e=Ft>w_4LCsk!w-R?!xmNY=J~hsw~DjxpmQ80-(a;v*{9$f*qOlGl8; zn@O#`-Svu7-UI^cK#2aJ?#>m%e}iB^04TLfp`5{{_oEw4kqYYf$uGD-MW`G}kvIXP zBorf;inhQ+S#?K9h6*truK$`CzGYLAaL8nP<}aYRr_y;-363_?}G4>4S@s^>jl z2!-jwryW!@<%!>?g2%Agf5x1i9G&39)XFuO6c`Kjs<(FKjx+3@S2H`hzX;4)Ng5Jk zu&9=Bb7xTXh8q?k8k>;CQy^7f%P@-KFXIjiHE~?^ut8Pig7l z4F;OV9b&mE^3v01Jm|dq?1|NB+370L-6khD)YLTBq-(X-y!xy8-e-_<*exc?d#_aA zMEvypnBHG{PUMK6ix$nk?r?0bqd(P}_yVP9Jo0XJP!I@#i-&P*bidL-Zx(tAcmM@z zj6q6oadArnvH8iD_}eVzgeWCoO^?;m8lo=@hy~M@gkPIc9&E7vSD0@uq*xP7Rgz_w z+&!e7!kyqKETh4m((87NmCb1}6GVm8GaD;9HMuyn#iMn>oHB#}z>Mslz~5Fse1!he zBxx}U3JiPiSCC(eN0Qr6y#HXsn=@MdGv}kK^4RgKKGoat=Lpkb99>riKvZ4@_+)s)i{)%dAfv+IQg z_{rBAE`On;ql@9c)dC!xpSwjKzwqE>BLF1!eIHJgUzdq88wOE-#|k~lM7@Wms>&_e z@FIXCs0zQBo73ZvQ|Ubq=UA7I`%fcmz!kw)c>2#}Jqjcp1x;QeW*C4fld<3C$XMI5 z+yXGm?;LopP?{d^KC5ue`TkSw$4g;2fsa-xm_Y>VgNA&i%Sps<`%G|w5StPR{;aaI z%ulcWMYL0WROnyucg(hSd2|_$0If8#Y!?28(+J|u!^R47%DPS=q~luJ`gLxT==9b$ zUw0q~6%*@$vS->xS?En-X6WKb9QDf{<;T?Prq=)f zjEP-2$UAXoKzu1^CNw)lv#5y0)6y$NJe#%>fyw-)7Z zwvd6qsLYrdxd!YmdAVLe7hne9t=qjU|;n|EeP^TSm&0 z&TO(}?AK~gqF_`J^)>8z;X&*Qg{q7aK|a;nUj5!37y0M#u!RfQeW+&6eeJr=dRA=* zB73MvwB!$RbwCwbETBsxcA}w5my*kycEA(V^`1+MaC6=``FqNJzaUNISJAeiAQ$*? zU`%^w0TBUCnf%_dis6`+_&TkPC9)d6qqN6K{I+(0cIU(*{*=xiO>om-!HmPOr`xc2 zPh)YW!i*_vZ`jq&z^Ah=#zjzMpoz|sGc*^HpG1dPEyn#<-IGQU9m#NDvc8BRVgX46 z)7ZkUV_8c+=Im4^BM^uXHDCh(sUp+B=V86Jg~^FnGw<>T+2d?w(%!^{jbyPlouN8LGTR(K5I$&g{N$5 z{i6#a$BkO?n_mo8MAf=bJdIEj$A2nqL!TKeEBC~Ma9vCLO)84wX{9VWN943WYlF`< zr;lWJtzo|c&0>318^#rHnvSn%n{|f`xNpXjo{ThrOiN<{63!H}9!{}(TA%(KgQ0xa zlfbK9Hk>vGNxUw-b@Pk&n$}OqUX~#K?Z$cq08GkI%So!>S4Y;FGi+s2_)dOPHM2CR z)RY+_`hr*eV09B3M>e`9`5S>|?9jkCmTPM#P~uQtyJrpo8VRS;C8L)oZF&Z5#mrxH_@U`1M4 zKfe_IsAWz$Ui>=~>e%dh@@=5w-$c)Q_j^iiSNkfn?QOztH0m3~Dt>+YhPc`Q(|4`? z0Z883eO-fb9T;!vOT)A>;{i<30*H<~WZb!#@c~NmlW>0kN`z|rpAdVJ#knk&D$_Iq zlw4lDaZ|_s3wDTG1vuECvODPl>d`ir!14o~E$F}mim81=+lDs!V&0DfCfN4tIK`97ch_Z>jXb)q|rtnH*=z5^Se zA=C*1M+FeI}32*09C?fF^|42f^zqn7)X0QXW%KX89!z)aa zo86Z3A#HK(-#eOI^GZn73&`k(r%@wJ$3q0jM%5Z-6`EFGaI51+FC5_3yVYj#dKL49 zpEEx(0{U^N1Gd|euN8Jj4$C$Z@A6NR2P|RWO$wH3j8*T(c*n9nS_4A08LXvT4nw7X z8>j(G6iXb=>RO-y`=mEysor*fv_;!w%)ufjI3V?&=-7@-${B23& zpsh7~clTS}o=z1LkerkD^^^TTO*E*rMuo{i0nw#{s44*+Bl%hBqw@74F-h&F=Cxs%(1h7;GD}UKWQP8RB>DVX0n1~ z&Tsd`ZTI4FFn>SlFTh&lfnw(FJAAgD2F*Df`?m~6%NpDrFS@wOwyP$;-z;YRXFYro zcT1kNRkU4}-CFDQQT{-B9*1G{dB)uq>_rrW4qXV61}Z^b8b0PAb^pQd}hsUE=#{`5?MD_d-rPh;2$(EY(Y zdYl!mDuts(Vw)&b$e?vn8sTgCtzBpZJrItqdzIG61b z2bHFPF0Ug=4PF%#9-E5mIF{;*snw@7T6%B={<0b~hb}G8&MwTE(z&M{>XX*$T453B zT8UJKCTljN>+vOjny#^L6y<)M6nL#73!?6RXGd;tBQL>`+%y&yY8r47IEOw+4r$G;nm9%ecYDNj*|I$ohs6s%~|M()-gGfkP@F2sJ7n{@WO-Avr zf#=>|4{jn7`NgxFWGeyak#Cr2nPZgDQHD>KPH!IpR8&ha9{M6)@_2QgMP?O6LS%-b z{=Z`ohGYN|)&$njDw+x_BBkeK|LtAJswDqv>;Is3K8L=y=qhoce7&!wssc``P1`kc zFRO_b8+EFO{tDlC5(p|>e|H7&F-^bE)#u4pm(-|!~?5b>%pDDPT z{-Pz$9}8duN|T4qKkQaA$C9wwR{z?XAIv2M2#CGji9r2ZUpX(f?Dmyd6}g^rVGJ$G z;rAo2i7z~mQ$&LmU%k{QRqm&$dhaT1@qzkqTGS)_%aWt8`0J5xg{fb=+cpLYK3WWR zQLJW_N))X-yLqBM3gEmyDRI5nM%CqIjP+ahzT#Cu0&S!wS&YuC|66e1{{(?X;wfPB zqrlQGRI;c2e|*eCFm(ZH8X5sLd4Nc}fnzVRQ|_1XYKxg#d}s2%Xqo0eN75H7$(67m z1^0i*NC|?U#@$194>(@-m|ixI-sT)julSEwESQIEU%t#t_-BD;JMGZ{Bnoms`TNtg zo0{yFm8QVklgP+OgG#0dqk8Kh=a;RVnc(9%t`k&x@W=T8@eCc4K5J!YJDtts$KD%`l9X^48a#_x-bx#eoq+%fkFl{=lm~8I7-pk7tFZLhz5Fub7gF&r% z@Z@hnv*~iKZvTb6Xaskl`(Qk?d+U}!8#4wzLep5|?suA%ouYB-FKK;}oBp?-D-OjSJDMn#p@D&y(i3WI{sklG|Hz+l3 zr>lTJCmnu_Y?u+%|FmLJtC7wEfW=uix>-ch#a8@4C72REoPd(Nh+k^sj!+q5su0d{oWzjlt#Y$+@zkuRb#DQYQ06D)i&G7wUtc zp)h*waLxNQEXXNIW~-~B{xQ$j4aUeVg=AE8869PVo3V&P>IhqW6YS{7_igGrI(DYu zCf+R#24*#E%Xuq*6`fX6rB(d-_(TioPdL|^2dJFkQdG8gB)o6WJz#? z1QJkg{3(IGJ@7uVRKZ5ALW?*GP%s6O-~hZ z_^!TtfV!v!Dm~`Kbl|D|{5d9z)bmJhTb}beudw&u`jMpgcx5){<1%7Gq|&tRl4yu~ z(6+@z@JsW;OT0=@^)D@*3~UAb&**SF5y=zC;bz98XZR&&B(*p`Sr)Qks9Z>d z=dTQ*hbxoI%Q+l=8(R0gC(3}Q>6uV5XcZj2zV@1D+@m*h#bUaUz+_8>f0)eZ?H3 z7#0*Qkg5w|l&K37uO+VhCs&ei_v7~Ttt+92PD*u_F5DAiGs&&+cQ0lWKy?wEyqffk63fsTUWfP z&#Lt0N~A)P1LV8flUMa0Y5UFIb*gHop#Yruln2dck?1ogd^+FMRq0DVSkI!OhKXo% z+I;Zyd*`feu8R?=BRoT*LRg`j~}H&h74=lBrMoj zhw@-mWykHcB1+Kr2r8ihJ0Yu#7c1+WODl-i_#oHwUYE&PRWYU0%6F3_C%<YhN?VX@+K0;bU|)0I~N3$I|ueRJ+&7#kps44?=M)uBpMTL!8g+%^NrjYZfA!gP+++#j6m(s7 zpPIM&9#fe=1WbpXn67!OA87!xas#sAOpvN!Tm~4BGMDrr{v&jLnHM}g z;uH1-!3q9pqRwFJT=9`HMyH|qCjwGm|6K=~QW(Hu0A(xvTZ+(uAw~7y!9|F(8N`{% zu6vacmXfj(WR_H!Moh{?6Nk`W2;Vi_bG$|Lr zmvN>+SY&ieBvhTNONiyzO96!ohinxQGJ`3lC#f=N+7VR)N2C;FKWv;W7)p{Y+nM*6 zVu({)$y*bEgt=QqId8ITTuV}+>=4@@v9Ris4{2Vuwp&bZrB6Sco_=)5_?)^$4hfl|3=Rl*p2~86%e#E_9329yUK+-QZ1F1 zf=>pMQ6`Owf`JcF!l2{i=ooYEx98J24ACBpvkkEySzOFgh?g*23l(?>&$Z&4cmg4b z{lrIf;jzL2UhQz4LSPN4&I)c5uSMH|6rUn1QzVW&P21#Pf94lyjZW8GV%E|$Wb!&@ zfc(#6=7-Aa7-+v@k)PK`?s+yFIn7(ztcttb6T-5QcYU!a1(#$KPj!C#kUoayF41Bk z`(2kVD|KQdEH*IHoH87bUqJ>ucg!TF(&Mu#0Gs1cM^b6RAxEY!BL4EGU_(agqIdS? zqx#L~KQiu!Z?VYe=FDNmvGi;&><1u`dZ7NBzGTcj-6hUu^5>&;jz%l2(|3u73=VwX*MlINVOg+ zUK%u&7Qjxzn_w^d0bZgv{0_yE_~icDx9%+>b20W{{K~=ScaC?19-?N0pwtMnSnjcN z_#RPJ!(&`k;twTtw3`l>1<4P4^8{I`09XmsVg>2jsQ3e=ie6Boafv>J>xKco!_MF4 zfld@t3#O$^F=M{yu=1`qes>5y*d%jV>w3T56C8TqYySL5Ch@|(cGeM8VY$6*pL>_+ z_BW`J2;4F8HlhorE0i~#Ig&fjGZsNrXh3xyEy;hEEXe+~_z zdAi7>1?al%T?cpuYL98~yIluJR+~QD8U}WkDnr3CdOEDgYN?DW?<#-gtqDqYzrCe; zz7hm@JkYcT+K}$ZFH}oJ@y<;=U#B9j6)Qn=Q##`#xo7_jd;V%Z-^hn@YY|^Yh7Xh_ zI?{W@Qy|-}c&5mc)98@*6rkdz0p)j5_vg+>NFB$o)PmD6Rw$SR(Et?2&{V z$%NV!TAU=YbKaeEW{&3A54>2LDY^jG?Kq&3v!E{LXsGIQX6~n}P=JK>uQoRdUes1U z8aQx2`k4Wh1D*d+x>4pEZZ4bSzsodAKv*YxQLWjTu{nyx{dlI|@#-c;K94c8lw>B7{nF z6$o5zac%uU^0zD@yTxr83PE_}@TZUeW^+JE{QDbOOtN#m`4eN&s=-MaAh1RTv)fw8 zvbw4J-R3==>Xi}x1 z%bkYdA<4QtY}0tIbiDLGg!F_gtUzEn}rMh^Pclvr%tD6R!VImT2oFu5nmR_2=)=u8= z6-Zx{s5iLz76-E;qE47fl!36izvGS+i5|W9Rk4Y)U=g|eR=1-hOm*l7VK@*{Md}2X z*+K<4x-K%{BPTWrBWz2SwR><@8F-G*s7-uKiKXTAj9`OCGSL{ERTvC_@zOvT`E(Ki zF#IENDWRQd6hgR(^QVu1QNarid?-6xGw;B#8#9EKqW`tsW15m$d}4zxp6}80NpL)` zjg9DAFZU4)0J*^qAt>zID1_Y_HvD?yOD1EAjucb`9G{eg!aJux8zBoJQBXt_eq*fq zt75Z(VWn=u(n4;$Sg5Wzhq~U!I*IQ)oZzG6nxPSWW^NJqs7(ePA7;u9{XoDO7iM>=q^6sazdk-(!HVA;mUW)qnYkDPcZ^hgE zp`*1|8!HC6oH=9lu~NL};XvAcBYlLT5GImmb@$okzdZb?udw=e-;M z*pW9Prlz+iqi1^#L!%8Cn z`dO7kgxBpP>zdjGfCNHAQei?<(TVy+Ij>Pos)P8$M4=G!;EW?}zCU&hN2HRg#27|A zPsN=@8pP<&kUv5R3EWMif|o=wvGl&c;3Kd1uZvg_l}0x4sb25&02^&Ot0$?b3V^Px zn1aqW0Wl#;1+Fhu;wZo_Qra*dwLdMb;t-q^(3cWrR7&|(^NS6&i`kvnSd(_G8B9Ke- z!WRGlQFyWHc1tC_DjO9Mhn$XFUI_T$*x2~}b@=Br2Najf5!q@Gm1kxyxdIf*5R+R- zkm6$iK$fMV=QA{apoT+Xw<`W5Sq-ldh!*gMcT`|wANWX)i)PP&I?um)82vu_I(LS}OX2-g>D0xmS6>mP9K&iCC}sFA|xD*BxtB zWy^1@^|39MPnPztzT*%hVn^vxJUk8vunz|_9|9iuK8F((Hm|qT?ehXgXAvL}ZAHM9 zB4~m1FDQVlF#z|?<^BiOh_hseVS5I+#i$YVg@-_3InmRf`u}?Y>g&se$cqO2^Kf4K zka8p9{v0)JA2t)zG&Mt?8)iZu5sa}_x&S(5x7SZ6AD^kP9xn`Ers$-jND@ZL<-4cB zWsd}~5$1-heUIRdb%+>jCGEIgBARcYSG{4aoCQRil*Lf!S_pQo+4-CE*# zRaIAqh7|Z>Qs?p0l2b=I77iu>d=Zw9U- zn(?l#{{AgW^V*%x9Y*LFH@NwaljRX0XTz!+zEC<){)aaV8oR{#@_VRA*~e}3PG_4= zX2Q0dUQnR&P*51)z%x9j&8>DImzJxtgya&Dhss>_2XzBvXpuA~#y2YVzF=M+bNe4OiZsm8_^q6$SJr^!{4bCgUf#% z_z$55cF1UX5> zxp-CJ?8lIPrJ)L0*?gOb#)4~49-Mp}3HHBEIWsk^KBP6tEUC^yoKGYZnU~(fbs-*` z%@eUiq0etaqu_LbGZ^a^{eGv;dZK+Po=Beo8#SG$_kAPMeCuun*VpzU5uexH%${#+ z+7C)tq!8n~E3(?%XtL0qx!(>A{$c75l$Q?&mx!6Z8>>1!;k{FN^csy|=$LeK zqY$<`<9RbNiQP6E`$mt0Th+uKnZWfIe9FKK%gyakW2=|4I;--qQ~S@%w`R%)r0`%R zn7ufnF23g{i|GefO^&(v0qn><|_4&9>k9Ek+EA9?lR{H}_XeBH9amE_P#S!M(qQK30^_xCY{F4g2Gki z&bblvY6i?jtY2FwyZA=G^G^qiSCr>q0W#lsjBOw~)t#CH{upvG6)2Vunfn=il8h~i z(NO>zy9>R~t}|kcFH#-qoM8#zv%F8+=rH?_L}$ zmv%X{VL;e#{@Mr<7dFb-1*W%cYm{K5qhpoV!s-+6Rjk|V<(Q-LLW&*(0}2qwLDq;! zVET_?>ec`nz-W%GmvFbAFaUTsVodO9)1da&)w0rqgv|^3SB;6F+8r0%qBys^I#7{J}HvVJDvO;|`!**bLa5b)G97qmI>!a_?qJw2CP8$Yv=<`6u zhNM+BYU(+2t-NTN0{fln_wOOxtqeer3*KOH;-ou>(k8;27_>)#ZedR0EDwC8yBF@GE6MkacSE-0cl z#~ve@Rl+WTKd%mejbsFj`GfOiD{dH+a<_*eeR1p_x-1-Ddal@QrJgVfn!TvlmZ=Ng zt<6kl{xOdXg7J#gx|mJR8JrUEITwaT%zZDFK?$ARC=Ms}0#V*NjOCN<=%S;7Rueiv zoUeg^In{uec}{j^HllBeihP+92u9AKPAa3t%WbXiM_UM-CI6Zc&}KDZ-#H2&%{s65 zB(-5?Cu(eLgpbPhMSwc}PR$$LToJT;KTNJfR_=1*Dj0)l;*Xc&XdyT!k4fC&<7`l) z8xCU7=VA2LQvzS+SDCy98w5WFxU<>8z`ldMH_n|%1}~so=q66sm-wEz^ybj88m*Ov zytH~q9oia>V!XQhkS!>0Tj~&>2&1?&w6BemZ0-MsUARh+n)`jfqQycCYnZEH>0IV;T z4Jb4GU0?X|AI)wzEz=lPgJZ2=ik^p=|6n+h#J zpI1Euz(nO0J07O!0iQ(f(CLqTS8C1XzrDW5tr|%fX93XTiu#rw#jY3zaOwR3U`wNR zB5XI;YS&V;^HoFBqvGT^THHu+!fqOPWs|ZmY)-C-3e#etd1q*8Q9=e@s@#I{}Dd(jRp^}63LuQlqi z-i}QV<`=c7c58R9B$mT69ye8PHRDXj8p7E1HSSUZ0=~>UAAu}TeaF>8d?%;WNI9hL zt=o;*$yDbBqX%ENu0O7~y014me#~cHMgs%>E%``4?3KQZTRDKx0`nJJf|n6fge-=t zv~lQ|No9L8UfvHKJr}CQmXQy8Y_({Yz`j{Rxeq8wXje9Nff=1*TkK zQfYNtAbp&(8#ei_?Hl%L6>iP_WC(@Yya6R=aq2HOZ1xW{^Lj%Vn_-(t#&o2O-ZO52 zd7bR?lL9U>CJM2~9YeE*?cR$+7TO+)(fWZ=>$F!gz)?5qWC8`g!9%v2)L8AhV(SxHFUgdf4mB>=0Frk2yWI479u)eb+1HIrHJ}S#e@4T2wDphE^ML}{Z zgT=eYSCNxl@@v3u!F+d=54=$k%4AWvcLj(ZQnpu2@$(*$y1XPtn_IEFKTfH!_Vav#+copJBO+s9}r3>%VnSptR8T4S-% zTvQ__sB2tXLiCNa@+%h?-ZK?Noo-kBsg6P9H)AT4;nhA>_I0G;G1r8#wGrh%V3=kY zsFQa*kp>G(O-cxE8hrA8CklH@drr^Oopa; z0J(xFm`{<2pwUil)V%gkNznOmc|#karN~rzfBz1E1MO0ExVX5m7!(y1 zKUXhKXoXQnPRe)$ip9||pwicf*&}+t*z(%~3`^34=Vs!yczitI?+S3_^XK|3l&}z5 z+&h5{yVYYc5p&cmRhvmYAQK7u=r%F(RlwLkBU&USB}K6gmQtOR*dk@90^~@**K3J! zN>UjW!7gDb%699fkjof)oxZeWJOh5$`DWhWZXb8yt~tW;`Yk60m8IXO8e7{v8D_s1 zJJD)V;l+A(r4JX%Vat%wmf=sMAw(sH6hlFyhG;JD9D+f;d%xV0Q)iC?qOjp=yDFN=eQ|^Y?VomUWgA-T4|!r^ zqduv3ChdR5tN*Q)Rd|NfhaY-TytzP)%~p&j^KQPPTpD4z=ykiqZFT9ao=vRu%w^ay ze43rG__wl)()alM-bNYdeKan3-8RPS9WV6aKRYsCEn&YjSdw~>%58D8;O;*Gu|o#* z{A=c3f9jKT$y`0WX>(18M7U)-h4F=5S9@?l5xOz=XXR49L%Y3L0Pf(~IO*rRxT-(( zxsu4RUzG*~NEF4G1RJkILr(6S4`%{j)@_IJVW1xGU+LU|=c;#Ozofg+yV(khpE513 z6z2V(GB2flf8k0qe`tNavp|CJ?!2K3un4Y?1O{&Y_N-jM9CWJu4^x@e zA}gIBZT$OnNWa)ORV5lEQ%fx19Q!G#fN7 z9X?Jey@bV!T5aF!)bA~8KrTIT2^Oj(%6>WOZ$`-w>j;tkrhB+T<6y}Y7OO|FpIM;k zW8=1sBo_C-xQTmGcIP0@mpy*nyQb^+8S?>FR)|dY*w~o&%=;@g5PPmLzKv|5|1y!_2*c3lv?>V)hBWoeT^){~CxS3!QJ;+Tppt z-jcFRAeLtYaY`M)2?(^2A&M%y`0?c5(%M-+SNoI_4>NY>wQ#p-$;08u%|eE&b@EtY zPzY3|!iAIhl&&udfF>^7<+|h@hb=A}1(e0&iJ|Q*nrU@%gqXQf1Sw$U*Twv>z0VH`Ei*$Ak`^;4eRR`0c2c6 z5+8bzg;b2@_9*w`Lwc5&nqqZ<$jmG(-tE)?hiXy9gU^D_(=SJn2_F>#iw>y{By5h?z9|&kUKz7uD&HxUk&pzJ)txDGtlB|<2vE0q5*z^+EKNfI zo;&tb^QX4Gr1DWz$Lb*zCkHYYH!=e{Jum=5ua5iLObMqbrNyY8ca45Sm2#BdY(Ru& zFOAtZ&&eGP7r#Af8D#^|<0#pW8On8~KA4cidY}Y11eva%(AvI^#?zJ#;pN0Hb-ntY z*zH2`y9=dy^uOi#<)PtH8rL}L*NfC{*8)WFSWTr?f$$eQBULy;U9+I;>GcGB^L`-QP$J&3OyIX=lL3 z7E9jG1;H7~-d*`neA`c3I)(o_%X7C!Y!Mz40(EuHxT3uS=g_O&+JCwL5yacyPf&TK zSd|d_%F|df@;3+>SGHZfVb8H~Exen>mO4+oTtkc&EdE7GKUYe7f5Ca$_*`jm*0sx> zZ}R-HHk6wfAF%P*`*4;mea01siVXe$@SJ(Ny|;QOSDkqaKy}65P|yrLx!9g)k5~^} zi$kEXnqg-RWW8zdo!#BTxYzSY*57pItJ?Q(vS&m#ab)~w=khO~sA|yqDt<)F2{d{C zav8exT=iqsD)jm&>hbrtcKwT$L|=#n7x9Rq(%YLUubQvR4k7P5$+dg{Uxsl5-L+ZK zCZtUR5%tM0=kIxaV#ySTfN9mNqsL(>Jms2+)SVolz~mdGfbW?c)m$qBA$A0~wK#pJ zz@!aJQrOt24}v37gPLV|FU0TnWXo>!Zz&u(XOPlYgkRY0r@X&k@~EtcEwRS(M#4nj zN_j6ojEvy?@5$&mAzNa5Y&zMf|RT$Rhdp3xbiz`C69mc{V zd50of_1syd#g(`_hTv4gUL$0)yW?I2H(RPV@Ffo7qC%#GomlkCt%c+lbkKvqxVf>xiZN+*Q=J^qSH zcW&5n9Ianpr{29;kUsN^?ANWu40C%ZyA~lplEB!@B#OSlvN@#}E1vM5))4xeNO}GPF8wR$+=Ks0H~~`mc4yz@vH4c zzL7)(*XO_%vngYYhi7+dhdTF=p{VFpwHG6F zLsL{8jYGkBRc!BAD@^nbcSA-!i#!wqtOaC?u-S^B9~WXDMl(-%%Hgy4t!)nRv$dbad`KeQzKLA-OoOfvH!Wz2 zpte=G*7Z6%3{{j8lx5-#^5P)3TGrg|38~O~MzAm1HWxod#(7(jyqnJzMM-X4xAIMT ze=#7pAUoUKDR9h}{ z&^vH8W$~hg!GD_X5szTQ{huR;+An$^-Vz7 z$G~fv^&$BiScfzsI-tP_Q~tF+)DACyYSq=(1j?Qr1^_Wb?Uu0A?~Z6+T+tl|`_m&xg&AdQ!#bowLYw_GCzpXkqC+F?b6IA6QQAg6^VIBT=)2tza zW+#ZtQQuG&dJ%3V{+G96S@%rhnZqS!#-FS;t$^Q;no9Hvr}u3uib|~a%9k7&EWHbH zdw5zA&;g9|pCDZyQ8{9;i-w6@C<#h~E)U;LH22Tyh@;!*kCxy@|Jd4c_kyUp*?kXt zfp{bo-qZ#QldQLk5Y+brJ^z%RO7;P+v|Q%N zQv-O)-0b1huFh>TH|EU69KY}!ip0@roG#I*khmq;7*=1`BJ%GmYFo>g06aaWqUQh% zleP667jB(hWG zR6|5gpv3}Cl`PjG#C!w7rPG^Z&Zp?zJiSil=z1Bln>92p>lmv$U_@_5&9#S&EBPWlW!X=0Dm z>Coe3K*4a0t^_V+(WDf|Ak4=|fLC=Ak-r^wb-8|C`~hO{><{XjrTtzrG(Oe4 zHaNO7{(XGqE3%Dwl>b<4#u}+acBNvdq2&gu_U(@^L zDVt&&IV@C{CL4%Zs~ZhqrQ_Q7W^y{Ltr|t%hP|CNpZl!N6fG-$h3M+>`@YOll+@`a zH=Wy9H2b9$Syow|{=yj_F)A{rg53nPUT^-*kK>yG2VoULT$vb+j#VZb0q1-m8`A8j zp6>$#MLyvhZLBz?b$~CepG$+ZVQt5m2-9!Cp(BgyPm}eACtoI#2j$~vS;@|PlGWTk zSojfTuhrOidjP;gYSZPNS5ucBuf^FfPjtVDDIXomZp^}2C-CATz3l`iy-fG7t4<7* z25tB25u8t)h)BgZ)o%c+cdQ-?OSHI=Wb!8bIJlxlV0wuoZaxv^^-C$5bOGr|4_tyb zo!j>%nehpUgtO!69OZuM`5r5nx03!ZF(bJ=je+*7zkLvoq`_`a+b#a}34qM!hfKtY zE2LvPsz!w~!Ta`K7p3%NGdDt}xA42%$x|_!qL3`GbTmPS-h4O!$mie00cxJ^uEl0s z-Fj^bJF~cq3{M4xpSKREYTgL8TNi1CtYEU#7`4l>PbVP2#Pk3ah^(GUyZ6Ese(i%w zv(0U4a)TKwG7|XBdAGaz%+c?giQ{-{LfLK-VR6^eE&0p9+OB6MqRpX~rv_zdU{LoOliC z_nU|d9Dq0VU|G7&tG*T0*o~HeqW$^DLht>xyZNlcP?gaMMxw$8)#u}ew~zNV7AqmD z17#_I-KVK#A*u7U?3VR|BJ(x^3;=BDPO=tJ_MNS<^lvr)4Nb?v=J}eaFi|WKoBcuF zaaOh{kL!dBInEz+nAhz6_=fahb(svOebsdwayQhU2W1~$$`y%T@XZvmmF)2e^N=Ia zji4aWD(!vmv3RjrcSS8UJAu_9&9v^s@AFc>(TNX8r=nIrxcW-jwk-QkK7d*$>K@(J z=q_A8Iy}QwXPr7a`LnG18@(@a? zNg+OwpZ^RdkjX!>S4Bo*YXfWC_w-W%l*esmtf>qrJDYU>b{|eV-6v*RmDz;dR{qU~ z{yQUCf8MEHcIPIEz4o0GWR6fbe!#uk&E&dYQ5Rlq3Vygr=P;}>Yrn9e`!~A0e#^zS zbur%XIUy@8uY*>ix)qT{lJecA44pG*5i3tf6TX7OX^`Kl40dW-vRPcA7_$>2)!TCm zB_lqC5tcSJoX~(PO$&%%lM^Ey9G3yT_$FlMx0$yQC*`-}e^8A$JPvQF@__~uX>dsSX_Q@@kQ;J+q=Fc87;OO^dGRjXlfH`&>A()UMBOm1~ z)7;ad!LBQjCy8|ue^1E(t>w!O=u3mhwDUjvdys5oJHDKI&~9c`fn@vO#SE{y9NUo$ za+=(f33w^T?!5bl16$o7b7j9rHv0W#=$lGW<}||OfLc(nB3@b}`o%`PV#jJ815b-* z3rEIN^USAMBtQx;Dslub>;t1XHGTBwi=Ti}6gV6PmJ1tA6iH}`dKo4s;(5t(_~=l1 zKVGuVR$`L+O}#Oom3ny$Ci|^vEzDUN9Vb2U-CHXs5)c!P6Lv7-uKHa4-?j z&o=#3$1$ecoi?F?_Zg+&rTlV4UAm6=?$~lkGz8nd>i**mU?>Usv$nta$8+>Drf7lv zcvq5dc`B?inS}Of-V@`S`<`Wl2ylU93%&>)ek{cT zH{?5el?!ldLTMnK!GCb)K0G0y@Ef3S8_6uYK$cP0mrtAqT?v%9p6*|-LmT>m^16sAM^d3@Q(5 z=2uCf_ZgX`WTr6AXWrTTwMHrc=zClmYLmC`aPC`wvx2<;BtQ3ss9(;KrS@jC*FQZS zPqgZV9Z_L*-o8;fiV+MdRJz{vjeXq(Cxm;n1Yz;4vHtE!WW!-1)5TZXB?O)gdJOb< z191k@xMegJ3;$TNFPIRWEU6c@i13>6;lQ(i!Dw z%bw|Ydf=|7nMs=-z{9vgXOe7GTL(FIxf&29U-n@wAQ3P?&URvvq)yE)dQ5AnvNSNU zr}#=9<6coJ{U@J(XH*Bn7Kn3=)*8<9tt>53Ec$PPl4G#0-{c8a6gk3iLe+%^S>zQb z`s<01gsEyCX&U*XtuLdxuv2t37*am5W<0cnHqsboCvc|voSEQdyyn2Rv%uhD8tCTt zcoFM*B*vFCy40zg{1H=7B)`DR8OeI~-5&o<`?rl*`Ccp?z%q`&D|u>Nig|?6F~H@1 z_S3Nd6ph#Rg1*IAOhJAUE_F}T!jfZWfsF^6?BVio{L_Myp6x#{Uu$L)FM63a=k2g!OB<>v-j|=3yV<8$W+Ny7V^x z^{eapxS33y;apOSO*GrtS=NQGh?kO6wxA#uv*Y1;Sxm>9jV>gas}m8|-t64PHRlgZ z&hb!7ciG5|f?}UsAbAMzEvQYL^2a+IL;ox;`0GGYn23@W;ZvyEH*8 zP0lm&zAm68Ii{|*>pDy6Bk~+t201=|)W8$&pD~*lo(Psi!jX{!Z3-SM<8&=si*rTX_*h5#^M6mAM84$G;Kb zxlL*CE9wWI?t=N%l%-FV001?spr~dJg9o&B=Tmv9m-}?*_&FLp*`NkbUK+B9?+!zp zjx&F4stYdlZqee>qd%6^*>m0&M<8B&|*0_<`3?hHb0Hh&uSOf%)xgZKer&1ApvLzcZoL`%> zbAl^Y{Pj$3w4wR)jCj=%hJ)#C)C13{MOM~exgEEYrG*Ew52`V(Qt0b{f z(Ljp!uCM+M{Md7t29aPX6#Mu&YA@J&t0>)p6LwY8i&CLbwh|AMN-Zwp+f$jb*C9kQ|9N<&57H&1O6#|AjW8=IIMU3X}gq^0Tr z!E=Y77*#q}-3qn(&OgYU-y1%o0UY=~Ze#vG08K%%z67WS0e@?8qZ&6R7!wzE@-4uG z;H8v_LODfV5D>8D{KsP|>RUm9D62i_{>$~2@&$0RO3_7#Vy$LgOQB#^7|#p(==02r5QwCpO-|Ht19Bj`n!z9-(B2}aeIBspDZm=gzqA?b_A}_B z1v~<-Ju^#I=?l5#yd5BeYWc=b@7aCqs&)Q(IbQUju+5% zChoNQhQ5?9G}|rSZN+>sZpXYt##4B8mGZ@AJ1&hEo1NH}Rw%~p zmY+uNrHe_+hSl~W(AWOp?2KzYf{lNYE~{p{6)uH?W0hgQcFfJJnOYgC$Y(ir)!H2n zIjuJ9K$#fsrF<~}QV#*s4ohfh*dzJ2KY0=B;d}#rvi{2?rumPw#Tk6+a5CGI<1q3c zc%?baF0*;lW7H!mnOI+*hNt-t2+(8tK}zG?-d9$5vXe1Fhs@i zyj1dEF8<_M8_&p(k3aC>1J6ABnQwm2JEV|q+1M!}f0JF$x z$XEHGbq?@taD$Bp1jDL`fWSKuVtusfx!ryDDvX&@ss!CXLw)2hJy6{9w1ojv5iCm2 zTtIjVTN4=%N4a7U_~<1vnev#1B}u|q9P3aRGD(tXt=a0Eh-1H#FOA4(tpQ}nXdqga z#`wU0b`04z9fc4CAcWN|WrKJLs1y=t!yFKSF-8E8L}Lhz5hBp#1|TA>jSv6=fxK3~ z;VB~4038@^L{v)Jao}#aYkeX>lu{A_5@-O;A|5!E6|IfqYRFojqX7UHv5NU9+h1Y8 z00=bNL2m*PfMHD`l@d~dAtliWA|gOx2&9q<5I|F+NrC_bNI_%-WT7w^e*%QXR>#o- z>w=b$!(d5r?B{TJG{eI7;iFnpjoSoMgS1yMwTIVyS>H5#Sy?{-=6Za2}C4gmYVZ|sGVR`7+oM) zDwmw|SFyidMHGg}#)=f+wdQ@B1qli|7xcnL6!Rq4_l~msHkX8$k!6bcLg1pBZn&8%&bBuc4XuLq8j z8tcu50PxPcw;3{I5E03sHb!gjrpMT8H$bm0N<`ZI&X0}z-&$+`d$L$@1|vkot_GP< zr+(c1zdPn;+)GN5BuSFyp8cx3Fao_XfL434OR#?-?ITwr#DXz^xae4Jm@G%5qutyR zC9|!jjPlDSm6Ae8A;rG>{CuN!+%f9}rM^v#q2hSH8aF)CN(B2GH8!09dv_;Xr-3nE z_pKfu9ZPg#3~8;k(TUNC(Wh$mYc87dOJ`wn~Uq+FX!YCzysMA@9a${&HilW8EjufbrP)a(k4vaBB z{^8Y$PCO9zi(76k<_kciwVsdbj=v%zm~YnRn>EkLyX$V|hvC?jYdnX6&V9W$rrxq9 z_KxKUWttRN^gTv^{f(M2CIm)#k2v6x_BX29n9`68^;GJ}l7)SZsx~^*Q_GKyYon`9 z7P9SjZ|{F-=Y~~leQD!kH+wu?hib)bE-U1 zX<`?_w)jq9GFUIVx&jaGV z`aD11Ti@>oWM6$gP-+-5hJ-r4#=JJBR46#aGgBr6T{vLs%aAS{0yu0A_rSdH!2rHtaG3ui z1l__>8tl*j839HL!V+NkP7ZG%AhWCj#BK1LrM^KP+Ra0ce5Qe!MuvHUI}q$ciiQEx znf@N20j#YVSWvnHiTa94M`vISlh5DRQGSc|6KDABENKBCaW~n_LmFeOt8oBC4$)~P z@nk?xoH)R?F~*p7yDcqZWxXjXrMlg&F(yHsXq{;7zYYIQn@Y5=Q8i@vG~{V5jOC3n z=}k%SjR_`*A7{>A0svUIVzo!5c>wRnTqQYw@((??tpRpWZVF_L!O-(R0MMi=u% zrPLjFZsYpC{+<62LNWxib9ROm`uw;ddj8NC35QyRVH&bz`?il&x_8^sDLQgPtO>R5h(?<8z<+cBuavp`x0T}xmPEs5;XY-@G0P4fVviW^` z+(y6~cy1j0ci-ZtH2rxPG9=8cGupW6;Cc{{ z3?Tp}2oit@1vxjJfJBfaRx#EP0Ow4IgA4G;5CghgJ-dr_+K~b=)w^}F64MGHNC3yM z0@TP+2SW7Fwm7_>4qo)5gzmRC##0BajhC5<;{~gTilB|rM*EtKTf<}nZw1ztL@ZzT zdP#ftOl34TDP(PNX|~pAcY?-nQvv4o#=O!H00Al!BY8>K>n_#jIuv1fqF55e16zJu-@WN|7W;$FD5&mFZ!0ON&RyQd5ppY^ks|LcKa z(?cGXM<5+VPJbKIBVRd>7&bjT;N@@%I$|XqO^-Ys(OIx`o}to^gHbB#^}4xSj@6H} zPC}-(uax3=-Ysi;FaY9Yp&T$Rp-h@GWIp6*rew?JxU0ML_#q;*V|JHuJP#0cS{+lb zmvV9f_5N0CU(4N$4~VA(VC-p@KHuNy?QhfsKz4!qKS%)A40TjorCd=@# zB&(-Z%on`{RdKuk1oPgeCRG9f0C;eA*9Nb1O3>#z;6EXSIK?1laYV}J)Q^VnKjb8z z0WlIFOLntzH4S?n=I=v%FzB5nby?gbQxsy~E*OFh*(YRC0EGEwou`jq6!S&5ga82M z<2qSCxt5bJ7mA2jjqBuAMTjrCK9UOq?|b9=o^4;+;|$gBhmNwdkWRvo6bylD;Q_aj zJ9Dzo(~#9PxGC*09=CdZI8)75JRjFwj?}}9|L#F&+8=cDKx=Jyn}ML-j-{04d=cEP zEENg>z*W!3wfVSq-0F3KSQRXnLsHp(Dju%X!za;?h;tqI2s2A$NE-TTpcooLQip?| zzQln68^9v_=+B|4(4j}(@74q4$81gX}V@u(?WQ+hJ_d=;?1}I zF4LE6x7)mrGqd{Z-~J}{iiUZn4@?^~$+Yc-OfpSmJICb1$R_V6(Ww!AKSiTJAVSe{CV@Y@7O*zZ~pks9gjTv$m;d? z5jkr_1Fi%_tyUYXCL>E6QnlM{p+HJ0P-v)$c(O6Zga%GRVu^Nj94cHgtis-348GOHam)jvYxw$p_} z$m!opc#4d|fx)JSFw_54;c*)UvLd{tl8mJ)rF=gjWdLSV@I3GHmwj})isUU48p$R*eWRKYN@U9rOj?0PWvn$yq zfNC8c+&tNzfU)_$+`V(s@0&Gy6cB|Fc^9!V@Dn1k=PL}ibp!+vGQPykm3D5KVoI!7%(WO%_>(a0zoIrg!TsdBrErVr3SC2KFOj#kYb9!x_7+=z1Y4LFi&N6To zMKnP~KXJy*%qDQNn+~by78$Dph0D(-HI#9hR>TURc$(->>P*~~%ji6$>Q)4pnPV~W z%@gCHSyF=+@KixySsgOTw3V|MVUbGq_=(-csafeEfX*Ha2~)mY98PD$Si^w3y%VcB zc8kxI3bWE#8#7fZ%t{aH^w?2yEF*&j>sMlBcdvY;2saTw&L&;w60mt<-0IQ-z+v+i zgd>6gfQ*^Cg-2I7=iIgFrf5Tpa-{+fimd>jolKdl){pKSpOqfWBvYkYC7Vo_3{=6k z3;5IK9{brD!*gT0SM2w$U)&cv3)+W30J!fjc2;$-3HBv(&l7x0#=b%j0f4$>6(uqD zkpT|@ops406~je!HVABG0szv{s&>sP#tgVca3(f{$6Z9g4nt5+txi|~x)N10F*_b% zmv6ex+JTfd>_-3yrD{1fkn%k5cemX-HfJ7Ap%5Ynf>*ujRqy%u2RzS1KsH8!CYXxT zRH=~aPa?p*8`d1XeASDOd*SNUtEH5P_|~_+_3am&#lGxv3qB zqc%|zw#%?`A^*xBxpL&>5{$b=K5gO(Sdau<48v%fT zdir}?mAX<2MfjRqNj3r=b~5NgYSLF4NIN$pB!NT}NbQ2jjHcB~HJ~e4=_ed18ynhK zKmZ~s6?XtypJ8BGS3-prH5G^eN=jfGXRJVsi0qNcv<4_*ic=RJVz^}3BLc>48D8eB z7&%3O#*ZLs^QeRh5mY#Zxvrk)xx>neu~KS1b0Z#F%^8ha8#XND|AoM2tOcjIhN=mtD{raV|N1CPL z1LJu+qv9&r_Vwu_8xk62@XD=JKlIVI{A6!>WLTs!ih2?NQ^h zGF3)bIJ7;%4dG1UvKi2DI&0PTiPYreuIbI2nj#+O@D#!9{?5Ze9fbp&Hc#dy{X>}P zQz0D0QRtk|?RJnzB--tk?qJnfl+f%zoS5q%9Nh{FKz5w=6KJ=Cifsus>kXAFW<9Fbs+)IJW>4B0Z2~ z7G(3z@l#KE1pr+A-D}zJ6koIAep8B6vE=dvZUqk%Gu<0Z)cs<9EN14XGgn~dNBfdQ z^PC#Yh*X$>s92Wl%2O%XZo#aly{;WdBI@bs>FMo}B@o&Gx?oO{uPR9?m7+WU^cyie zVx4;Xo^RP8mPH2BnZb01Z8AEx;fPt*MY9)3C0q6SS3m!i8*aFvQmG(fB9U0TcI_8F z^63wM?h_yY5&6EYUJFihU7_2BQVQiFfL~FUFm7c}Ztn-S4fOYBHExWd}8VgTvR`zt0ZJro+4Zqr*3n8ws2GB(d z1|2hDoSqSr5Sl^Ern5o_E0z?hr9!m?5K(F8la<&}4O?As#hlIrrV})+a1+???ibFm zYd+MW?$;R;3!OEb?sYq*XUM8+=HL>My@lz{x~4w^o9WTspO%X}on|=q>I@h~Q>{NG zF#9CuHk{68QWDti-Tq7%I(MUV;#@P$?BE#l>?M*gnptGMZ-E2DuL3O%wS~ zzFa~;K4Id;n@wc^F;^?sNry;?lghU;k(%s7SCN^nNJ6{ zv(5>+tUkN9+MWU15g2mX##0{vV4+eHD8ML|Et)f*h@@0}qh0}eLa@?+ohX|p#)S|7 zXwWz8^l{fUl&h7gQh`YQ?QefO>G%@>V9T!UXl4W{6|{pG6}2mxh|YZ58G9!8yy;!< z;32zj{hAlQ@I`Ji)ACTVn3|emqqMA!p`=tw1v04CYhW}vfhY)qMx#-y*V~Oc5Dtm~ zotYMGLfRgIO-;oVfKZ^%4hSR?A}T_mKtq}aUS#1%JKJGZP9h6aIyxiZR!`%W23OU; z70Xi!v|Rww9@Y5|5;1g3Tq#1!S|BPFb`bzbB4x2#o-hVS4H*&cKwWbJU~m`!1wyzb zk|Kp6FRDLG^Hs#BoW6!{{Q80}z`(S+CU&NRp3?{bC{#NT1N~-dBO0q&M$$r73r1s5 zADzW%4Sm|V7mwF2tq_1p)p9nKc1PUu_lVl!JsL5XfVS@5E>I9iMWm$ancTfN+4ImN zy93jf#|SG)fMOj=b?WmQJ#t5Ts?aksJ2R9)A9AHazET=WXS0r3XN1U#=p-o!$agY1 zSdSfO^k>aaJIdTW`p!Ng+_UDcm!9x1kF8k;Asj+Zp93P2IBVUXPg24LsREn5k6@oD-5GaPyS@yW6KnYD}8M4ywC?N^d=BPcP z-TVPSxmvOD03cA1G2k7e<7{gQ2&9y?LM79xHB@uk&V03Xkb8j-{pwBFJyn`NZ?;z{ z)8HVGK*VCTj3PuC*qPv9Is=Hr$9hgY@AHaaFqJ_>0{YvIM`vY+G-PaSvXN4<-DF<} zN6p(69gykjI5Xjx5i@-%D4Fg9-K#wsqD+Q&313SLLaD;G7KkmxR;#t1X1mpDvjPjV zOdd})L?BX95kU~hN~J;rNlysR$ZWzBE~{jw9S)|m05F)!u-h?a4+m3OCf(or)_1PF z@=7VC=XuOG3n3;aCy5k-&vw~Ej>L*>t`rs(2TDd`s93Fd!ZV&0v|J~Iuy+Yd)rt^a z#wdUQ!C)#YP(&;PfD3yFcQLL7WwenCZ%TEW>Szz~5R*H0A z(MRz`_YosmjO4m~JDAE?pHzdXOrh+QP6Pl$>1^J;mWYu*tlLQF z83g3?pwR?k#Q{XEK`@lca6C7pP$>o?pK;U3ncZ)8%T(sRXn9AfUB@6Lc=65iaNk^eiPY7F$Vzn%Uun7?HY4a9s z*)`6sD^^QF)3mT5ab*LyZ@b0E9!(c-A5C`=|A`3^H5xOw%x7441l+QF+!I0zk4TIK zpp$IN#JDGfkp&I>VP)zrm#tlh$&E9@EC2xe;1YI2LBPRuRtPU2y-j>5Jb7U{_Pq;b zcj7xA?KM93Xu9|=Srw~gAv|q}0}z3pHh+=h*xlKQqP~N|j1W4hR!6);AJF1D;X!b* zRu-N}52QTL+puZlhD{s$`g(=ARJ(a%n>=io|8QtCbDT(Dx<@;#G#_Uzg7<;%b3`#vj| zZkZVOJYn%f1Xwh83?Sq`EXgx{tN(48*bWGu5K6(i``7bBPv2!12%rESdh}tAKlu%> zG-s>`0JF2RUh(3WzUH;B9UU3{(g#2FfiHXl5P$cV+ip4SPn=$9EDwYbH+}zqIC5%g z>W$~0?imdar4*o$B$ZUUV+jcfQIJQz@B5w?2tULOk;es;!(3?y)gaVlJT@wq7HCi# zltB%J5FijG@q8zZib8n9PLciYGVK;S)b8b#`#k3dBq*jRqbKkC!YJvC_Jp0b$NAeXuw(u!L_$A)98t*KQ|!ZQOz2Uk4BlA*WA; z@Z^rdlcsI@I0JTv!V?s_PG4&w2T`o}k#Vd$ZYKW90f=8j*+|_}h9jDGP1?09@-%oI&_LmWzH4dfB-n;clrR7n*CL2WXhq2`{+sXc}ELGK?MBtW&Q z9(i{oC^n^+&w_W9Gh21Nn3>b9tgl9li zib(LLxAs=+839vphyF>zO!t*^QfrUsj;;^@z`z(h@_VTvQGh@Ov!4FETBBL1*4piM zv(;=g8bnGPHcccb^uVC%^}6qQWO$uiPW!rXF?#-EegZ%^Zd?bhnY9JX=L#lSC^dqe z1crZ&H6#R50T;~~E7vM~b(+DlbTVZrFT-9|DT(J{I$~!njc9dFO>kgG)?;<0xbCl* zYCD&YLKjkGwFaD4=p3AAH&1d{B-XCte#)1MU;N;wR;^gsSvsd!w_*JUzxW9NE7fDZ zT;x*nm7)bA2|}Nt92e?38Sn7cvlbBOOh`98UoKiHnGsuCc^*a6I<450`k+5Y+mKgal;3E23+ z#;<J?xqYGxqKC*o4ddb43}AW@tt)q4gaYTfGL^dN($ zwxL@l#!0J6G4vGc0hqqqbv*BDX>+jy02a?3D_1M|a*?6~=#IBd%;en?)l4d#Nv2EH za;TbZ4hW5Lr!X(Hv>UbBX{k~M!f$=$(lahRC*dcw(JAwfk=Bl`!;UO*%fxt?2C>qtF+=^u z4}R+XU-&q84I1K-w4|s>YQ4^ z4A?O|86{b+30KDRbcq5{387VP5klq~fNh^5itus{F@FW2F0CU#g{Wz?CFV{2&+hCN z4h(f=JC_Tw(JiM>?6`s})@k2g?E1;d0v*+f=57Alx@(6ijg(S}somSQPgJVyK+W(r zc++3l-AWHknqSP@t7|xT0E(G|JmEq>jwTWp zH;e#7VNYKDlgp;}3e_e3;~EYjr%#1&@J3<3r_KJtrU!>zJA|1&6~aLog{~79Q79#O zI{LmZWe@}b>zp!R8U%se=%ox2iJmWB{_##;m4juGhtk<_hEFrkb>hf*qCVVZ`#Or$ zmWgp7;*0qM2AtO(}k`nJ2$$nDLX}$nRA|@R?|E> z2%}}us`z{o+EN4oP`lkm6s=~n(P*|>O(9SSj|cz-DaD8Uy}iAZN_znBJneJ@n6_I-QEJHXNY-pWRxgQd-LTYPz*-5BTHbc@45H!7tdW# zs+1Xc%9jg;a&ah~1puj3s6fHN>A*;D7)KH@u_o3_8UvvSmpucjq|+M_rsEYuX{XBy z-7Fv)0Yvn?qyO>TcbpT$H2M3i;o)yy^o@Tz^Uc<_AS)6rnltvTuY4nxc=qV%uYd6K z|NQz_esjm~t+p2t{Q3t!v&n1`-27!rm%Z-PSO3@b*Xc7zE!q(fTyfDQL?j)b;47A` zIREWufBoB+gSk%I>5gW)pRxGqo*vliGbf(m`(9t%$AWBNlb}&0-084RGQdtO{;}{? z2T|}l2w8Qdj5)_%Hiu3}M*CVYhJR;x1sVC#K1lQe=F=elWN-&SgG>P7Nm3*q2Wlx) zN_^3Wvny505z^3|gzTj$6g4K!!5mK(Y_e|e>Cm|avxa!YdPYYPoK57Y4ClhU@)CwUi{r>o&TdB{RjXWjRp~I+qP}(+O=Q!=x09s*^eW7 z_BKXm$z5nP0k9#|Xv$Kf8mSNAP$ruz6?%IT0Dy?+o_5AJzIUbVl%aIC-fYa8HH$1- z=1d4gWJSrFzV}lwA|N69#sGHo}~f?#dSa7fr1DLLw&EJ~1)vR<$E_xF?M2@r^Y ziUbN`CroG&X95t2!V}K@DrfK3)gWCt6iAW~v=d$KYGZO#DdV$=9UN6_)!yE|o}L~A zBmjjd0F=4eZhJOV19kCYu$whGV@UuA0zm2Q)0wED?N(i1Qm7Ok$$(KV<<7NqKQ;{= z&tw?(LKo&gI(~>~>jD4(gQ<*N?^;LP)eiu!5Cnned5G9*wUknPF&_ZhvMoG;M2G@G z`_ALtRFVRH@4_610u(DH>tD|mCZ&=VGfDOjC?)x5lTk$})#iz9K%|ta*XpTCxgE40 zcz9PwBOaGP%q~dSx;?jOEJL*_4I~rb3n7Y?QYMuK!Mh7ELu0o~HZ{OmD5cJR!`lJi zp@$#leO{xbQcf0gQc2Zr9rpBR@Ugb<`>_k-`%uTd#80nbx-T*N^2**G9URO}PcSg^ zhm-;Y;fX}T7YIFxM7`M#0_piZ?RL9fueX}bL{CB~B6A}S0hFRvtEoZ}8g}lQu$Y~_ zsAVdy2a!!E7;aIq_UNVGy8H#lJ^zk7?hryG5(y##U-*6k0F+W&c8?>5H|;=6L7Jf> zlRXhFp0^+@8gaqwc?Jl;sZw4kiXk3y?Kg%t@s( z?kjx*$$mE71?*KO?D)NlpHQll?QP;XOcf30Iu=2c2yEK4>FZZsW|TD$5c?9n^=7@& ztn+n0lNg->-12OZmfOQHvK^ZA}# zxmvLj{+@7TH6)nqA5cajabNbjpeCFceeJXV`ETdGjip<@9{ZY?zmmJ*!3Q^8eAy-Wa^d6`obaYMo`#5T z`qwwy_^01mtkdeHrtZHF)NOG$v?m7h$D`8$%~JlJ9q9^uK2pG z7!iHzE0;26dg~u={n<^|Kl2$!JpVb*JMzdQS1ey~>WQcP?AJFScAqxU5S#7$HTj*y z2T#bb_DFFKJ8Ywa84fdFx`aQ_XIO1o(}!(95w`)Eanuc zOw!onLrnD#5b?tA@|M+vj9vE#H6&vs0u4j~A$fOd4`v3~7X)fzW^o{3QsJOEhUjae z!76Qp$fg)c5&P9K8h?NxsWQNm?E&S0Vs1wg!5-5&KzeI7R8f0tv8s6*zS4#20^@y4 zkQzu5k-aEDK$C^MQehExv?9kg9RsHo!8%64nYR>8&0`UPY&1TkJF=q?gC5zY8HSvI zNGheIl1eULy6n!|?`Sj{Y_qG~Zr^$5oo63)lp+NRq>@syv3|xYRY!XwKekMahZigm z0ASIaF+0OO&s#WqJ~wcnuitk6VM`8MIA^|_&&8km5_iS@4{TgGdp-m4E>Z0fK3H~< zXyx)1H+=WHjSp=6>XnxQ04b76%0Si|bw&V9oixkWYPA}TMx)t~N{(;ZB9#P4pw)LJ zeHzYuq>{4UX!P~<*`eV*l8?{9s7+W?n_>5J$D{40=rR|G$}TG1E+rKpuo+Myk}@b2 zO9T)EK{A>26TUzJ0u?9(K>!3GbjDg6H8Lb-Kejb6j1&R@3IR&l<*W5$iIh<^Ripwb z%aw|fQpp-Ah5h}2fFOVrDtlXB*flC5%QL#i$7d*OJ3=_WyKxLeB#{!CUL}?A1lI}_ zAfiNx3c=V+b})8OA}o)myekCt*{GMWND3zHi z<$2MOQb7;|+aBIMSyT$71fq^sK0cw`gx0Rc92#%I+#r)pBocdyIU*X&WJzf4Y=_rE z02OVxuBJA#V1kV&B3GMvFqO%b@&EvUgnRW0#o;mW=lc~7A*WAzc(N2upXf{ahcMHp zLO58X5S_J#&C^c*^mV5oXbB;tRG#M{f|S7OrRH1{J5)PD(Fw9R1s=y_hk_k}>u_dU-iLJvJD z8$?Pf=}BKYE>Q>xH`T_(#ce@TIQ0cN(G+S ze_4CapYOZ-H7|eV_8mLs&7J$>>;K31eb4uZNGnrV9plIk%QWkW|H$^O!|5!$8skUl zf#g&v&l+Y^rGg@r9!OgvkRC`9DRY$@CQErO_33e^1+(XsYZdplcocu4sZyRurS;80 z%P9i@r23OesmQj}!N|s~k`!EG#1kq`sQ{iZ1`v;U#Zqy94dAg<86)s5)Bw4mk^$#dg0GJv`Dbrup z90UO5ayeF=28F5qfmHuM$$+Pm#T;oFUYD*R00&ytLcVF9MxDxqh)zMgwhp7L+odo9 zK^R#;cfX9?0@Jc#xF9HuS8;B+N4XPb4dn{K*B<0;I_>D<_y=!~cCJghSv>~aY~bNh382{Nil7)?j9 zFT7GT2#6i2I$J{SSLzx+!z(7fqh4>K;D3zHi6?ngqQoA(&9TKL^bLxx5gwh3zj+A& zpbB9i4vnDo?Q5@EvS_hVG?X1Y^2j3*aoN&k|KH1B`QO+7)UXv~M4PZfv;abifL0x` z{Nxi(`uC4~Pz92DL1U(jGBIM0$jZ`E%38JB*Wd4Xo^3orRO#9_6+`Fd4%-rTi(bWc zzXh|IF(;%QHi1&AR4NBjYbe(0^?}0A z1893qN>!;;f*{}((rUK}pufN06QDq_e<~$}P|CQx5aE6u_8~-h&T#pIIl6FoI+I?X znNT2Qjav1x0>Gh6mWZ`jA_MG5ou*kT(g8LFLYHeOhUA!$QDoJCQUghA)R>E#Div5r zAyP`ob}`p(HmbEYW2SO?)MsQUHJPt;dvbuGsbJUc)^KZUuNqUCK}JpiVKAMw;s&v( zi3ny9GaKXfnDyaI1a9ZprD{4ze+8h`_x<_g6Zd*g9}Xd>e^=p2K~DD_Ha!SP+ab*K zsSqBgQLqG#Sq;`IRZ8)eF9ZfbKm_e}tKDt~K_F$|`+g8e&+}Yf2({-EO;5|!ihUF* zu3H_-wX&&4D6-pqZu8D@rIZY0tJxfE*6NMM6#*G^XlbI;14**2XM!2ts5{Hv!pV;handSYD zG`C}I!<__J{+PSs}wW0zl6w?bsb?XHSTL&_Dcq-}ezk zyDfYBJW~2#UwA^Ga4qvnDWCTA!*_04?|I(r(b)j7=KggZb&M~$RR2J!TGnDRLb+N= z4Wzh;pWgHf&b+s`mpx8yo)|~ehMGFWN4M$WxUx00bTVz$BP^M_z`Cc%mrDSV`?=|X zw224kb`6uS;dgpxw!s*?jNKeGHhJU0is}c6#W9;F&-WcWb^_}lV63M?UKNZGVs(!~ zsTwSn8i9Q*)7P~EXdZPD+B;J^*-o1{TKlep9|{aMy;0P1O9Y}WgJ8n0-MeEkJU6Dw zc>tIy6<9vEb;~4E0K|US5i#c&YTyLvfm8^yAb7$Hq_nFshU|nr8^t;`2I$Jfp%$7& z8NztGxb@3!!03l9HB|e8$Y^M5fBUjkA^->>F1qp(^u5vSu*38Nk-FlCSD$p^i2yL1 z9<Ol&J&!$J;FUkT=9HJ73;-`Z{=}PZzlAG;0)KJquYdlBUwOhi zY~I4KWFi8%;a4}l{FIjg!DKOyM)H5wQAcxQ?pk|~zHLA>XL$7Mmw)5jGtc1~PL=Z* z&i+V<^0J-0PtVGxbD4YU2xlN zw=sCi@yS%uFs&A`o|);VtrOdw$pJvHc+LVa)8L+a?>+kHqh}d=(~Qz0;=T9Xchr$b zf~k^y3IGp3{Lp_~dU51<+6jnw*V=pjyk<4~W$#b)oO}A&&v?c&PCEXCAOGSy&MF83 zAq40fMLeHOJ_JB;_|u*-JT&~icfIG!7kxR(qBsq)-33su*V$eZYP3{$3$u$vinSSe zr;JncPQBs?^an~Qscqu@#KO9h&WlyBVab2F%>cRzGg_+ z@@yPC4*SG;WLQ1`fJ`!_sU-mcJoxAXC&RwLrf|^2ibLW558;UiulEr)JrKU(PQ0^cg&joS0rNf9a~S<9-`YCZVK18yCe5yXhT%Cu83!ZkYevDho` zjGK3EQ>3Jnje0#>uhtrkhqg>TrpCK91`zzealgdX6%N1TdC)c|29FA@eq!guk6MSTM)Z7WJht)w;I)uy!@91VY zeWUf@T9&wb#!e>DKiwkCTc~|xcBuw+?uY~-QX0Kb7EzwDk~aYurimH1#1J)&y(VT% zdNYgK0R@tcNMJjVh~Rl1Gp|yrAP9Wl2LME|`p#Q$WRC5*R?rrXCC6Bo^3Q~yK%-KF zK>12BS1t~wGUng9C*KJ5bAqk^5M6okWq^3@`z~m!fB=D%js&>;>Tlh({tl^4e2h{nD457)y5l0~^0`#n)qK)0G!rW)Rmf+bgcV z^6s_wxV>PGKj_>1`i717Uv$OS!RUqA zQQr912QIqe>y}&*z*S$p91wnU%Wr>t{dG|cw}UmalByZLzMfvUhq;okJN4BZtZR=wGQOR z`?&@rqbt#v_wB$0L+x$%fwch>PYv1|dbdZ@y@CdM>GBAi&UE89PmCM)N;(`iZ(*@o zjv&sUPd2Edy>|IvM`RcbC7gk3xyA?i@Ic$`bk4ur*U^M9!s6@h-m^a(Ggr~2-yZSK^PO?MfE5Qp&fy<(hM(zZ_Q2*;I(0G7;K;8v|zD!M!%BJ%ZaGGR4O5u#V(p z4$jtPwyzK|buIdW}uG32hi43~X-Itps$=U`HN_Y@%jv*w0qG zHGA>=$!dW?c0}B|YsYXVn@wd*SMLW+m?5N-sY116bDMEt>AEVVf^umxUsFmaW*r@2 z%q9rbM2iT7VCh@GucF#Kk0rDLwr<-qYdE1wg&;dD5P6L=a_aEr(W5JEemxQxVt0ln z+^F4SYykNE-8Y~2jt?B9796zj#A9}cLT5Ngqp*+s=@WQK|KI|4hr$7b$8`vzCrOHT zO+@7H%!o7^4F;Q9tws>YL_#2<=ZQQ1@(17Z5Vf5iPk2^xC{`<;5CAwUGw2Dv(L=>b z*%KbqXV3EtV?xDRMF9?j zU$AiToH_Gn%^I~VDFEcA_5kAU-8(<>fwv6wLl8hBfz2jw*-);whKqSIGRG6b6P_o8 zX6&5Bi!S&IP;Jxz01afb}0MwJPteM=bwGDP0jPf= zZQm{Vv6MlhDJ#{QUvJeLEkx07w;PRS5J*pWzUL(pJ|ajdrM|~RBAre6K2J`by)Iwb zwL1xDBs-id6&$t_%C${?(zfXPCx+^7P9G~7EPdVo8ob|HZxV*AM7{pvSao7hj9kJF8bLmHwqzmlD*_P zFJR2n6@CzL`O;;d`|zjU^sY0|Kr7R&fivHF`k8S0DQ|dHtY?1p{r|CZz=(>lZ0WM) z%a)(~e_zS?k*%PW1cjG-%k*Fg4@@Mxvp4$f`qXH}vgKd==x09k*^k*F zyZi3DpZ)A-FJHRML%|b{kd9e#6jyBf_U)eMhuppcWON%YhtQbmYxVq}b@VYDZPbN; zfR-;?4geb;*ywA)jA=e8#G8Kot5aTj^61E@?|BHJql?9J#~ksNh-mZf9j6?3JWuA! zul#1h^Z2;Q$e-#Df4a3;EhQ2O-X=&0cDIX&ix)2Pg@>%m+KnrS0c5fLqbnb=U-53_ zbl=0Gt-KxfYm9(&Om)zNF_NkijZaiH*^|EL;$5~*%QLGA3c=OeQVQ~sufRomLD*A z!IK{d0AO@*I9DtX3L+}iD%o^K2;m9uD_2|+$bg7E&s+WPYkLwsjE4D4dl3L|IGyD& z;vu%ZyLB{ObyU-BydN;SyKA(xlr-W9NtsA@NOws$OuD2yrMtVkyOERz>Ari|z6%oYef6RZGWgRU zW1ag~)$v}`syJI%iS+P%LIpb4*AvX07zZWkQ5$d!*2eVqOx6B-@f&w*t{ha=CPm9c zVtYkEr6-)sf?L1p9~Y+@3g@tYN#O6=U!rrJh+!S36r4*baTXdG_AOA|o!=vv(D_NsOd$h877@XjuzPnMnJ3{U+#EE&yR->nAn3@0F;=Xqb4~fy5*dy z*{#<<#2?lzKm7fJs!F(yYPk&J5nBMwi^y}~}J;Q2Nd?~tmxTFn!W z6OGHG2ta{j-pU~M+U~3{Ii{0i3Ajd(o zwd}C#$qbTv9LrNb7v9WZnx;ah9B;`tuu!l??_(rz^^6gjRpr2QWeafrnz1>%SbcC% zClnKL7NzfnDo#Hcj&6xkx}muTJUBG9-ta*Zvb%hgB~ms)F`hdk z{(D=`1fp#%hyXu&1j~`zo@%rCqZ_r-a@qNkd9My;)7D1V6!(vN@%J=t$|+auq$F;&7e<(um#4-q>6YcsuyL1j zcRq5i0%j6@`{9b|ybT+CK1^sg?*T6guzkWk?*aRZhM+RQud0?#*VeH8U` znNW6py>8JZq04!-$|AQ?0-F!W0P64BMNm#kF#`ToRD!+DU-JA0y!rS~FM6Z9-yQNkEYO zxLYM*CNdCMvX0e+<)4s~8$lY}fN^q6grg&324{h2q{!TOLLq*+MBIL`=2orYvXf;? zHGx43V!3%X6HhW-C4altrUmCfFbs*!>QmQ=Bp15=kYv;!oJmP+Jz7J94*4T1E-(2+ zyp39OJ}IEjPDR52SB=PU|MqhEtcreei6gYm`aKB=6)cHsGc{aU;18O{A6ma}H(hL6 z6?E|Uvl}uYo=MVktL@yA+)sRH8;+5J*_Uv*?HpTHr7bc*EoHgNC+7GykEhiB@A{!p zSB_*e^zYvzJd=1*;8;>PrRX*X-hn5DB4(D$;gSY~s}AEv0d=$SO5(iT@J2V8E=_^> zozxRO#9jjuMC2SE#q(sLn<|R93UOVwB z0P27>+=|q2%56-jqy@R3@^{Q@S6R7H+7W7`KpjO8G(y=%*rl?)3}W)yW);>N#m8+t zTj4m74sI#P0ddg+te5$yc7%w=DbeA$X=pxpESJClaeyi_$LMCce0EtSB1bQ(egicq ze5x@!*W*&qoNYZPtbe2wc6+@p%#yKvkBd7sQZV{(FiXVwu%mCQj7g7(#=o1N;L;9+ z(nR3?My7gZ1x;j%YR|g;`K=@*I@DJD6j&7IX>Tq)erS(O91$(G+dP27SB8p`{S7W5 zE+0kr3XbR$REjQZlF#+NtDsfJ;9ifQ&^db)r+L0Yst7qt@$CwcU-pVL{+&ds~0C0kswBzYg^ zn@KlXOBIf>HqY8LH1DSIaM`u0VyDD0LNCXhiDI0L*3!s?p;3;4B@Taetva1tS^7p=I_w5$z9x#$#!J)_IYc zO=$wvTFb-wA+40WY2#|8EHNULOtBZDlgHE~7cW!*^LnkkJi4V>)}RmD)L6)Vx~*>g zt;lqn3Qwqj_ruOYDJw$N8Mz@oWBTf9hUkv~iSUD{YPVo?CGi7jZ}d`?VQ(CRJmB&r z>)9R@Yk(ggk?y!8RIS?@Ex--K*C0w?tuPiFAMl2y6P1Y&DH?<=q1SSW{y7A}i7!n3 zI;U@e)xVkw@40V3G0_0_7d9RScs_9GvsZ5-WKx%^mBITdrtxRI4f`Im(5bPZwfQaB;+<+Hf}~%iuFv7iDiuAb;O~=w z-W?1nfdpV``^(VTe5F=Fi&GB6bKnZttX%h|`JjFGUnFyB#C=**Aqxb72uNg$pF(M< z<1bJr1Ytvl=aqAxgF%5e3IOp$W>JF2fhT9v^{D=*zFEDukhJrAgs)BCr(hmHq3-Su zNcs=YzBPqootZvb#VV#msVEgqfAK1A-$c)CLa@45SgA-zNj0mz`bq8FpIq#I6llH| zDup#DcI)*SthLsM??I{Q>0b6vL`1d9KhDrKlsevCBeU91M$3fie69+!HXU~&2Pu&O zu)rP>|8~x*Nzr-hiQf5sUcp64=yb)h9Ta0;i~Jn)UHx(I_%kj z{skzg57)AsK&sX`i5i_!%N0`@Y`CSqj}-DV3<;&C_Q_}{HpxK}^V@>x8q-uQi0QX7 zOTpDkN=&=6CR6NGbk(z_d0Xy*AUef$y=l%R^(7X~9|O`Y28r;Zg`8(39{6X0fJ!9q#p6!L+c7vKy3i}FGvogCKMk5&DeQLQ=8TrzQi(Mdj{82dx_ zO=S|PF2_tKbv(8&IW-#%MT<#tLbriq7y`}J}`UU zx*?UFHV6j=7&c_A^EpUB3e;6(7{@MCn&m9`r|ZHdKA2UqsT|(S{wF{JM&bc}#9?N^ zRpI(XU$waK|yn(mYXf%Qnnc}|`l9@hI& zc+PG$Wat$$*9Pyj%Tg^i`vEDoOzN2g!#%3yw4(lIS$8Q(>GmbdFV<7zVavGnCrEDl zVT3X~K^|`*d|40ot5z;31VbEr>z==nv-7i!Iz*FkB^3y-00u8}hjjFL2)1tMJkxX- zA*CZWh6@VsPCuw-+pIR8U|T;1g}`|J@l;qdI49o6tE|?K8i=bfo@+c+W)*GK*Tl%g z_h>jk%n-%2aYlVrFc?(1Zi`@0I*AE560phM%OWy=0~`?0yF8M7 z#j{2yZMCsC3M=)!sxziBi$0a7c-Pr#hR$fh(@3fNzND$^y3E`7wqOF(D)nC04#e(` zvanX>Oxd zBjM(E1HqU)M#2qCDH_;x(urOq)No?y#md)V@%l&r@U?%mHwi_HdYL*_T4M|a(N~sv zE0Rqqr-7-mzZ;oUdLPga#sm_=qO=;qzP=uq>g)2FMJ#f|IbcDXew>kLf8Pps)p_bi zC)T}=on+T;!C;$31Au+(jHc5cS|;Qg4SEYB!hETFRyv}ZxQE$wi!#A ziiM|M7eOQnW@vkHDknxD4CqDa`CEkNQ_x~3C|36Gv3eBQcnjvSOK3{5!z_CzEP^8f zs?N1CVaA`%y`60*gaG>J{w>xED$$S(%W6}g zYB}CgFH@m|2nb8~5|9zR?s?umNZ~XV%c_1EJ3KDlmZ|?^^FT}v*yUKRJF1d1{v`uv z)nWI&(0}zetTSqE6u-TUUcdS)t&Ae?V!dkjuyZ~xO(YjzO+h@ajU%@KhV7f^a^s`C zr#&ZHWAJrcPWgQGTFC$b5{{n4oH}4IbZK#R*1FL>cvlXQrY2@Yx$;fZgC-cGK8U^~ zP~W*^7FT(F#%;9k%f-d?n6@HQ3eaiI)aYk5p3~1=%u1h^PthW69_!vcSCAJ3u^{^oPnRhm==%v+lc%POjLLpk1HsG3M=;MXBlMh z|MPGDDYd+WHuM?Ir41hIcZ3qDlDy8^i0Y`zq0It}&Bq#Zm@e5APihW#(?H~^(xD0r zxL_3f7nCpAY|_f1&VTkK**<)a68f01zW-}a2xOJO%Y;9wNUiUjwji_+fqTx_>^NN# zhYM3in5(KprG1l^kUcb!y9@8gLs%$hNLjamLH~RX=8K+3YYIlcY|>7xyN{6T6$skR zT7z0n&&00?Ba=k#5F6KSWZDg3lNT@RNtm&%>$r*xl@ypYsnTA=FWwan+j*EUNvlB} zB`2Ep*9>LR;I}k5gSQWI#jdUEbOku@Y`lLbsLX0Y!apgu58bdiz6NUs$)P?^Q!3LS z7&ffJfVb>{e$b$5HNzTiE9p0=62hkxKCryUHJovSVsFcGBp3Y=0K!r*UV@kFG_+25 z7ir5&V)5*}T`>x9S$4@+9apQJDk;e&p`zH;feCV)dv=@?F9)&$04K>SqR9%_-)jsz zlx`bZjrKJ9VnCOMZyJ6n|KUl*zT}wu$-Umkzn)T>btG-tEA|R$x-7#-Y-5q?QcLs^ zvPQlBak*1aIum;tFQNx%{gbdgi$1T2j%--%ZzIVJhJNjDBa-Nm4xU3uCsmp@E}Q87 z$fK{I-2Ex~necX=RW*6=web+pOS=mz$d$)%#xT;TdK9pOEfAz+#gkv+lN*0Qs#!nN zwz; zLas-(P8q|`v3ouFN2%*t1rYN;{1b3FgJ?B6qHGy>UNkX1%W?(iBjKQ&CIZqzh(E+FY zBa7r}6gEG?45ymjc4(Q}-Pqi{~Ejdr-xRGQUM%R`u*Z zNPV0c{%;8|OkU(&L6oNZ?k8-~IZK#d1;5+zY2#*x>1d)W&V>m1-(zF|gXzQ%^)GUA z3^t9h^dQILIcisv91rHGZ=_CQFDSgM~+qt4{?Jtm-fT&QXk3_~XV{RI5Ybh=Pb zle6H(k$5+3_UG(fBE(WN;TF>$3?+}Wa<8AAt6%u>F9Bk0zGI3~jX|dc_p?gwg zYhu3uBO#s2109sP)k`c}dj~}imRiqRg_p&%W%mlFv%TaHTSFc0*i%|*V&0nl3v3Nm%3|lC;yH37qKn+Q=xX!l8(n}H3lQc2X zP{LcQ)jyEJP%hZcP4PU>XcE8oJ@$#LB? z0079#u&S@)RbLZ(`Ydb}OiM9`1Nvwv9O+_rwbVsH(%A)09foluVA=^fzYRu*;Xbo^ zw>%$iHye*(SY;y{9{0I6dg9t&u5lOBf1Nn?_1^h8nAOE8em(Um+IHP*+}AtW@1-1M zS)fRt>s9^(=9)z5eLdaT@!}@E%v<-4Y|jN3Aaa8~ubX zqTxKIRe8>bBZ`k{)VQBnOmT+3%;IB|?-6Mz6FLk;8+A5)X&(<=HEPOEehV>Qy~hH@ z4k&2qp=lGACj>@*iKzWKBy`J$KzG$xi-{r!X`k*=P|lk+uV3})$(oU#BzSjn1rk;h^+a@8Cdgpl1q? za=QHQPk%n6M&moK3vyEK60orxcsCXm381XNSZg0?3NQducP}*N2-yiox1G-$_Pr`p zsm%Hu9|sA(FGn|ae^_SlZZXvmY_G3NEoV5)9M@*LZA_t(u*255JS8`q%p4`GCQqb$ z3(0CQV=L-Q4?$0Z*SOk({tgM1`8|)Jtc11jt>xH-dWAg|EV&8<2O2 zs%s3l?CXu)(x@*D+5CNv%Y9FjvGZgBAUM>WL1~of)!7|XIDlMi!oRG{r#Ai9hdZ%{ zGQ_cl80(v{n?mok=IT|;$Prr!@O6uN`)!E+D+D%e(#xB^5aEoKRZvj)jpK~~mc^t; zLW1QMd@Q{8HD(zbdauTto^j<0!pPjFPsOK>WbD{96N-g$ZS{%-U!NULT!#|K z)+1L$|Llc@Jl3pfHE)P4cv8!q005Z2>1_FET!+Q?krwuTZH^>rulqkwZyvrCIwpy{ zAUhdhrpMqb#`j7axY?9-!BdQinjTDkI?dS$a@h3C70iHq*bb$OmlV*TtmIcHf(C$L z)d$Q^;ielqJp^fJw(mNh0)-dLeI5fCT)A|JG=CTkw*{Mj@Nlg2gRuk+SlSeQcSpX- z@3Zg1*1~`8V4LZo6`_39=xGAI_ip~pSy&3i(OLz64jEudF0@Pc7mQI}$K)Grmdj|b zKEuC~oXF_*vvpevunx93O!v=;JNEwD>Y_zGFV1dWzb$!Qbqz%w>D{N7tgavFrpA7c zmnIABuEzY1+J+NIdx2{m(b<-i6kHX=r&p~Bj|!Be>BFHhMCT4l?&s!DqlUv+I_V~< z{1IUjv3Lm@-=2<`TWw#DYdJ5!dj0Ut<#^+4!F*tJm*Fewj@r7GvdzKs(VSqtlDr(8 zR=N_sbRT}^wFws_YCUS{bScAa-6Ay7^RjD0SK{IqdPf((D={(grpxQ5a~>70_g}k- zth9{Q`|a>N<~RtI=Sg+5wJXeYf!!?qsUzAuWVZII^ouFm0=6p_hug0Y<9eyEM`4C3S z6#){sST))FT^(NEG)S6~D7Buu0!KnpH#My17k|kt=W@WShV98!Q{;If+a7OeTDZ_+ z$2`UKF%s`&f4nR-b!ZdI+*ZteOD^(R2w_SV{EW(+sS1?t^foYL6vh=q=AN)lzZUiv zW}^OKe(?CuyjfKVKDEL3(mgfAoz@*tL{of^Dhq$}{-r92bj}uRP=Ua{Xvm-g^p^Pv zt#jESAl@-4Y;O02>?zu%JHz$vZHye+xg!8*x4pb`$Z!2{NvJ@x;$Q;i&4<`KTz&4s zN+djjk2GSO9iBJXoNtGBbBJ#^SEaWWh`CjhAON6>*tH%^hr?`Gm5E)rYS;OaY#il2 z;gsq05-Fe|w}K|1>fjwO;cZqJedb;Tq1@z-13vy6Ki$thL0{ksy>2`;fB+!%3r0 zGq#oTh{duFdy%fC_!ZV9+MTwl=$d;*sEGXV`irPv?(ivGTCC-y$l9IY?Nwz*f+2L~ z1&`f^b*dIXb{d{x3ZWQ;w?Wr#o+h<4YgROKDPPYGO9`qGUKHR-^i(@Jj?M4aC7x0GJo-Rr7Q85BWE zGAgO1YiP-#A$Ig7&IdDE)b3=;5JPMUmI53^K(HaK-b_kL5}AyLfO_~E^%(L=mb5&3 z)VCW|x6);QVt|Rb5JRz5R0xVaKB3MZQt7zWpcKJ|eP&r#t^?F?_sNbA4)5or@7@x*n>1%&DGj8x?=Aeyf8;hcfLuV3 zc=&nbzHq2xK`V8j>z+0}HzxN#h4HL1RIN;C#Tv>gH?MQWdEL_LAHZ4^)w& zjBTb8S2*aG{j{VFdK`+h{5Pt$G`nU&*f9njxTh!};T9w?rQ5@fV8dKGN>koP)9%Hw zYwg)~|BB=@3zN;H;81ZU;xAC=ZhgoXa`QrFa-u5E`WIIKORpusN0|ApyNqHuiJAjt z^s++*T1p`A&k~RFtQ4a~#$^IIT^F$1YS5tnC+xqf>LVQly?WYj*2_d90_}2N2*Z`$ z3H8Ubo9u){KDsegb%iNDht=wR9V2croQ$V3S7eX?be<-mGtktC?#+}PR1A(&^m`dk zodV@47y037Ixaak)(W6dvhwdN`hXxc;ok`(C{vcmdy=?g{g8eP{3O^5idoC2J)>p2 zr-g*jZ)A?KUujAnA`Ob$tD3mD#ky~tRKm+OO6TBP&qQ9QHq$5Jj~J5lJjU4tJV+;x zKIJ1FcQ0%5d|UR0${z-8_uctk2gd8)gTT+BcWqe(QJmh#?>%dGBNVnSE#UmC)=P z*q?gMz8CYv4kmLAi-@`?Dr`go3JS1zXjv>G+?m$c7Tx}YpmTDo{}RW7*vlbVMq%B* z_v2GoXNqCXrFZuRs1Stvbtg@>Oq}7{6=gmE1;#60IJBy0PJB@aF=Q@igT?B%?Q{W{ z7H5`o!F8Zfz@y5vR#wK>*OxbtqYzs@2h-Zn>pdTm9^sECYT)_tNa#z?+-<$0{lvcSX#oouNr8Ai1ti`SQFbw@$J?S!{#qY4G3F>ADM|q zi9{ScG4tI7KCyOBxwk~u6AFT2-x z=_?X|CT+Ne;VZy@=;)`3KPxSG)K2ix4bb&BDa`QeLClPApP!3YP5*Wb`r}Id*p4M& zG5OSOAm+^~{UZyH6vd2o$8y(711^H}N_wwSYdZV-ciw~gJy6*ux@%Fvr77(f=v-TU zvV~=J!7aKXa%kq~yQoA%2?7alJ6E3(7L;C`;}bYndTQi*t?|5ytAZ*^VrhX~)!fhW zSopE=b1K$vC$-M+3(XaE5BmcQ{H73)%#NoqY?huf4zgB`Z&dMkU8oN44wyo#L9NCN zH$UzhHN?dn_LqGhI^QnlD}CpSX(`tNUkW#uVQ5e7=8LcnMuYY!lg=*gdIvVgwtlp(PR0lqja zwDbUt2OX5y(Y;*egg8p`fCRkY8+w+35khsqB2~rB6XkmG_f=wMfO(n7Q6F6{PIiO~ z{djTJX{~i#o6}@BsvsTS#@nAsYTxItfG%%fY|9!Cz298N$v?weMhzAdSEbfvAk5MN z6<|;+;|Ie!UdofAUjS}c(_gC*y>DsmdDElKqATfWgR z^RLdrh8;1ZC?6uwWCpMonPVHpzofQ0%ogrY9`^6KVsVvb&3-^@#*G zQrUI=36qv5WC5?=YoiF+lmdZ(c}FP}Lz_vyvROTo9JrE#Fr3^4OZzD4Da$(ThMdT3 z!f-(VW@yeXi#oQ}=UB|2L;QuLbvi;MUPSB4k=gwU6_*Ca2WeEsAexR~X@DOWn%i0U zdPk1?`TT{p0f_}V@UEw7+IBvWh;+08P}S7bbg#&n52_m z<)jESlg9$cz|M0GHUb+B>%XhBaen+m*Y7LEi(C{|a$k1q=vGQ6>1}`5Pg}2-20IBK zG+mg9?FsO)nWIdgo;6)5&6O0geftU92(FN2G2~Y2zhcrBOm!?GQD^7v$Ey&C(k>dN>_BJyx{?vtrI_J?|L4Eo%O znY-bE>|!>e8O*NUE=+jP*>WvY{4g6QCq8c8K;}Wp=fIu$YOPWWS4kLo3+I!LN?hlH za3=NBkwu+1xIAk74w$!BqLjCaY*5j(9V1l!h|P(voDWR!)8=x zOrP(t3a5PR#-Il*x!ZI0fAL^e+`zz z&-fTJ^51B|>vmes{U3Xcp2JaRP_*Dx^c!dankx%7kJ4Mmvu(chf^)7b$zn=xE`_tW)b0=cWe? z?*cvDsy(Hh7R);cC|%VSCp{Z^)Ld_yyNFV;C23-KMR@x;cemxaXRaiflQ4k*2oNC0 zqE^uM)Zyv-q}jR zX&mOPEe5!9bv%O5Lxs8EI{)DFfKmZY?(%KzGTZrWgtK}W11-3Ay@nhsO|*V-RRr5z zDCQFQj55;0q@O72(n~%J=`x5kJYN=$UDPwidSm^aYJI zHJ}26V@JcK_!&9~6cir|CI~ScwUhISha*dziPj*j7wT|DW1HgZv!$0#kX-uFNlEge zW=sVDpvbCJ*;NCV^lD33;CJbDeoPa>YJbvLZnwl;3RMF1_gIay$|VHTC(HX=$=6K2 zj}>A4-2qFdw=f?w zlG~LGERaX0*+GuC?p(ACJ()+B>?c{;ATT{`3>0NmJ_C{?3s%>4yFt%{DZ7rKfjmgh zpQF8@89t!xi3d^RJ<9@B{E*9BrT8ecM&pWImc^hiT!qr$@rFrMYhqZYKzyR1 zHDz$0e^m}8JNJ>hdUGYp#q}5(A^-+CzhDZ;vXn^#H89V$#kUeE{g{cL^qfy2_PUgW zzn>C*P5jEi#wN?czINMR>AP1)+zG_hzo}7wJ-gDskHYRi20-n1LNNdHgI}U17!4D4 zJM|igSC;4#=s1c%@3_}2d_&&6Y-AES9Dcez_q|D*ln9(?d7sZaJ0QtPF)R-J6K&V@ zXmfD@z8DerS&pt~4Wll7J^MN7d)#c7x!HI=ZzmX!7@2TSFgq2->M>Q$2J^{t+RRLe z{A%#U2Z5XqDuJW>JTJfLah8UAdA|A50SnmUX?C5ab*eAN0_`uK2dSg|>a|X5sC7Cz z-fO)h_&oh^?seXG-voMGz3XtZs~YkoGq0Y}x|4)&*_jZIdWkgly+h<&t8pJ?;%I}R zuwV0wGH+UD-OXSBWt`)9MB^Kb1)b_^7?{UF#oqROoo*$*i zymfU2V`inpe${!?@q};7#aGTB3r>?>>xXhI@E@*h4nEUrQ*OrBK!h%4Z+fZAdJ^$N zppENHU|}Sn`h# z1Z=go|H==hRiX}Y`m;8*DmwzWV5m&Yikm6hIz?mV;kr^eV1?#5$ zqtHnBn1+`{gX(qTGC3Z=AJ+OGLO69Jn*i6go`m6+;Wk z>R-6zJ#U8$J;I%K!NYOe1b6HJ0e;gEsik-_I5_Q72{a2Y))ZGvkS%BnD8k?{dW4YGg{El!VM&MK_4wHaeZRZ$ zynj;BIqDUhHoNPsk~ zeB_TWPArwi=(a4@p%|WAB(`SvDPsS^Gv5<~`GqGfWGm{6-+sGbcgu)*ZMm+RY=Wfu z#=NNy06-YR${wB=@M32~F@)FK{75OnnCQ4K7g*18jpB9Ox+?xsjIHbNu;@{0q7)*E zJzlxm#4S8>13ETC5iOKFb^?%aV*u)ob)&%k3i$g=nzzV+gfjU)lfY8D*GqT%s(r=i zptq<$)1NA+-TyBg82hEbYZQNx`S&pRO-2RE?9rZi@ELG&leirDzLOJ2l9>>dws!es zesR;BgC8zkro+S~?~(d_TBi;>Qm=VS$WUa7MPvKa#p04MT+C;q!Ucyg!QYUv8#;U9-8LOo2Nbmd2Gs55 zxlb<&jN#Cei+G*F;eQ-Bv+?K2l&<3A1sdMSaw3&;f8$HSpj7ZB z)!yol&snN}Qpys%nAG(9>@4TJb^(L{kq0O=B z2cPM4nK6LW8pWl&BEzD1S z>vq+)`EWtsF%Z|DUH}9Hg*88{Z@r5od;cwLFJ$_1mzsrXI_5`2mQPX%{&l-NOuzBi z$Ei%GzQ2O%$Ht0d-3w#UZ`JMBN*!Y2fEU)tt%$|9<&;YC_RgcE=R@iyU!UjMEU&BO z1KMqiqI8cHmZGCIuwNCP>-677oS4qF*JmmHAL~|n!rWrq++q&>3~v)Z-9cw78C*+u z#q#2AE8+T*U{1lkxmhLmpHCLOeL=NiaZ`TN=MsL0P`Ebx3}D{ zuzh3l<;@O;mE)f_j?If(0Xm6wy9KStTK&t%%bVk$C~zBBnRnTIyOupRjc-S%)Lz)+ z%qycAm&eV68zHw&Z^4-KqMHot<6NQv3~( zv*|vLv*UKY63%bOXJ={B>pBaQNaEb*t~E_w?1{D*Sy96JUQu{ZdVKr+Eb`Gur!rMV zT1wZ9+e$gW?=<*zS%I>>c=kj9nU7PQYVkwlw2@RSe1Kz45LCSRv4|K?@{!nWq?S+w%YiPf{;#x*e? z*Naw|7T;@EJ$7k;Tjt!qkBcMzEDS2DM;dNc(4?r@2eJRh0!)|0bj9o!YK6Zb;HpOh z&d_m-R6Zk)s(K7Ie+5*d^r(jo<&3mLD(yWon!?B}|?b4}FJi$cifZ|hfnZ4iiukgHf|G^aLfsMvJdV$M6k@C z@mQE9+>ks~@J)^(-xY|XwWmw-y4{eb&!pE2AdLm>k#$C`2CrzLY6YnX%WR$2WB zrVWmnnHcn#vUCk_`K3|{NxghOOV0G7WI&v%JM;@8$R0=G$LwGZEQjO_$z2beu$Yg3 z1FJBnlzQ2l%*@4Lbj914S`#lcbagAB;{GxUQM|lQ_nr`@^1ZHpd%o<9B%oNz1Nn6V zwRv)v%_GaHYdz?(Xf?DD=qb1iAtnwWeC2DZME6-k*4Jq?83HA2&mRdPTTuDmZCnuo^WZ z!9At+kdSCn#OBmQ;EYeCKGFedsJwPo1vF`A>rIYmiheR;EtFDBNzP{7KcM6>+=r7_ z4k=UitGAbVNNjl^O;KVbu6VspvY(Jr>zbXPY55}svm|ZSUS)>finx0jeWDal?IDE8 z>5<9Bm_7|{r*ezKC0otA8D3Arb& zR|K2|xp8X5*AU&MFMTU|>Q%sJkzj#G^%_(Je-u7)RtxHq)C(4k9seN*mwOTnq!E@M zowwX8(br>Y$3RQp5W z`s3K9QPkFPn{4wk4f6BHe9Q@^Vijsb)19li*2t1$2WM=7wRjV;yd$pKW<1TVJj#d z+>=^j@7H7T$0!{5X)zn*Ox(?vhv-SsV@!bkfcB(IBhore&-v?f==$%wm84EfYwNKy zg1ZgR^U$|Ly4Ito{ExFn<@kL?;-`mgt8cvrV#SRq`R0@Ui^1}}u;cq!qkf%a!AbPk@5C&y%8uFVt@_2ksYAUK_Q_LNJhtpnSR7)6k%}$N6*M zK4I$_u@Fsoc!LI>y}UI&<|9Grq2T3hsl4LEL>3Yi6H8xc5}VAzOJRs&I0J2;V&~%? zX5-p*i@5uI!(j@!kHe0n_vL>b-?vU!Np8VX(N8_sl^rQ8teCpq>X{LkQ$%rGAoPTS zW*H4piNh#+7{BUA5dvU>~Rf?T8FW=Qc-Ai6S07uF{j-Qhy2K$%PrIglJEMNuh zot})gq3zS{O!b+-1DDWlFjw8bdU~Z74edmqG3Vm}_Fl`4l=nU@L@d9R^Z74~+v=lW zL!BiQEfj=gG)zmO?988xlcU!?Q_C-3XkrMc+zm-M8q$z*c;s@RWY&CAIS$??*^+r9 zB?Sn^f00mr#xjR<-`>o=zYPfmOB@a>@FM_qm!)>CjPmw}n{sfF%%lK;i$|}5ywJB* z_4-ogRC->tgqctNk}RWrT9{vHmo%`hz))^0J9@l=6MbtUgh*qK{a5EKOxfR~&^-jO zzuo|y|MGN?;}Rm6C1@HUQ8M{XT&DZyf zGFv!Uk>3mo$8lKL1j#;itjIiWL1j(KqENbTuj?oU!CuqhKIa{jZh8-=l~PvP=N;4$ zWs^0V!7ZD0t%_{s0km@4uo&O>v8}Zu!_?IrXTRn4zu|`+F;yh3#;Nmiy%oC~#_%?k z@YtCw2@Vy)ncfg&0Axh{?cw}bTZ#b6 zRqKET8+fqvTjPUlSM#fp;4Kk52vwq1+U6%Vk?&4a$@aDcsNX?U2&A75?jm{bPFFe$ zi;x82Ht#1CpQ*GMaK91@P=X`t%j9`QVmjnaIX|T+>?_*6ysY&x9)1R{wHStreqbX) z0LF%WzdLLB7iWhvUF`eVxu`y{G?WecX2(xNR?mgz*{)YPoAYutD7x1e{Sdhmy}5>Y z=gl5J4&RyTmL9(p)z=i%zKsNRFTIB@{Ky(*7!aQ_P^sn}Ai*Q~!GHO8-jwl+ap7O1 z>|66_a)ds=ki9%eNikBTyqZnv?sH*mevS*!CIAj9fooE`43pa733e^I3J;DazCG7( zw5@BcscT!C_}*4rT;9$Fqm0-#1hjj)zFe!X1}8A%04m$AHxa|aJDrCk8*P*&ejxit zX<2D1)iom~uePqH1h;rCtbxHc;&YTrSDh}urqRS31->1VI|2b3>Qtr|(-DXbLLF+h zh(Nz-$NC=P6K~9L!K02SKSElavNkxHz#zZlqX;mTRRCAG5BrRbEe?>ag*r#IYp+a1VS1p&HRW`0{B`usf?1E6$v z>_jQ++!rTMbAd+89O;%RaM|wE5?e;c;)`Ozxh@6MT2r$1HuUk@XXVMVWG(=A_~IRYi{Fb6~w%;Aw@3;Z*~q zItb;)HT;x>_hrGTbV551V)@Cmy}ZiE-iAt3%6aoSodC5%9AeqVE2LF+(YIXfmPqIb znFU@y7TgrTy!4vwd?q%CKF~*h=F_7pCmI1vp=dq5kdg2dO9Obw_JQJ$M~!e>i*C=4 ziszo8Rq&R%w@vlO@4m63a*b=B8u%JtI^cgrYIb}4F1w*=Pg2$JGeAtNtWm3xTGL;%aPj%somM^hC*i*S_LSqc(htsyNC*ED$W zY6{D|YHr~cKjTbgvn8TG<%gYO93A`Sa>Ql5+&klU?b?UgB^f6o#)Q>`JJs)5U+JU1 zJ4gHxYjR6Up#?x?1Q!XF@E;ND{2#;rHr7*ftQ7HmTdSf#MG~okYAD zVjl`f)p{#5za}n7pG3=`W6(2N!^=A^tAo>*c}<_D-{+ltwuPK?hXwE$x+uIDw|-Cb@|0; zd`%yFA&xs0Xz>qJepr6c9i~J!TQYsf7Bx+TQS93DoBsoPFP_#OiUH*TF? zv%VY1sK%~v@^x5l%ysVc#>23Z`1W35i|i-N?Ax}nwXN+r61@|M!s&e|&$Aq1p#5Mt zBpKQo&5#<2gU+b)3nF(MzQpUABiz=M(R_e z&<$vRltK#^Z;^tIwNyH*|4!G{(}MTIaD!R5DM-t)h>FP;Fo_!T0&rta^)0mMayK5* z&f+xG7tl5FM=aXcnT*li|FvV>yDt2k)^CS=yB`Sc;qtl@^wnohe3&o9b=x_N!BYxF zc3TWXwIKQI>FTS`i`&uQ9CmQ#^%THR2?zset+r!v7e$jvws*MYh z+07CKtwMh(G8U;yVl>(wuDF?FS9O4&3)S+mfD@7zgJ~5Tj)ZZ+J7awCoF(!thW-3M z;uoZI&*PDAY9erePRhS#91FcP7XJfALAk!HLp5tF%WJ|+J%9@@`Ys~&pGFKBxIJ~r zaFAphbXl0qS=7<#EGlh>UkljhyyDLc4+f<|kyGlH0s(+Pf!SPdeB&=3xa-<`ZhO+W zdik@N4@-&*F4}Efj&kqJU9Cugk@}+6l?g0+1lsnwb84gEMr{oEP#LDZoVA zf0^xZax!~lQ(9LIv5=Y^n54j?+2L5>mXpMjn3YT;1sN%J*D~Mv$=4R^O+*|i6bgk* zCX>zGNy;mEUY5WEltM~CB!Wtz$h5od*T3R9nHB~#HJs+9ZG4#o6f>r_%>Yy_mTPQF z(V?i}Bpgsw%ZwJYgvDl^l(N>-5V4pqEVk2A)X5T31~okdh}dU4XPs*$+dM>mH?o=(gA>Axnm15asyIK8?uEg`V9bRuPgyD z_bsFN$^`6f1CPf-tHw3H<;-)r_-n7fPLTp-AsW5BJKg2>%97;*iBzOq=2o}A7BuV{ zvwd0WF89-dvxQN#=-0tcYxBvXu?Gz9#mS=IAOQ9bZ}?OiD_1MUG8%1&Qog8^szj+LrxvWnj!7W2izNZuCc?vGt%)NM?S+cr&Ml2vDNtWd~I^vtFyD<&mH<9IQI z5Y23w^4mc@Xu8vYC-Fw==s|lJ_3!VxM=ahZKW^JRMMONHhzN)eJhT&>&J$6gSXha|6~As{ z6cH`8T~f1hjwS*kqJ~h3s1q*p+_nuX7fPHj7DWI6QT4Hww)-i0EJexGr=#a^@V25VwYCz1H=dS?s5(tLd0zwC*##&&kPqyNj0`FrkF28r0Ratmb}oc5h?p- z6Zk|{s^&MCm}DA|DA+w!lj5}7&4KAx`P3J`O8Rt`)C?ciDgaQ4EYP1NE^6RmK>z^v z@0qih0sxc>#Zsa8;ND$*=>g1Z()7N@@x<#X6_4ORJaI>coF+)r3|e*&nTOT(5#p8MLR{6M#ac(EROpvhk4;O2e8eW2Ku%ig%p`ghJbeLxcBHMJfYX?A)@Db8r~yBqv>h4HJ+3FgdB+2 zJQR^4Kyr9@XFM!?N#;Eg=-Fl(B0VpY$to4CbXS&_S0WWLXeotcO%CZv_5jKKQA*ju zz+isvu^bPje$!@}Z}w)Er2qi5GO3KBsMA^MuB?zEj$tPj(v#AYLQ1P#=_02_bVzMz zsFMn04w#1z0VS)=5<~`)Mg$?Gm+@A*-H(6l6PJAD(x2XVjg*o}1E|$<6VrnKQ9uYa zzd=BiVwop70+#aeD&tUVHEOK}83AJr2e-2SVZ zo^|5?=Q2?kzn@uqu#;9FR=n*25c@3?0_+b&Jo}ut|M>ftg=_E*Qg8N-UJnY0*`a3O zj~XY>E)43Z4kU~q$IP)Ybj$IjLUEy``5z>@_x`(1fkTE(2S{FzcEBS#r~kFWBL*%&GtY+Y)8ZBvGUQsM}p3>vO}L=+=VGUHANo%R)fxe?|)CW8474hV>;T z=U-hHfl?}?y1~+cyCwl%eA*vQOl$;5L9cczPq0S^F0ro{Nl-LXf^7RZ=PMjzy(Z&-f?N_@-u^0FXeDxecbc zYnsoB&n(*@(+>TY_N=P^N6zSI78op4M+9KJO6utl3w@T!i(6ukStC$54`(TE48;bX zG(1ACJRHy1n7v0U0Wc-F9~vY^qi9ToATwSzm-PhY^O<};pULL35@fCnC?h3E0TC#o zts6FLR*PUI><*k7n!1iKWq6$df@oUg1E7TMQN|@)NjL5(YTb^6KvVM@1Z)jZvm?_R zC;d)azt43Z<8vNu94*k+`n1>kq5HerT6BizP*iRcCG|%_G64;)TW3quf=WeF$RXuX zs#R!K3;*AVPy4O&&OB#fap6msef8(tua8vp=?{KlVtgC`YPH(;ulY&F^ALwAd%ALU z{C5Hlv8}~)zW(UG?l}q_1ITWtLOQ-&DDo~sXr+*B{t0u>k;n zeaElsotC@d^+TUFFw|HnmNcM_SSgl>sOC4~9t{i0k6QE25f5hPcVp5LAFD}f;Rej^ zNkoWdztzoU@(76Mp%zZ8^y-{Il~NgqDy1?GS#|@1i;@ic4MQgFAe<#mY}2QT1&B{v z2KRwy4get5gJwNwt}C+wcx;;4(hw21ZJJ8A(2-*8ft83)&S{QkrTbdTk&XGyrdGyB z0F9^HX1Fa`dss`BVbiRCxe*Pzpc`J%Die5Ur3cCS*ZK@+Z`(B0Y6mq3cL1j^gWA8! z@euENYY4c8!Fd$LYs&*zGuIu*+q5b^Qi@iVqiznPe#h>#scPSzxhx74 zLh1&19vaY8mt<_sb6@yOBXdQ<2OQ(REPnEHLj)93N+AdUmC+yp5JE&sJ@=%O){Tvw z^sHxJz5RODiIm1jfrhPPj8dUE z5H(}bgGXtsRE@Pthfh{SF}Lf!XUmg?2(_MZV)HnJkl;qmSup@P{g=;O@rKh+Z7r`- zlrAot%`N!B=-4O#7$KTc*t&c4xbee7;&Sh&zxcsL_}A|hnq>H5CsuQDX+Qls`yZM zQc)~>;JUQ$h=g>_K_@0R0TNIYDM?X#4X+C_63#y7?cA?q;BwA)b4_xvy=s~0)LZ!K)SafIB$wJYbS(rQFq#A(6LUkKZ z;{!@Xrp=&PH}l-qG>3NE%*X!+G=tf~E5cCTZ zAv4m85c1iKQUVbbLZwhHjugxo00MTyp8HnXQ8jh(G19yaL96Z8gO*{+VxY{vowp?e zK&4c!l*&Gfm#D4cgVtZ8RGq0=RQDS|R4$g$Ko{C(U(f^wMiI(|l9f0sr7~EDya4qe zVcgJ_S}o_6j0YFlwf7}DOCmlF?hfOAa+h8bcT03U)_3SDYe3!HT8qOu%|(}nL_~Dd z^b7!uuOENoS#NkFyuoY=RIk;~`O7!4H)KrF#K=;nL8&&GM(H6>(Q05vViMbUBaC4x z3z^zobir8^deJlD!=qCzl@T%a2^O>ftV=Nf09Np<1x+BT2Tep|g^Sddi0*$5bS7CP zhyIlPInntahf1*wU}tHXDOv^1Ir+rrzwNxYur#!|xOm=s|I(QIT+<;MBd4a?YN?Ev zFmQRmFtcfjK;NHmtybsGwtSm;LCnKyrE|OeQL39(I(O$F5Ez-$J%?hPdd+RdHT)h{ zAP)JoN?v{RSm3f$t`tiTJhU@4vOH;13xV$xEdedl08TOjNQ}5WRMJh<@zr7(lV(gc za#}1iK?w)UghN0Z4>rpIiyA<7mm?X@*61M^65szRu|*At=Pbk?v|Q&}d>$<(kW=@T zgc7ml6dPDQ0HhytxcyI`00Z-5B98iFQ(sb*JJ(5=pq0}lbSty>zi2)<6dSPAv_(g9 zB21|H&04GJzW1*bOO;}2cJD5Gja2uW2slJdVNGG!gd5u8L1#jLAr=NjgYvC{nX)kx zn>@Mgxy7eug1bvNYIXqp1RKqRvzPwu(HWp=J+R{t>CDx3c`wKlHSHqMlNXmOuboHx5<*fu&-1UWsd;Sr?&5tmT^6~bc1Uqw7}l(oD>0Am zV3(jku*WTct8V!Dcy&xl_QFX)r|n4@As|4+Czj_arIe?D=m$G8M@{rLu8T(U*-XX* zK$Pf7$>S15ijNPa6ez`1l~NeEC&p(GjBOyN{fXO70)z-rAtJkJX84vV7>1z=Lm)Pc zPaw2O8%=3_X{=vi2@n8605eG{qR3#XL`ne=su-MP#>_Mc2q;pK9y1hn8+l#-b|W@tw%)mA6qY43O1Qb<>h8ZB3(5Ou%Vf7qbGZrsa+{vF7*c-8-@ zKc387N`10ua;mf#ZNzjf?xIa1tp|>GOJmah^CcJ77i+J4#Vg$`x88E=yFT)FM3j+U zdIT*&Oe`fUL8o@I{}+ok`o9MLr~VB-t!-+48OW6S;sN3;hzPAtkQ(u^O4XCnV&$!l zFQs%X+m2SMQc4C5Tb-cQ3HVMcE`-4E1m$AMZwCpP+{{2587FXHVK=ne0msG3QrHJ3 z+H5C}Q=FAo6N5_xxlERSUwiF!ANce~MzT5607P&`BaKSLUH8v)`~b~}tLodH(2TNO zBLu+fNO~3fS|4GoJX*>Z_bkralQGeKHyjY<85rW>A-9UesF}_X^+!63!ihI}jpTGb zF_3x=Cu(=v<7{Bg2mN1jyvEIKb$lrkD($0{su04_)g4rniFab-1}8N7DRw5FJ~f=8 zq-G2N0HhSgsa;~fU3If{;?d0z53M1Zv!mN85K_A{-_mk#q!6Bvi48J7P~uYqoqE9R z49#{R1#eKgS&!Q?V|fMuXm)~DCm1bNtO1{XTDg5+^&EF~w!v5;K_@}FKo>r?$!6zw zTUMV5qV1W&fbC^=ewQ@9&Mhm#^I>39-H>8wrp<1wYXt&=kG}6imtA(55Te`d_IkZt zyLMf4(M6}9e)>1Ayj&ndaq&BPG%jSp@C*PbBdJi4AVWUXLrsK$*WYyGla7DV)a0Zr z=Xxt4b-F|uK$I*Ba>kqh03ZNKL_t(XG42}Qa0!SaA{8k`imZx2q*N3|TEWvjut@*L zn3Fd}0U}`7>veLf)oK+A1>5m3in2h8D2zhuI$e*iW-L${)1u66lG#SIaVkAC3BYlH zPO(I-VhoiQEF)3?AQS>Id`8BR6cJIR zA|O)yM4z+;Fze@v0EkpC43}1xy|Mh)zWMd%{`RvsOl-(_8EGwo>t7OCzgJ_aeYOG+ z0if0PrId`8vJ6u#SA-D!{*P1oowh)s)gX``w&^)_BsqKn(6rYEQHT)5@lSfHCm~$b-wgpokt%0UFwkvOcLn>{GU^3H zWR1}=VxhsPcFR4^Qm;d{uipbkrR&;gwv&Ly+{Eu@sceBhRBCKC&^ zu{Wfc4Fv#pwuk_Yj=!!lYE$Tf0LkGG^zEyVD(d~q&vR#=)ZOg$q%>yMC7;9yN?!;I z*Pc26tDUm5BeWyYUINLF5OQ_g9O9k^`}^jcH#j6Ku8fqX^t=6KKkNq zB#+ehul++P8x7Pr$DZqv)f3wRdv5! z!bMrnC!D@gWXD1ZQ0{`|*x-+Ryf`*wPsC!}zNUX#dRF^N_go(lm0 znn4Q@Duprto1lhDtg(wuDLfV?4uCOJchu(g?sg_N0>Jdm zw$1h{#)T&mq<$h8LkIn3;_x2>(;x8Dka+ikfg4;cSE}VoD|UBd!6Thi;~+6CGl(oh zsDwinuO&sLSVq8l5aZ*GKra)UG@Hq+D~}rUCN4l^3#LRhiBGAWBALZTm?>qez;u>) z^lZGAT2oy#2NoItEENwi7UnJr1b6R3lf&ItZG+Kmu8wc`Ez~OQI=K7K;G+6=!niBW z80(%>IzEvLVup-ks>O1{Zy7=j4#_1G9~8rvlC$0boh;cDrcG7yBm|enBKSr zQc2BUv-7+3j7`E=XimBGBWg7wko71NfIz(K-n(A5s*{&9?9EyJT*C;;r0# z`qt%_y!>TnrIfnDZFlZwLB|+dYa!@v(B%CrM)B|2QDYe*P<2x40*9QQV;^m zg_7UV?l@VL(r!R?<6yL0ZTN|&*{%@f`aC(vFcc(Apc#n_gGA6I44Qxlhs3A0tF>fF z#>Wk_N@S9 zF3Q#x9s-blaaJiMz;rMcZ~JB91BBHQto0zC2a3*SNsi0Z@M$S2riWG^ib?AygJ z9>9Ssw9M&D#MPVG@LK>_an=a8%7u(maEiXwa4MPGyW0T}08poKvLf0kijEdfA7*NM zXn)7K(yQvg!5mypImu5$;yL|*(kL|)gKJDr?LIJ@dqVv5m_ISY;LcJc=K?Z~C}C;Y{SPn9}w9j8B;g)?}4_kXaz zZAN<@*}#~oQXq&Wk<0NS{K>)24pw%UJ?cT-Ckr?ueqDV@)xVUJ$ zPVr?{x?~QX^j2Vi5QGRQM0;h4&58cgdp{h8y)cZFQr(r6X0yq@ZCxyvF{2pDM7@U* zsW6P*{}1m6r8gD*>|Fo|1OiZ61r!iKB6t!>h(bn$krd+o`|dmDm}5Tj4 zMl(SMFs$(tl6uetpkkqD6Bxc40jS~lolDLZy9+0R-w8_jBKLi?T(#Ugr`vwd4KIhY z`Ys0aC>Z2)K(T8GMxG!5Af*5igiu6+OI|;|F_(kh%4$V)dp)n1M}uTT62wpJ7J~vh z$C=CCl#3;6Se$?^`ue9&dEp^@g>q^;v@QHd`0pJa7HoP1Gd;ZFu+U$>4kQ2|b^xVR z#3wpG{T4z*QD{@T!QKc8A?Ei##O3i$8oTp$o*x2&%RSgDxN@;%6Z!4H;dXQ`iv+Gt zArD|=1lUffi<1($>a=jkd#j^6s5>5mn*ybjI~Vt`&20cE7mCX1L82gnvtIr>NJRmH z10}~@HcF=?g!Ci=L@K&9c=qo<;~C4|`d)hhv(;W_QI3rEI*Vav(JQR?@?+6*BU)PY z3hPOk_dx)F;N{mv%MF5fEgn zq?B4|Afg-@?R9EmWHel=BY;;}7cJGf@l3K_ZHLIO zmm_1*a-GLX=GUp^1^`5z{W!870BCtppio4JDD&&0rJCZW{U{h1kt3sOrNLGp zh$!kT3L$diPo$M*rZ|qCml5K;|52ITGMUR|eZSFa)u$%6Y}vdeXtx2SQb>AcApnf8 zU$4hQbCZ}DqGU*5rU0Ym3O}Pm1VAhmOJLsbn`vWI0*fVz-%$bpTAN3laC*a}BE^RA z-+I;?3E=0~U9?46$4&l?x>+ida9PeUr@!Z|=-YXy~Og zn4d`;$DO`zdP@9dJ`TS~pyVGk3=N5)M2N{{vbf*k=0dtLmhaR5Z~<;#hP2E1>kzk) z#QR5Elx;kMW8p5Pfor8zOvEGM^rlIcRLMah5p?Iv#S&Q*k{Cio`&yrMZGa(HM{rD6 zj+WLjjgf$iZ|OcVI}W6h>Tq$n=D$vxbAEd{>!vMkgW{ELrsj0gklz5A%EcbynF!9@Pu(CK=m;Dh21<0R ze_CaZ(}5BU{F;cdkg-O~;*YENx9La1hHWXsJ2g0cKLW*DEAQ1dO%lyd^F(BKBTQ{c zCIJp@FF{)FWO=Md?}wXGz7!g%}RXJs2ro{x!c*^BZHO*cPYj z|1d5n&Kn=HsW%F|xAZrRjQ2{*&)InQU~_h`q|w14hnc(MC8~C^5F}Xww=W5WF>;BY zq?LPcq0_{8UqV2a6z&CN;*yB~%vGp6Efbe#m?F1rZQlZ+{`dhWVgHBZxj6tc-MVp0 z-!jn=JLh*N4%H;b^htN zeC9Ks`I$>UpBMrQewkr%A1);NPGp4uK;^G=81MVdr#(G1q1WqmyInuTG%N_n0)GBUy#@$$+F z5cR?yv;ErAnn1p5B=cwdOpu(88>Gy<zUr%^`$0Mecn0Hl<;T&~ya2_Xn5 z3`0^Bh9Ous!{K=OhYOopOxZ3C`&-LgQk04%K+q_ud7LMtMDtcpNP$Ko;|)yd7i{sw zidCA)Xy+r={g$$v08zP6a{aKyOOnjOCpTVebGQ@Mowx4jVkUdz%^h=`y5>=mzn)v4Vfr3!#@sjx_5ls!oq+!X908|dNAX*5Is z4zaBW9W#tb5UN#;1^nj@M-)yEZ+Q6hKXlmi2xfYC!(pO-%n9%z#V(%0uqUPPyi6GO zy4@}jd0r-^aEeCXlEVi+_16T1Y&ISB!OTbOVyn*8j25fPSSKZOO9=q3r>TWzU28p> zJ4Uu&$uBj8TpjmS1?$Sy zNX4dV5YcKC5s}44@1K1zQc96x@IgbFV7g5-TCRj)l+TUyy4@&>nyp5uP*hR$vOoBv z(P}ja{IF6A!=5S^07#6L5N8-ZASemk${dK&H_V{XC@d2ZDQ$`y5yZNuX;BE-NNCku zkP}vl&K0pfbP+*_3N!txS|S3fmKi(7brq%!zpGKBWdPv!KU961Aw5#%X_^75mPsp^ z0+h=D05oOzwXJ-EjR>q#O8`_V=<(FN1p$DlmifUF5g<&n6HUZ1W8lc!ym>R5=${zh zFu7%O#`6epeEkFgDnes4DZ#H_`NQuUGrs1eN0(oK+4)_>Y&8%OOmEymM7=QN$;+G^ z3M}ReN-6!go)8choAqM805R)8b9;C5?Bsbry=gL1Q5c4w{rIQDFueQjyNT#Izjb13 zaq*r#bDrlF^M%D`-8OllS!ai^+8HT8rBJd?$rqY+rPRam7x4%O%gCj`L(RJm$u#Jz z))3*)C(PFV-7yp{HaI;AR@mVSk*HZ_1NF2 z?Qhns&mRCNjO4>8s{0MyeLFLMu;eZ!!5d%6yW2#2H}SVdfaqJOr*h{^awEeNpS zY(ZeQms#T^&0Ud@*uj&SVk$n}k92wfSZLIWBYB(BO5<)P6L(I@o>Lsp)5$6yR%jHmwQwbx!-C=_0D+G(#j z_jJ$mlu~{t2&2&GKk#W{*)am3FbsQP$QSl8E25qG0ReTR@HOY2e&W-AE0@h~zxC!Q zQjb6Cad+Hx=Mx`)^qu$IT`88wC&rhTmmi#c5CEp9rc1@*Lwom*jg8LkoIB>|C*FC_ zT?{)$k;)eej7y?<)Mf*}-(rKB{D6#K*7Iw$Z~oxBS6_ck6h%*b{1dvp-i`L{`(n7Wu1?i>6y8ma|Ez;a;j0Qx7z;n)HEXQ+`X$@C~n@g z`ObUqGVUwz_+yT1FL&nV=2+WwW_o7NLwjbn&g|U1O9*l76OUQxb!X@1rl+Q)lti@S z{`(2&=%XIb)_r%}e_x~&g4SyR#NRmjiF@|!8C^F91arIQW~OHlu$V90wc{RsS=DO$ z(^Fe#cg{^uZS_3wf!PPaEV-kmxA}g{ZwDtF_vG8}`t{?sJ#KdA+~c+#^}y@{{9FzY z&099oC$&Mk2}qDILyZZ+&e^*cTaCg?Vum@g_X-EJpt7>Ir6}dNF~ zK~4{Ac$oBGjT7FrYc)jw2yA*7!(&N&_Zr)5XvF_0r4S*Cq9}?OgF%E|uXjM$R7&}> z^IpQn@A;Iq58RYebMt!u0MWR`004ki;Ma`94Od#8-MfpqBF(cXij-0+3d6A5?e4kr zcCie>AcFyg44{Coe``B)JbbUqE}_UgR_9(H64{(%)hd_@{U6Wsvf1pL-uJp}HYbGu zgz1fwDb)CnAFM)@<=woa7wlU004GlLP{G*WXv=Sqdz$H_g?V4=M#|-;?6to z_~aKp!-kgh%X$OTS$mEg=JxJpW=1JuQ;AU&ec)a1``(pTuB@yqEiLiI{!^avl+RrH z`CK-Kf}ggILm(sIO{pzu5)oZP9ty;At-epN;`a{`MszFe7gj7})krRnV8}EnJBaADI%|<1p*T%>VJpH7$1nS|1nQ7b`()d*jpBE&tC^@8nHYO9M^hP#NkVpRifQTYdLx} zLX0K|2=AhXvEcwO|0&pG%D+-es3_)kq5K4W$ob3i@M1{M910J11M$Uq_Uf5Lh-qh- zNaAs|x`Gh_a8|AirB!8NsQ|>m7AK~jy%ShzZM)F~NB~@CR^dR=BEMG3k>`(^CAW8c zN`Z1`Q0o-DFxdF?(G!8B(e5~$maVnBL4dE=TgZ>$I0*b8(5a)xLz;7!F2u+4mf2eK zkDmQq&v?c+PNy?t^2$(&r*oxS4;&ngL2q^MV<|;M_uO;WPe1Sb^2I!W2A=RGo94)| zBuOO8*<&Xo%U%`F$l#u>)ORl4ksZH`G8hfRK|T?^_$UAKmp|!|dKK#CK$y3X)0-Ri z=l`iwdex^Jv^KqknSPOkWdp0Eh040Dbt1c_F-9H>lJ}rigjm=i0@m9-U){=q%sx*f z5^?-hc1I?ra7jd|^%JM(4?VCHHl64Av7h)4akXBQiD~#Ocb#HK51_G9N^AXp|K=-_ zBvGm~k%Fv3RM?tX=BtBkq2 z32fR>N~xTPt+lxUGt!h&t+q@9cv^VH_-g-|e1ZvvP$ zTcq_gNffiPhM3W)5$UN)-m{ z$l~c|c5ZyF|*Tn11@!|K{} z4#dNzr$l}%Vi5N)TuQARV62j@ZcOI7C8-@SEM?SUBrW{twmJZ96=zNujEDXm^HQ4V zTk-RF&MoA z-fUV(MgDd2NRlC?pp<~FnhV*%qp)Q#Oo|P^V|X!ul`*F2VACL)E?}ct>qurP;#d8- z_(`}ziUOS_g~#`9+yr>dF;2NiJp4ll9jMrO2-h9 zrWO_z1_Me&ZiWSvAAH2b@Sa3v*p%hCa@ZLS_McPo$e82ms>?=R&Qhd)=fD1+&wAFk z8em^(%XOKHn>OKeLH?hP9Xp|v_o5pGNo0+n=R|~!POpR!gB6r7jvXTx7H>!T zBE}?L<|WPtFWmpg!KODS+_E{nx#5QSe2uPc)wPw69y%&H|IVelC?Z_bAc@!Qh62ox2{qCrwlF4J7ssvI~dwo*KkwvD@Rp zV~(E+LIcV568A8@T=OO?C#f1loM_Hc5(tvP1e>T7c9!SXG8B>4NHnDhF*wgTH>?yK zXiCoj03ZNKL_t(it&HXQTzo3=JhzjnHHOnf=|pKSAqi$KTV$H3G$8;W0@@{iCSN4Cz`Cax#9l!;X~^!rC2F4hG%nTMv~CsLmgvz zHfOdF-wSMcGH)vdMU*6T%Qh| zZ@>L^F^iV>0siQZ{^%>e>?=O>(R&cUH9wL<;SXH8;G}LRTo4mK{;`jH``h0Rz!)>1 z&#kqMMuUic=~rG}uh+roj|T20l8^>~@WAB@r~P}i14-mZ>-EDWe z?apvKiosLz>7x*jsnT4F`rWHxvJ@?im_N!M&Pkz~~lpErOgq=~}^UX;?y>^#C&TX+@qT?mI zS{A|zszSqy8X3_6A5lbc*vwIE98|8MH1PZmTyVE&Txol7I~^95ZokcyDk|;!vflZP zfXd5yD6o)0I2u4jJq0m4qdrmT&VgTAqoF%??$q%)3*LaP*whv<(}E%QUpz0JQ~K_m zS1<1>ziF0YfV0O=1=Pk-A`5aD6-09XcV{%%9`(hZLs%sCfXu9vxM^}dNN(hV0lBf3 zn_QlGnCCeav(u4D(Y}GO8IbnojgDGYayst3Zv_W00bBH16Oq`w`e%$7D7`2Zft7;( z71J*a9Y3bH42)Jszbm1ft0c5=arh9yqcJ5hJ@O+Cp`~)q-r$=d4|u+;mKN+Epew%X!?Nr?OP+h6@iyb2X6y*c>hE#&kI zFH2lcijzlx z6p>c$bk8yebNybY8~mdyQd%k5jir*u96Qk;4YvB*&;WC@(HxV`$W)wIYd~onK5{f% zYFIEhy@AE7x-&+5JrR-C?t1=P{_^*;EYnI8;k3w$QH2ChZ~)KD;D7l~e-Oa-_IBbg zO2{Xo;8-2&?XFfDV5P_!P@)vER!9=k3Q9o{wB}k`ucvl6n#^-ngfu~xQKG?&Jm=Zm z0!R{-0ks;mCasar?cUVphSNkb!&uI9RwRI|6Ed`>Bq>C``P_m`ZrDNUhQUw@NkXY6 z1_q;Hvr#t|B8DbOElG%(mElICk>)lxRuR&avJ6U5qE)Be%ni@yEPj6yO_S;H@J7>E z%;ro)Y1+tAvTTz%j0F){i}{=_Lo1{Sl!9d>ni_SjHRT4=Dd&c@rdp;Ft!BA0Y^@Nh zNGW$Rt&}n>FI*993Cr>u{xS^A|25nj$?I6`&$_|*o`3XRZ++`q#m*GKY&OfX?9!!6 zPk7vyeE4Jcs;FlH^v6T-Xt6!)yLbj`W)=?fXYRl6nP2zy?|8>M0AyJvbMUE8ed@bE zbf*qiWP&VMV7+wV%B8h-H-6~zaBpMz=w8G;O4wN`o`dB^McIk01D3{DKCOz!vdh=2 zvS_8e<6taiSsq_5A3;oJ|8eotVo|>FOAH4-Uy_<=dU4EPZ=|&@v|`3ur>C^u8TMsl z?@snQt#%-8t<%#=hc}J}-opJ639E2429(y_W;=Xd>-MzP;(>QD%W!w3B|Y5d{nLLg z2qsTS64+br;B%MGC%Sa;$6XMPOI#civ@G63BsWRgzZI%sz0=cL??i@sZX`rY>srT+ z$8g&x^G5BJvN(Q=R~DxVhP2jh`9g?69TI$fnysECLLyCbc2r`axT zvgLJ(m&X^IT>%f4vpjI=eCZ$!IK6qor>l;jbC)i}Yv!u85c|ff++iWno{mx5wqrIYDwW~@!!ZS+MBMcj$8_7b+wK2n4H(}nJq zk^9LWyH!xE$%~>6Q3V6eX=L$YRa^xTKq?jpxo}!jFj|n%cpOD@%_VXxiHJd-Hvwt% zISEvh7C=ezwll714iqUOkkSszj+ia~TDBY_xuBMriHj_?tfrJEB4!Jv*fRWMMdicj z(4WN|^N=12O^!UWO8y`3$yIWNr>+RpYj+3ZVPINNTBYWqryakbMMMVx>`wODjg~Om zOYa5$nOPCt{mDDO?laGP%-JuI@n|jNg1f9w(L#Y6vOkSWD1!Jy`;i}i(JNm1!aQ0X z2q0i%y+xf~lITPyTI==hTIgnnqDF0$0|EIR*+?<7cW>%41}Pd!X+M&2oz1ysxM{M~ zE#&kjh8tu4^}(jMFw>hGZXW+R{+HdB3~^v8MyBDYP@o*|)*VU z6Qxt@y*(MLh0Y{l`4t?iCkYY_fQ(@fF%%JLg*1iIPJ+xW<%TR16bOonry!*ZQ!A#X zzK<#VU+6}+^DfL%E{bwYFZbi?56`XU@Fp^{=hf>O{&ra}a?w(CYqi7l?eJOUz7G!=usAyUzF< z$Wo18rnqtOzmmpMs1B73@{#wCuY6jqOkQ`EN6`P^LQyVMkW&K5Sn9UgVYJFc_?^*! z2s@*}_OKtn>MlcKXEdl*aU)29%i^p~78);|N5Iaozq5>tRjAXBTrZ7Ks{PY12}_mo zWl8prFTGyvgSZilL+n)XMk*_{W0J0aOkPj8F7YrPL| zlySV2vfk-cx@N_GyA(WK(cHL(6-rvAmawWX;BB-j%RxfOB5jOpPALq_zz|8(Znjnx zwrrtf>74SciZChgxl0#((_A>cdKrxUnZ`TaswHfJy9E{T6$&Zffy);N&P=puOgIxq zgfqH`B}RL(wS_TeI-ez4CtA<*e3s|eG>l)>g8`X!kRti_d5TO7u;uugPK<5^LLI6Y zV!}f11z5+8lGB5-)w!_8vQxea(^L-nAX_eN-w4y0ii(I(SQ*BvG8g}gWH*6^<0xh( z5GyzL-GdBbmC2!zo^M&2)oXPE*jjiK-O|X6>dUy4s_=5Xb}PE zj|Q^ZGsX9d2;kxFC~O49IF4Ah*6#L4!+2r|BRfsGzh4^sM5L5{%^P0w(ii@wF@is` zwPNalg0&7ab!+DJnw^IAs*Ie{=~ItM67amFQ!9lut#NN%d;hR9SPrsGm9JwJEbxZ_ zE^Ksq!7iSGD_1WcK6Lcp&AAnBg~f0K$mtFGHoX--|KanGneIE7WMi`c@_a7uOIpiW zR+~;I%M4D7vqB=WY{hz?Vi3nI>aNyEsw)Y{%h}*=9yyXbt@f3jEf8s?QE#?dwVmNK z+?5d%R$ors$OF!vSY)i2&5@6CfSVYHDy5P{XIb`!H@xOU58kV_20)+*FFxt3@A=5RKl@8B(3+A&GiA9=a%;6xliBR{-~8k8 z?#vk4ou+A)Xi`kns&_0B5C~Q$8pfoFzB;(7b)BJ9ZjG^n@hnL@S(*@=L@U53R^mWC zNwp#vV^oslc@C=0a+~Ky=|pL=)>zh-$yl2tDPYLCiS=f`q%FJ08)G~!?kOjyte&LI zCD7?p_kH@=&wlnh-}z1=s@Lmzo-^~Q)2GA+*$IF|rQ7Q=@`Com%*?~_umJZ!2*2HI z6X^Lb`kAMF`BT6C8PB-)-h0+JHh%l}-coNgw9@XlHi&m_XqE=qa6F3frt1zjur%$1 z<2(`}^id_UU}w+_4qDphJUr4YA^25v5vCF3GzKODHY$IfyLdi8mbA=A=Rty2dX~?` z>1Rxp`)^gpB_CaUh{*uZX|_A9_BnA6>PK;~ky*qmt0>hzole&^BCZj(hy6~g9oo1b zX1Wp>IavG+Wch50x-n4gx~jOb?D5xCEM3g|NVBffYIj=g%Uf3_{;}chVSjtrkKgqB zoFb=>a99eCg-=-W)nl3q%CbLTTwHo z&^`=xVK^Sem*{WA9Ol}Uh(DIWdZ!omeHpqBL`bC!-P0w&F@oKqU*VQ|dHzu)Lkxoo zm8UkV0&rOTA1o!lRw%@;CBSKTs3(L6E_=_a9v%%Ni6WXgkW}1mr}&dGMvlp9ORu6>@bE}MXk!TqWKN>RhXo*LH!o9OA>;arAChs9qfQmZ`5~tM~<7z7q86Nd*-Rv>7W107e$0E zPMcM0C=nH>j#O=2z)Z=%5~P%(G|jYDgW>kw58SPk66#NF9uK05$JENZ3d6FUPN%}| z;qIu{>TqabbeDmFt>KPk+iAALnJQaYBIQ{d90AFOmuXTe$t{=@Gc!bW7+BWAqM1RO zD5U__3@|5T36ugbIWt%#X>KXEu*`(iS}-SUVJsV~p7Sl=@lAi{o8~zaX`QG$-uIr| z7_I18&-{8KV(2H`_LWd|CN;OnZI;`Nh@bR?r+okS{(xcVMCaCIS<|wf8zPG)H9(n+ zKenWk378e!oBUJE;KPy=llhMK_0_?K*eQFGhuO{Z=zZFG3Qi54*tyCpbvh7I%Efj7 z_dWE0Jgm(A>#|C&A#65K4`yO0)DzeKz=ybhDwetTKlEUMoH78M-aIiF4|j%xSl^QW zUL9;(<{0E{H(Hjhpe?aFSY>0O^Oc8<-+MVG*>yy@9pz8OF$ux44I>D^*<&Y{kBGQ1 zS`lCQRGDk5J}nK46EGg+2PvvjLgn`=nz5{w@Vi3ELCC8ls8o7z*2Of$@X2CD`?l!F z`XT?)jw|BoU?-kF?PjapYz;=k?ZW+TnX*y?SFMIC2-wRjFSIcXZ@NGCAh4myRm?A`=I$OQZ7+;60h?obXVJP1+yQ#{h z>|v&tmR}AuJ2WEhkWxH~;Zzz~ekle8NsyT3VRQmu{VO)1sBn;4GAS&}2?5`bWJQ*U zEZGIN20OA^2ELs>dSbX6vzPCG=)oBD4-074soA`&I*_nAb4273j^u`4?Np!td6V%yekKCghqxN z{z!}t9XcvMg&Fd!+4@?CPCiCyCCaCFw-#s7oCrjHWX?u#?r6y`6Eq4EyN%vS5fT9YKH{Lb^a z+|A$jIEy~=(GOY6q%1K(xko1%V7BAEU26@9lt?6iEWyTDSW;y>QVG`gGbT+-BaSfzy@O$_8Sagx;QJmvzuxH?V?-=s=FN@64_&z&2-+HK zkN0+utRD)J9}(o9I=UF+*nNR--wd?9S$MxEnwy9t@NI3=ge>ulBcR^Z8n* zE8~y6BO#HU1Ym77nzb~$xb?93Z}6lS;Yxq|N`E_mg9NIj*S;EaPsQ<^v9iLTS*!0(Co*58{ONp_CFyiNGuG77T5g=*SYwS- zhX?>_X>B&2IYB;%u@Oj7&$7vErj?Sq0BEIColNI5VTHJ6OSG01DL1+A7cgL~(Mnls zwbDUHNVI0gbUxEsWjdYAXQ3-){M6HIn$K!!P4ru7xP*F^<;ECUF1-3u&uRdsvl&SE zX5@p=wz+XQP0~<8tI-_pjwiEe80WHLJlx;fo$MW1KNP1N?T#n&spvXa`djTr^BUv( zTA|%&)za+z!atKT8D~NHb_ih6Cjl%8MHOig zbyhs}LVQsaUFmPl^7(qF7qYh+%~?Jd8+Ip-_(?>hiZ!HERMGF1Q<+wyS-iIc7-KyP z3l;}+fhgV=<+n#K2qMz=-A+n!sn^}Jjtw*Y@U{g>XMw9GW-l4FDoM3xlupx3V-#OOLBw$Ml)!`NgZ3Be0E#aAx!5 z(o1OoE^l9vPl1u1S+0eybBjf|;C!@%5CO*z9TmMG5PSc{2bX3kIO9PZ8zeSQc`%&w zuq7K~l(m!DR4bKRYuOrWtM|`h2)TqnE#oAI(F;aYzpocW3>zbtjy8&!<6|Rkz~58y z$Z6>epxE_-e82FOgS1o-TUunJV}ODfk9h^a*6B{{4NZxt@RfitP)nmLp#Kh{r(mI4 z%!4yWPozo0KrO3@7_7B!)EnEw{&Y4))Z@eoHrtK@hfd6mMMlZWQL^}5zldX}V? zt+L9h(#Ga=nXpJkYOk>rPw>Jdh)r+{>*Cqb@R#rV^XLBHPvdV&xP_d4p@aj$rnfND zFYXW@Taj#Ad2TqmR3d+h#JQNgkSPHooPX#X1!p&)R=w$y!B`8AMuyyBdm}=t(G07% zK(vtpEB|q34MeojUE3P$$okGlPn?*~AG*}H1OQ4wX+@-nEId#c?+xs?cKB^X_LWFC ziD6TRmioU1^;2n@5K)pOgJJ(?fBE@3(XwNl**sajKfF48 znxyNU-u9?Zp0eqDCO&k8{6ds>|2XqWBFqdLsTX9F+q@NGRmoKp8HiED0d{42Z{<$N zv-r;M_{Umn5dQVgJa0OmDy95Wp8)i|AG`P7kA39f{$-^Tsq|lb-*cbzrB4zJ@XFP# zLx(m%^x+TRt&;@6u|vm=H6}MEpFiQTw;efr^pF1h4}0sKEKQRnX*PO;@xVQIL}VF& zVumqfE#SR_2Eo;xyCSxHLFBPiUfK){3pO|<$2$u$@HGMfwX8mwPQ=J!GM^5{!%nju zWIMRw6ctRgxpBnVT*UK%BC!@hgXQ7gXt+08Z})b_!}U&23`^HLy-u?goGhhjw$WYN z9t{wUiqO;Uzh2T3I-@UOKrmAP)A@`UhOMzPDM=7U0Vn~=t0Thr7_)2k0PK1Mb26WjtOg;2Z|`(I6=lYE zPOPnyuNMJ`l;5V%@i;dIKwhjr#iHTT8%u^z}mQ35~8;&v6CzO)i7BwYx+@291| zqXOL!iqyy=F)jRFsJF-x;d<4EMC7}=qHQC5iPFdIp_tnhe5kzip_sV{7C63vq420t zgy<&kDjwcISW?dB#^K?3v{l%X;=7NE38lsFx|u~Y06 zl|+?KvG}ssj0L%>GB1i1Bu-zRzDovw$Wbaks=pAhib@9Kp;oHf>V&bj9=}r;V2yH4 zE=5#XA))esyX;n__PH@tVY^Sn{w18aq2$fUs*72%>`|F9Bv29Y&?oLi)OvCZq4>ExXz~wS1v6)c7M=t@#Nhg#W2A~w`HI*bf>8&|~4bbMHBjJo8R82+o*SGh^B1SY{DX{Yp)wRIlCH9`=a<*0xrwojiLS_g%a^kS*ae zpZ=Ko=H~z;B81!AqMAzQ*?_;T5I3lRN(C*I81J}1DWz()`WxT;t9O3vy-Au%#_)PF zd8vEhT8JkA4B&~6e}bz5v@`50qOM%k2Oy5p2s=Z0WR{qCXEY>`_`56wO)(TDF;{V9 zTaZ?wW3oF-mo{*TfVdx>SP)%Ei!3e~0F*j< z=8KmuKKaX@{K-#!^4;%!&s+cW_Ba3f?+_uarCRIbM^5BA`p)+#m0ay6tZ0G58Zv9i1Edp5o#*VX?m#J9Mme zL?^qUv#v2OODFl@jc)IuE7KryZx8xggZ@UR*KKvArqS+rd(>ZVm!PiGnb{MT)DwughI_~7<%0FX3UjzT;EJ2(Jm zL(8r=NS0t6N0GOgGRI=BMGDHI;6|0Bl8}BGb>y!AtdaqjtXpMW+iPY71kIPDPlXcZ z7oMU`QDGh!jPcKS{`&@$XU`Hc7v^n@x|KK^ZQw$92|MLQ6I6;u>(b${7=L{_mF&)2 z(U{+Ik3@AsNu5?l(o~oew+#D!T15~o8#Iqx*oSEnR?)kXhpU-OTh&*LiZ$w*{jqB0 zf6TE{m6V|uLbmE!S1xez(={o%E^0fi_V%cM;7i^?X4&_;*RoLFEC^undFp{_fH5pV zs|T&%fdv<(`(UQS-O*|Rpc3}s31I;chr>))XDR$Rczo1JU5=OQ?XEbn0WjPh6RoDJ-I_zE@9eo|Mnd88MMk>aLH!@2(g8v!8$dt6Qy(wFAJt&+K(C zjt2%gM|1G;9x+AGk35l7Xzp>0wM_nc{_$gn=;T?gw3{g+{8T4sG^-;Odeu@@UMdT< zY`xRl8uZ~AcjfA(!-qDPGw=&D+(J%oVmKi4uML|%dSJUR!tf|yesK}RX(G%3S!;-t z)``Se%i$Psh0Q5|V*QmxSUDp^C6KIzB@adR+TF0Jj7PhQlq{S|3=3UpAnzxo*X}xj zhnX$2V!4iTWNkwplGf0y6FRhcjQR4_5&0uWW>(5wvsl*lVk;>>Y+mdxF>*>oS|@3m zGTS6cYqi>M{q}3_`sAHynu?|2TDvFdm2wWXf%0J^QOR0{=sDl^_W+P~2c(1XP$|`J zwgNEMYj>UHh4dC-usc#pbz1F!fr`ile;>rdrjQ$83?}#BWJ!hQa3R8-BvU9#$t4hw z*2LD`K4Z(j@}FKIe7Laluq-bYmJNq`37E`jJO`k_P{eQj<3IR+|Ll)JVCJb!h{&>i z@B8kU7(FvIpL2g}^dtYb@x@(;t2*c7t zJ*CiTw%xm*1i+>2wA%5H%Acj|(I+s%6Qc4X{xj4i4e7Qzm9G*x7!RdI;Zta}DAJ*1 zCmZ@t!`)G**_!A1-gFWY$E8V8LU3HvU_6Xl6rP(S&|p0D>DvL!mU5)y3T_Z}nyq-) zgioPILi&P@M4e`9k;CENxEu)%2`ynH1fah=lyx9P_c~pr)Xr!SZik5#gxGV%Dc3q( ztyI7f`nyA^g1z`&{0EiFz0C_@GM{a39Ilk7!MUuw_O;h76gT8LF}$UyeRC4xGd#MK~ePQ zVtPj8I&4|7mFxjLMEOritfE|@Bvmwe)~_4_H^FnFk?tF#$)Mxn?tc zvb9c6DYZQugslDXNGmOCV@RWw-YO~B(JU($xi~EM`NUEG#anySl&Xc=l8Icz7vw}# zmB#DhsH~*-Sqda&X+sw6=KABIQo6fjjdF8;#YB!ic|?a-z-nUERc=XabQoRJmL~X{ zzKeV>wcVK;6cqV&q-32JMBI4kP)e6HxpJ1}@j#rVB=D??2lvOr{&;xiSaA_*t=-c~ zZw+>Y4N9rl5;pQS5M<)G;mp7TmoCI@VX$DPOYd6Uf9bq5KWfxsDmRo%G4AepVMBjr z^JHnY1kSn6Ew`P$HC{Q0cm}wKht}HNPP1j1t+8w^Gurj0(yH6;^oN57AATrHG8N#c zFcSRC3)c?_&`OI@MWbHNO`ayHA_WZqX_7{ni>_j3Ngz}SC58ybtaWTpR`MaFk z_rL%Bg1Tl|_M<=eBd`3`SGU)?Yu(;xvKxB8YHg~-UE`K3hml!NT73kt% zchu{2-Dpsv-pXL4@!oC_{DOMoR5JjKjqY0C-v)E*00V?xrz?`YB-2W<*UiozKQ-DN zZx8!KL`m|3m;dAsf6wzypL~qgTJC_(=W}KoE>8xu)X#v@r1fozMvrfp?4Ti}Ny3~H z;q-|^>FLL5tx1XBRjhTo;+-xqCs?h7A^_*9z*_6(G?DTyRsa}lgFfc9eg>eF_V*h> zM0eixo^Si+e}G3gEb`>@8g3z{H!&Q9`PYC=9|f@87jJkJGT-lOR^DDul5{eeDn;VH z5bh4ea>*%c>7_}U$^kDJCyyQrl&gS(p(Nf(iL#kJ^+`|p!SDS(X5QZ3{+XBjY}kkT z;~{`fvnAOSscySNL_5QNctf$k7fAYz*fNuIs^e+_NL-jR7^HLo+6rm09~u7%5I3^o z8r8#IRHxMrpL%Vvp%#Rbpje6T$Fjtg(v;&wj;I^{*m5ElacN_ddlgEoW)`K0o%!e$B{z z5*)BO2PtZw_-m57D$4<@i2m%gyNXmik1DegOXu1bURa7WOISL^z@W<9_qSiG@$#uZ z8uZ75vzsSFfx@@oe4|_edoMlZekFw0;X^IwE(xp_#IY!g2R#WC>h)XpiqSx!jTFU| z7R->)30si`Rw3mQ1dv%$c9f+WUnVSS3v($xCe*FB4_$y-bOk6TrQBaP?nRd z1j|xjYrCxuvltfG$DTYpolHLV=}$IV&8(KS>dljfkDY(`Vx$Q8a{SK z)=276a|z|Wu#DcJ01}xMb8x(#8zY|Li4er&5VWG$cQgRYw%uq6gr>C)=L9iI$YK`} zD%3hUqGthH^L%csRZ#U>J+pRqx<^4rAP`Ze$pXxUr2-MP8?EtVw_dAh)zDhY2W!Q0 z#mfV}G6X=;{~I@r13BQePLBX%?bm+w)8F>Cx20(+_Z{;*zvrHNp8w;|d+q=A>sqNp zy>)qWN%VxE1jWoPS}GM#FgGc8aO8+X+AK>$P$dE%yiIEfF)W~D*YWEVrb?J-YCl0?jaEc5B3CtSmo3Q#hrB+&&X z0O4rXTdw%YuM~yj5ub$s$Q9C%=aZlLDDa5GroLNlg+6vB32JmKatKi;9( z9aOm!NOEuaS*?@#+`VRyW!Wrx!=w`8MfoEi`!L{^%#t|E819ajk+Qr@F-G$h`0Yv@ zn{p9H-r7h6Yo%4%XzH_%PtM=BJ(!5SX{bXUrt*h!0YY60{>n{!E4xUo=6sMFW3nu* z*Bd|l{O?X0jWkV_Qqq^FH&58$ie=xl@bEH~l}q{jR&-yWZ{fN5ddd zihd1J{gA4zC_-vZzr%>V$rye@hI6yP6HDv7@e$~lllDW1I1 zu952@mPugB$jfS_r~p?9AmW)$=dL_-_V_8bOrYs>Dt5uf7;CLkDoK)$e*B{XO^kL& zUSbKrdzmcPi+)rrbb(WV1h0@SU98WuMr@jkgU>~rxYgadMaS?2~X z0sF686mRVeXHtB6^Q0hWPdNLyr$6m$KJ}?jHJi<6J>!`h8ymm$>X$b=ty-EfGZqBS z;K>Alyk;q|skc&zfd-E}CoqBSscam`9ZdvPf+o6i8u^SNMSF?OsKX`;E| zOp_v-Tck;1*oZ_y5ICMd&f1xf@4&V|gmz6QBHqwKmW5`Fvil z*QF(5+EGmHLh|;sIx#Djp2#on|vv3nM z&vUulNu;cm=SjktBa!dC&r`3l5rxN%i3CEW%MV}bwrkyu_4N2@t#vTnJ-c}#Sm(MT zs2I`?m|j?ygBgB!DGLCBEDG!CRm<-?Kk)YF;&0BYP$AGQgVUQAuF3pczD*yE;ZXxv z2YJAOKLCu$W#-#C(F)cT_1TL2TDH&<_MwNStOIeE$B`xV9R4IeF)9!Ry9l`yj6W7{Z5v_!B zxh{8l^F&PDTBlp6g#>c9s|(6K&DDkp3H{NKh{C;l_#qcK5;Y{mSH148Qp;8gw9B$E zDxDGMSrxxBTnkxT5^ijDy=JZwFArJ=mwr;^Z!BrwN~WCNJW;_8LedIG13^xiw}<_b zjO>q>+RaueWl>;JdpyFzrxl%TDy2xs?%(Hm^CslD`#0yMT>Z;h~Q2Fgr;jE zr`=Ww4qla*{k^q)5S(jE1Hvlo_6_7e%&X_Qa-Ir?~xONEK^)w&{oXoGD3kfnz+sraAWx4BWy@A;J)6z6*6d%|a%f&~ zM-4D2A!^hclj&rZn~iQ~v^&nyOnJp*Q3*kK;vNhzX?D-KlZR{>S}SJc#?-P5NaY#N ziu&L{R8TE(?=oSYYo!vM7;9@;R>=2KmApmE-VvI!JLLtYa6xKdiMM)IME8FDuQ%Fj zd7cYqDh3wqwyYA|X|_ej^g2*%@JYe?@=z)4et zco0UKGnED>qk2+Zs?*cR1VX3P=3pMA6cN4Xl|MC~On&~SU)Afb&t@}Ya)7NBI9#;X zAbrJ`)*>Cq2NeY(^}hH1#i1wOrfM~DIw5J!xC4fgrwA(A=w}sk43Act6!&bYsg;L` zeYCOp3if>VVV`aP^AT<#r#CU|FZ2?YutUxBx%VXsSnCWh8J4X)J4hm?c*D&8Xc)(b#q{c6C%mI4>BAx?XKUg9wH$Dr zId-x?8g37F;I(wUdGf7)@uqY4ef&B9;GeFo9Wsi@7;6i}H0*sS!)b!dX`RTrrnPP~ z8lU>qM_>NBf5-JEyn7}FTefF6Pk~`A7cOkz(L+h(n-#9CzUDu@62SJ(_6z^xizoA` zR$3B?Lwa#IAp6VKV27Ez4tfTFR-<`!upRUK>R`t*w;QdB{t$I{B#UsdS%j-HRN6oM zN+_y6S}nX`chu>{r=aBcY4206XnU27 zu?SBa9shIWPmEThWvnec1Nus?>}=)6bPGGUVw{&Q zcA+xXxYcM{Yq#VTKF5=yMOXUU?M5r85g{=uvk4`p#9a`@1E4GYEn`g#om2^k_$$q? zBsSKRO>W&F4Y~tWAAK$Nd?}vdAJ)3w& zTGn!3R6*9lhJ*56=gKJ7%6R)IffPk8LZk>+a&f0Z*2=A@;Cv(lEZsU+*?a*a(o0OM z-n`P^3TfnG_>wC-QOh2a zfSCEpV4K{jHUMH^Z*H2kY%-rUY7HXf#%islc#1YER7HR)VipWREik{x^U7 zWHKQlxtGSw=P#VMPDy~VmWZGf!IGt_@D_?jsMX&*(K6E1p2nKqYwZv7!O;Gru+`G62R7Ar&(_VN-Vo9?MACrZw|&oXF5Yj>-87D z{CUQhAN^-PecKbCJe^LB$(b>mO{IZEw4k#W0&iqzs>FQdw_g9UJKz7O>gWkwtIJJF z;met$CkjS+f}ubl0Xz#NnVibz7JMr_A%K}UxN~J@x&0}$Xs9qadaX|I1I}cxT)lkw z(9soR`LhiB%#zPXxP_cPI)mQl+w-3H!p|EWw?YUv9X4GI;fB6aYCfN9t*o`Oq|WDa z07;^aF-x%t`4P^n-txz9bk>Fhct3!$g7?P*Nqls@ctvC~n@naCDP}G5{TDw_cy#>` z{PGrL3yyB3OQ@xp0!`*q_}8c?NgwK8di%Tn@Q;4ywVlq9zxB8;6~jiYwNjeGy@V)e zt&$|E)oPM*IPBkb_j_LY8!x`|BkxsN4GJRKj$K0Z#M1u%c@dHx7F#7hH=C9rV|ilX<7)( z1qlmwQ9&M!aHC3=Ab5q+WXrVH?rd*=_0zrzz}J1%)BoE${xWoj^@~hwa zCx76qSed)cwiAxPgYod6eCPj6MCTv6@aOORi^*&%SNI>kdgc8ezUv8(|B|d;OS1G& z-|^pehJEote(v%G5mp4?=tYkyIqJ&$PD$dg!fz1|3i+ubxfU@c$vvRnk^bPN&k|Rw zzoVdt_GZ&q4OXDoDJK9TOs3P2V1e)@G{^BmVzp7eWnBKmA&V0qiz*8?aNJTSYDNs= zf(h&=Kq=k}r(UrMzuCcx1yiFe3ng=?x*G3g(ozEpNxYIJ@Tcfb-yokmBC1?_NEEaT zpULu)L?W77-_Sq~`>SczOYap9+t5&8Z#pGHmZX8}y>>?t?ad|&+9K~wCkm9MX<&#v zUn}or#iM6$x<{mHX$^>5yD+Xe3=%gq#F%AoHi_#E|GzUDD55M)L*j0$v*R64yK&}5 z8*`}(!jLF_ON)r8mS%yp(4x?7Va)DLry;R)MwVs@+2gr=001BWNklxHa~?e9OR5ij8U94k{YyJ#Ils!BEY=-~=(aja zhN!PohH;i=fq-7SL!|bmdu5WiOjL*}4Z@iCK)CO&%5qxlBjs9(AJHOMDx3kPkh3xc zLMc&}@;V#(p~C-&MlSEjQHS_UyR!*_YS9*%rdgv_AMTDz>A~<1xW^mZ{TCmsw0gy$ zDA>(WWSOd%F@egM8>_NJP4tP@I^D2`?Tm)*Q}Lj8)QRyD84uN{oe@JzSz%@KfeG<0Tv%0?sg3^2sB*qQYU4JZI(j^A7=ZgP zp7#a&4~y${Xn0hsFfyQ~-Bz0|pTBtFnE8Cp%mF!F-`M!{1NR9?2cVYLG^yR` zo`cDpxJgQ>X1!rd4pK?t9vrWldwTmz-^_R-2N{Irn`NHo^E6F%ClfD-7g`m-0BJ>u zPO?O6t#e~7(5ly!)`+gsuMA5+OB}k2!PJ6os?LP8PNc%pAX@9NH*NtQ_1q z@jO=4D8v`58Wmr_n9gUrvqEIu9gd#a;iDqVTY-)_D)#}W4I$d;ZT5SmtedMDb3JV~C!exBs*jH)1)MDHFg^6$O zFP6MdTsZ$^aHrMjv^o!5zTh@^fQeA6;n?Zl{qJx2owxlLo=v~|fBb$1p8S-rURyg9 zop*(D)h9o3ug=m}yzT|mXp)CnMM{?Q_4RhQAaIoed5xEZUDf}u-_5G#wDzZs0$=>`GQPz>K1hE zU?mdTM?@dE_ijmWXyedpf9aR6Ze6`}`7(efed%qI_weDv>#c5oZ|GakKlTGZBGOf8 z>+xg9Uh;2V4DSZvmB02Y3hJ-k`!4xfuhl^yq6aQrDC`ozXO5omVr68@3-AJf?!PV7 zUiJ?a$;nk0E3qZ}ftZ0ye;08iZzUDC^+DUC!S<+scJoxIuG4G-&D<55^r;iV<)#qD5x;{nEEtJoe6 zuy|jUN(W0J$SHkD%#2R61IG5KAFnjb*c$9?4R#C(YZr;}l0D!vf4hUNV zZw^rIkgZ{#fo`iEX8YM=rv@Xjk8m?7u*BUID3}u~HO>rtYv8Wy2EC)}y*$T^20&nW zOh7KmN&0rP#lZG(2Zd(jl5^RiTB)PRvjI!STLG3ho|U*zS<;!yn?9G%wL&N~w?$>L zP(c>#6UbK?lgdTL@-i6H7Ikl>Qoq(M8`tdVcX?u6C?@OkCFrbL4}uw5H8qOZ2*Ft5 zFrw0pt0`DAza!_uu8!^D0HDYNFBXUKHA5KZapXSh)`9w<7zO2$4zl^IQD-huy@wD2-CQDqUGgQ#~_6| zD!@bZqvEaHPwWUviw5oLjdFW9h#j-UVYEAr{kK$t$4rZXcxLmYtT2o7{hSDIE4dmJ zg==oQeA;)e2N&twr3WDbDHn4(-aHm>Ud4-hNY5x%$lGqa?cRIu6~)0?s}r5)`9oJP ziM^h?cSY`f!mGpWL~A0-jj5$+E!9dGfEhBMu955D&kXu*=DS2+8 zAWmulK@p`%I@}%C(sVkXyW7^~KD7*HW37@SnM9LT+5%eMb8wG3vSB2O2#~5Qv#|?8 zGczgWj0D9b5SY*BL{z-d?)DjRKY-krG*Qh)6U@{3tX`|b=1M0ZlD#`o1VAauEoYjH z#oX9%4XAu!48-om3GXycdd1I8k%EXi&9+L_{TCkm%!B6`{LT-)SFBqoGVQF6GgqT~ zYPEQ-(eGiT2nX`&P~pBMiHt|?`At$< zlcKvm{=WBr><()U&t~8KobP+`lb*KL+sN~Ibc*T6KS3$=S8xC0x7_}6>a0<-ojvx6 z{zZFuy;W{Ibt(o@5o3!LE8JO>|Amk8n?pMI$F~HqjyDS5-cGB%HQWgk_OI@I+jD>L z`3L9fb%q1V{hz~d%jWda7H+|&kD_qXG1E$@%+;{6%IouRPNwI^m?V)G`j&(xM~EKl zTIOKBlDg1ix>4fTh5YhU(cqYJ5j_Q+J$7=uw=0S|IY%(etk6iP+4{YAy%oUkzw>vE zHSEL|P@Yqo309y;fspmqBs(c0xP@)K-ScAQ3K3UG3Ok6~fB1DTeHDPKSFgU{|9H`y zO`;Qr0QxN?%8&JSZ+p~_x0>O2RC*q&`Tw)`=JB!=<=y!6RCUkHneCi&_j@k8L8C@? zC5cN=P!wdVFynj4Z)zv+7(foc<@8^9f<=mN`?yjevdg@ue^;A_AA%86I^x~We9!7+~jz8(Q z(ZHX7jn45bM^iTeo(dTVR3$ues)$=4zYQXb{lH-}hm^ z4|$o@hV>iPuUk)c&fm>yI@;R3j@i=GmV8{a$8dS575;Uf8KbU`EGeV*oXR8 zIU%T5Y6$3-8L|)Oj%eW3F7I}uUn^{>fABV6@ zs#U7IS|45Zfk6sfPy_a6`z{Y|U?18V2qHosmh!`RVC2-G%;pWXas`N(07_X-nJqdp zF%tKzwK6$1X#*wGWxrh%b2s@hR+e|ni-&WdM`8^_m<6A{VaJJ^1tVW3t*f4jvj4^$ z)C=gu(zCbx8hm(y(YxEFop|*^w#k%j-JU*YDBDAo5BF344SPtvq8yTF@696PdG>QN z>y;WfRS$p&jZPD@PEoy5MMyU*ryMSp`Vo$cqj^2D#r{Q~Lh?5G%g7~q75P74p0ISj z7&8>5*Zupm9|=a2X_Z*sHX{-v&OH424fcQ(!#Cb^&+mxs3$tD|I3!m#C61|eU7cZC zZiwfHte;HlcB$O+P(bgt3|rRJ#*J>waS2AsyMKoxDQAU7I0Qp-oX*(UKmJc6D)#bB z&CPy#Z%$*R4*Y0;%riMzA@px&nuh`L9VsC0p~N{=F`~&?LaYMhh0;QZPk!-#zvQ$R zZr!?-h>|2hz&|_W&pz>m&mf?bveoTW$I1X8rJShM*G^2fx}Dvvm9b(;3PGd-DU>E@ zj#kHF;P+>$2LlOF!fTcdj+sh^)y!IHM2OJ8KB;1BDFhPB#RO}B{Ii(|% zyj~gu%zM)g0uX?a(qJP2*U^Z`=j58bFoi&^qc{$v6hd@*U9dxItXNW{0YGV`h{j9h z{-9qiSArmPwFc2)~qFB8E*}_67g}P1MF4?WWy=)s6*9 z&tC9-!mMIWA?frd1R&`2x^Dfx{S6&kn7y@16~XlMO1p_Dv>*v2g`gn3?gwA_`b}Tf zS`%rliQ)(VAP7+<7={2WsaV*uzg-@R_QYTyAgyV?jZ@%MrEkG4Db`EOv)brXuYWCX zvUg&3;yP6dnQvN;-Wc$Iu8ejq9x4CNmPcUIy`}7Jn5ir5uJWEfN-0hNOp=(xX5L_Q zPnaneXENV=)!QVm(?Ar6((C~W0J_!f@LblK`h*m`cLZr7z6SuLkWK}+x@~uTvNmq- z2LRA^4|4#XO$D&lE1f3$_?PTGz6q09(U*&FMlNwt*orP^}X*T0U-uLNPouFLs|g<#;bLKVx`k` zR;ktN2qDbOBmw}8*XmM;6^EP>5kR-!t5vF&Ef#~ZuSN625WD=)nFvU@=mine<1fiA zF4k{(&)bi9!l93T)WI9qZFs^Hp0KjA@{3>m;#Uv-`lt8*d^L%}Amj%S@!orXa`@qg z|Mb554msoy0{ZDse)@zbJi%Z4_4zM;VQ$wVL)DJ|fEY;WXxw+xl>bvYw~W^5ci;Gf zHQlyVmXDb!QU6ZUe)0mFOh}o1#B+1f7-KZ1I^@A;&i(=b+qv~h^>;Ddx#++Qh5w(r zWsl*7AHSqd%`lz$w&!CM$}l499;J^^{y}ey5cs`-ndcxI>FojBrfg?JmXZE_sf+8d zr}X*|$-{;F#m{7!sEy}3-OZXUE@){=wW6wQ(urN~f7iZ$!j) zuOo%1mMe~g@oG%~GSJ|Ax;d{mmyPHg=2o}U>UQ?qxWxe*u0=k^xv=acfU0S z4gnA*YvX~G{-|%ScKuNd02B3b>D@ED`h>+Wdp#rXIk_EKjGSBP&0P22YlWQL5zP7d z1OQJeM!#w#s7&-*@#Z}dMnvsir`_vJ*6gG;8NRKwn<=bjpO{{p^T%Uu2>(vC+fha? z`Jb|npdC`)FoK+Q#x#i;vz+g)_Ue@?Q!J$n>~@e~JsfxQNU9JF*pM0#KzHViM}Q9w zgI|S^!s!c{)m>7G)arW-Gq>5d@PU?AKV>N7W16HreR~9Zt;x&(kaehth!6Pk)#3Ew zoD|Yq8Q|rKqc_|=;BW*506?S>QDdvyM#M^~EQI*Vx2`#GpZ&|Fv4bCV@a~n}pZd~g z0YFI6>2({ORv`>|>u{~K5Mu54WFZLpQ7=y7Qn5@#VJNv>B8yz6Gy%kl5G5eU97&$2 zNkpZTZ6=jVWBq8r{M1AOh1R-QC@8JUrLjN;oNfsKNs<%_1y3B&2_%yuG!R1IJ5$CD zCn8NKc&jlIQj8%H2_b5gDjDES3n57vxf-vQd20gZwG@&;G;~~wz8j~&RyVUaj|hQ~j?0b=VL)s%pvavDX;@;$m^Q(P>ku0W zSvAP<1`6rENPFlOqL3)05G?-fUT3^kXG!K*)AYY>$auBZ?sc5}OCkB(4ggqNpK$B+ zg%D28(T*7VDla7#k*gc8)!W?;5qT4J2+}k~6H%$?l-QmICTAH>R_oPrRhx6AkgvPp zss)k7`_V&M0m$FgT?pYE_e_*z<`sHiE7=V zkS4J7&?LJjya~B8j3H|#EPomSK)mmlKmWyp z4ZVT4@3zt+y}C8b5~Ti+$QKL#*9A z%M$CtdyW+hzxjUSV`o5=RH(ebKKrwqJ_hpfGA|XtHh1wv zx|bn1{BAo}kkcjU0hGBqGxC-DDfihw6wGwF{|{yvNY7=rj##bF6gN68AgER<&ST}J{f&0Z54QP(A5lAQId$#S z;*P~xKli6zsg{bR`CW?{S`CvewdIP#UEGm(sbO$=8J1aIIGxHa|FJKulJ&Z)V->A+ z3QL*cVQy}8TKjI8vY`>wDpjB~L(MXuG9Bw@Y1&s=o?!Mlix^mR!-`m@#L~&wo|@oZG6Y#>2g07!K?GRnls?{6($Pa&`lsaL9u*PGckgl4CWi2mAA~1UuO@!b|ke!Ag-&F^3CvNnT7~6zc_D+6`h@ofm*qm zvJn9Q+TBiW2~Kv{7)59GTgnRZRwCOmZ~ya$MIL!$3ONDIw=!<;zs&GS5oEsTkDF}U z(^hAa(R>%oBg{@jc~9rZq)!B72ez;O&39La(;@CPh)6VEt!I42RFD`<9$9_5QLeAi z{Da}mTgd1lJ4=_oY1$l^X;qnZOotqpyjW&@I!sp@aGb(eRduXFQqC;S6VLm2$m=g-18{%$=v0uc%z4($v8hyZr! zAwZ|sV}~kbBAWL3DIKZw<;T1E+*uvYDg^*&cG{VpG%)vk>XbTxuUD#?s8+7>hIq|R z%PJrg4BGOoK5XiaT?Uw_*2k;$bhH5aS|T%C(eu?PD^p9WmPRx`|9T{&Kwbp2lz~iqxkL&5x z(fFx9vj~vt#dNPy?;(Utz|hEByKF@WkX^j*-OOs%f+<{$PlER{u&cVpt93+lyR42# ztpco7Dx6m7ZZH9I2E27Kv**IPdu@|1KJTJ+Yu6Fcc^6&K9`txK?u<1Z7L3%h#9F0> zC>q_CyS>?IAz-yqanIE%6#!nvmS&*1)TEqWO8{wznO@5hZQKXfEN`mcrHJzONlIgY z+5)D4PzVcg919_|)_zzrh@(!g>-ORS01#qreR8GK%z=AD&Nd>FF(-z4>r=Z9$jKM? zlv~FF-ir-~hGlp}Mok)$6f7l^D{3BWnD+O_Q&(l3^I<_Y*lEYJe>;2i= zhJlh1H@cxo!@v1GmglScX-}0<_G%^+8cj7p*1Onqd%dxmag&GZ_O9mzDf?|i`$p25 z`kg*fWyE))T3czi{Dhi}59ykgRj017!Za$801#^Bst=p`H?MS>#Ea#T_1M)|u^4)~SqK>>|y z&+nrQTQu-?#~mKp4@>udv!2n~&HOY}qxr@|i^a9kX*reIu<)O|)!fr=KCDI=`4j$D zS#a9bscahhIR~oe9{KI;81^bg{l3S*aQGQ?dWq~;0)R%lsgxS8)$MRh$J+Gb?2uL; z8i4kVW|KmnbDkUI+zQHSr`$_2m(fkyLgon%QuQA&bG#RlC8q|Xo$Wd8n#H8 zN(}1ivw(MZ%>getF_AjG`%>Rn};%#c+K-D9V+%=0x&aP zrtJtw(~EOiJ)F*5rG7ZSJF68a&*TilSPYt}VMXSyAfdJHuJ)SUb{K}mLQ!kg?6wPG zp%zrN*1T}7R;dbsjds(jPSdT%lwfuh1D_tAF+Uz50F-tckk(-k5|BVqEEJSd1)Zeftm6kj3wGuA}(>xX{%dxlNnVfx_@Rvtl4_mc7mZ2CwUU99G`UJoLX)>;c8 zi6{s{-Upp;=Jopz|HAtp_$h$Vi_U`bg-)+K?An{97ytk;Ssmv~?kE3i=6Wu69I5L@ zSxI-Dm)iq@ww?h(x}Jg@;r6eGakwNSvKGSmk~WG4Ld3%!cgU+>{z^n#US593rSBdj z5wA^1C;75w#}5#Ef;pS4VYl!j3x${k-DIfJq!AoqU^6aL+D#yU&aun3E8}NaU^J6i z3$C}Q-EF%o*z14+SFl#8Mp1+UcPz}hV9xAskF?TiIJ|@B!^dki6xe7t?Ig2xW3ur@ zrY8viu;tDcv#DVKVDopJa2NxG4jkZ@4TTzBGi`kIaCVD1&;i$MKtPZ}mdD0i^kC|9 zLYwYF@7hFQwp{en->A`UaxPwOu-JxVLK5r3q0OA2^bSa+AqA2=ZrQe2S$8x40RBrH z3r(d%p&F(f&wTXXroDwxej*Fl85{d5AMnb?S6)t#U$rCFuReXJZJx)mv?YEs(!iE7 z8it5?o`d~v*ARZhPtx&|n0)^5Xxew9oX)58WzlD_Sl{xm(b0xU+FYgI?a7IPB|wS z$n{GuW-tVp9C>YYNM)?7wKk&_Q6RQ^T>xl!XtMJkGg1Kn0L!h0)|yjCnSjbznRjT# zVW*tPA+5G=X`c<7Je^~5FWS9sx==b*?9;K+_BJ>76M<49uK`#TYY1Ex_!a?Mt%{TxW(VHaaa{ z^fO+q1JQE3$rNxZNtDEeFihfTcWY&5WB1zeNlxw~qRLpMX*a9M41Sw0?60YYH0nti zkdo)oCxmc32Y^JYLKqeWGVU4%fg(a8A%#Ugt?S~t8iY@4{`WqmlH;Y{l8qUBg5AIY z(xgdiV>HZvc0&>%ZFU*U8x$iIIjv&&tX#n2x4||sy`;^vBn|gzAi~s;207*naRBF=Bo|;(C^W;V~IK@T* zK%j6-7xR9nPW#E$PM#6T+z)JfQo4YXbD5vdJMq+KKK)oCdho#qFaP)zj#pZf$xt*K z-B^Q@^$F5i5K!Un=1SUCL`1Z!u{>5R8C-)XilaD=QJ9mg8t$0XY_xAfV+#*?jxVN@ zhgtmB3ldtL_DZ|y8Q+v%j=OiYR(K5%rgNFL6@ZAiySbvZPWM{`U;u>!NHDms2D{gd z6V|PB(-YM?Sp+2Q2f zWc&v?!mI$?TKtAMs!SL|1VB!nef(>f02Zxmgp#cyUyDD? zQKNP-pBWcq<3h_x78$eErIUS13En!l!3tV zbA`+++;TK-eA;OQ^qZM&ZnZwEAoD73hho$52)kQm;Pb(8L{tn5tNm3XLPRNLF)Ron z5~cj}bL=16ey}h<(bDp+D2l4(is^EMD2@?Pq!UvaLEG6_PE=xo93X8AbAylK9V?D0 zrT7%V3<2H{G6ZPSL{St)VZ;>-qG%9BcC%A#w3>cqeG1BZ0+-W9Y2=h%q1@f9fmy3_ zPoh(82#C0|u?zrfYU6;Ao;>bg4yQKB><_M#;+F^^r4(k*F*i~O0kk(Vu-$FDW3^1g z>VC5XAi!j8yjH2XKFGm*|Gnbztz|TDW4Lx^-Ok2t09;cW2k+RW?5t8Aq8Lq;RgK;( z3lKoN+vX{*48G*)T_JE+V|lVZ0RZ(O1i4%q^CX#7py3K*HR+bxw1QoBG=2! zPJ0jy?524cGJGpNRC{I^+HSQp`OZ+<5Odw^u1rni13eoN`z7>QRe7^UCotpY0MHp{_I7f66aH{ zv>Uatsw0ypkQSGB8k>>r0INzpY8~r6W9izs@5U*mRqE9fY+s!54Ybu@hBKJH*LF5n zsWSqR;jA0iZX`JsOtjo;kYzk4T@q0sC1;o_tr1~&bA{Jd6KR1sRw%W4ZPx_|d{9x^ z8;&X>N|eqxDi&(=8)$`*oXrA`AOJXjWe^Rd3vu8xV04$8o|US_r~H6d^jEr|s9M1!cDmupR|^;%VL5l;>VY826HZ(o>=Uz>Ems zT4I$rsa|e1H0fHoik<|dFGC*wiaUR~)i5It005NMPF%Ite1a~EYbHSO^uNgLpk;Ds z2!N1=9x=}dO8vBqEy)s`i=ER)T!JcP9?5hT*+&Qf%&W-GE~UfSuIvN=Lgjn)^m1kbx8^mRpVGR)f#5${|zfZg(EgjNU9Tk+(a#?Z+Jp zv)Y6S#10&3V~-hqKtve?eC#F&<6xj&;^EE3BIBOC#UWEwEmw)ip%q@N;ADrurkH8c zTInQ7*ao{fQ$!4cKuU=Mms^eHc4M+O;U7kyo8k1?;$QK*7!jFrKF0=3ewj8Kxq8?Z z5TOv<{N2qJL|kb%8NE&W5z^(3w%-kN+`8!uRg@vlZRk0IaPu$aF8gmw;}xH|j@kB? z5wA@{RY(H~t(CSrGuWWmZa{$+L3ZzCPccJ+dF{v;d8l`sM7XL|qKKzzgaF3z5u}iu zc7Z7T{1#VH_RP+F<#u4?7@0ep(bkNnHWEA?s+1ZL|`n|+L48;Ho;IE08Oj$^QH`r`6VB9PL7 zUziOPO==sjXXh~+$MGSLe*BirQ!y6NA-Fpx6XcY|GSZ$jI&KdgQuBG6hXr3Zrn z%RiCs_Xhxm11@lcv;%Ot-PBrF#>zyLBuN~{(dyv1r#^jZ^X4yH{pCWr6a+!FR0ezA zy#*Ux76o^{AwNmfs$30(WYiS^qBxR5@W~kI!1Umz5*j*;2lA`+p%!1F~v%0trY;D+6>krOCiMWRs#{1+s(<^c)e2fmF);J zERRRj>)p@O2~Pe2DBaE*k$+-Ql+u}i#$O=h)!C&`JGtfYNfP&uhFb- z46lRh8e{H@|w< zcCI0kh20yB&O1^{B}t;S_9c*KUj!Q=GvkED1UL#ZHKk4$`1Lw&_}Cc>0NCD7RFWjx z3_v>u0c9YikYF#Skey+=!zQWK?O41XQ*a1?-5j3;0&4fV#(}pIm?TM@7z4!gD6RVa zeh>sg3ZB3+vpSUFdj>=T%=$G11p68*nO4SQA;r>|2}&!iQ((~!K%>F92Lx)nuchk( z$khj)w0iCKfGC&4X}gC=Ytp2nC?ZWlpp-Zm3`{4m|06-{hwuw-h5}iTuJTdTuJ;R7061|{f=gRIt zNcKXBsJGgaLb@ObI6xCZ*hNA<8fcXwVAc{NKsIGNMOV!nxhJmdM1jQ{(_#rE zpxwtYd%3UN%nJpX~a+}quDW}M3>uhjAnT_Q#o-IK(L)+sq zK<253e}qPL7+I5(Gq_fJThqt_{#2+(%HC7t!~Ay6IdA)e(eeLa+1oJF zA#<79XLi1WAYjbVI)%Vt>Tr(6Yu{B6M5m=FPd-elG5E}Y9D2fM%NAi(~EP>PTPe^N+k>$cAK5a z>i7#zd0tT#c1-V>o1aURJBiB}q<%DLcH4aPke7Clvdb|tlY?VgLXLn&r>!{~AxRi8 zQi)n^cSY3G{eIH#?OJK-IFW(XGY*O!Vm_CCG!Te|kauN5L@0(uf!L4w8DG}Ejfgbq zBuSDaiIXIbqV`I2(CZIY`|VaUhzGkHO%){~kS?s^T3X#U?+In-vF*%RWj~)H^~?bf zvEA(~?B3b!uUfRulwPaTYBmc=OoRS%vz_$gB#M{-&8MmX4C1KO?XcWtlC84+%s&+i z1%YC$Q0m*^kh^J*<>7y_!r*-zeuTg8L01{b%z%|jDW$d2YPHo(y1l5=(t|;>-K9he zDK$e%ysa&Ik#pwNqbmAs*=U+aK%$gVagy|!?WjLUqF6=)5y!imEs7Ny0RT4+vO$u| zd9e-7(=;knx8gyXl(zjJC%tAT9z-(kLp;#^ez!Y_R{I!8@;zMR0$cVX@QDpt>u3<2 z_3ASZKH#8jTet4swfn?pJ?GDlI{L1k+=Gb4Lb2KHxC9}zsSrx3B(@!()u7uy?#ahY zZP@tKqn}(13U}RmPqf-cDXjG7JW~XKel)08YAmANX8ZDsFPWQ}UG1%ErO$ZT-!Jdp zy|jBLXNvTrex`PClLbmCt&~zp(ra}ls^c#>`SgMeo^teGe(&ZR2djOQW(Yt=1DFr1 zgVlPu%00OMhApQ&=eg}xtKDiJ_GgE@@btgF`IaAuK$Z$cL|7g49n%1S2pB3&k~m3{ zI8M5ame5cP!lMp3V#DOx4Qtomd*8ian@?^zg6(_eM?dN_0fW{4%l`VsGt)DTMx)p3 zZP~o}_-8!xwtMbG#A2b)?6zIfk`0qt1vvuZZlj$<$yiuC{lrs#`m>+*dcBpE6_mmz zv2t}$lT}b?RZup&&4?!DhlFo!cP!2}IxW(S)Wj-Dlu~h=^qQSkvxSO+qz_Rvh!jex zwZX($0{c+^Ixy}JI_*|bB`eJiXtD}WDWw2tbuj2h10k?jC}zgfi17!wC;bDkePMPr>T69# z_Sgg^Nx$8b@hZeIMFSf2SNjPD(g*8T2mNNJJw!l8CcUqtgY_MYv&~MMfo2{9+`xDc z^*gI8%gd_Qqc~}{+m)~slXBh^0QRFnvy<8&SH`P#fnqfpFf-8D#LR6I)w)FC%mEP) zX>K?(V71k4H5)jHl3u^r?dT|p2N4gzG!-c0rj6!7&OSK*!9D11_W)b1RMKm9px4#y zmX70oZ&f84rL>bWIxBMEBbW|Qw6D%6iqtJZM;N$@lf?8uoWu~RQdoGw$Z~;Ty?(phD(P7D`@PjD z>JLx~vg04q=HZZi!+so1RO{}0qtng+rjS8EEK*O3jZmCKQPf^(Myq`ht**2?g=DbY zXsIX>Qu-ResBy2Q2LD4CE0&zRMN#DZa3C13)O{am$HMIDpwH~kWSqfJ1R=_!4msk< zPx|veebl3W^3(g`DDJe{iCI@>GGWpCpA#@Y#WGdVKtQnHrY-inA;4r_vj$d4oWx0@ zRHEV}S#5Q2&{h5ZaKVI#%yZwH*FIfqjBtb*D_9h1+rE^U1jiodC?kD~t1tZa*iQ+_Qtw<%E zM!VnZw^~gRN8R3_*X^zL`avk|LJm^e9FHUgCYl0?G+N81CNJZkWnn(Bdcb&LaapLu(@4=O~I+ zqrSU7wRWFBIp{GXY5pHA4;P92!OGr-9sOTf-v9oK_w>`lv43{b9!lx@7#amK5P*Q zsMTrP)G9n+^FHq7TI(H)vn;yyfP0#(j{8}P#*Dl6c-vZMRugzoS`NQ+kJ!0s^e<LYQMc5httl zdZm_eUwMlFAa0ifM}U_;X#$`Y?{!GTbE-*_benDHG-bSsq+Wa0+YdPKAOwapl5=1G zvf@}tiE?$_gs6^7TYShEvKDc?T5|_tu!Gd@cKD!DUqEfJlo$fqO%ZpGIqtM)9{(JH zJeLefqAq#Y1xXT7bsRy9+PDxR2!b#OPzbkX-&uW!ac7J=r!07xw$qDqWK#>-4V?SU z_6@~^h+cR0JN7@|Kw+o8ckI}{ZR>Bof8)1Aq1Zt=R;x%UP4+7ypGdQ(MAyu0uEspu_w|1}7u)B1D7q2R%R@+@@?2>vQ1fG5VB?laEpn>R!{_Tcu z-EjTqH3oyRnkIs|!izst ztyWRspg&MbU3}p`c4A#FkBiAQgsA3hEmEy z4?Xlpci(aUZypdrOfSv(6CLcV$4T66v_-ca>ON?7&YRzR@+qge@paABU%UL>=Qamw zV&mpS7E86V6jBC(6as9L1On`{eltT)7rx=V?|tukM8x~C2q8{8?X(O3`5i(CK-jT3 zYjhhTkZHS8s^96!ZYR{Mr0IPh{_J6gAAyK*923#kuf6(;_q}bP!?DSF1VLrI7KWja zRsaY9V7yY}%>nk?u;sCje$2|s3IcBegFz5H@kvj-@-tUSDcilyO1s5I1Z=DlCrOgT zy;fIr+am5p{r+da{M`*3H*$jH($do3KJVB&7^@@~1ASIhf zPG)o(Rcn3eTi^ZFuYMH(q9{T{rPTAE|NJ+<=WT^TK?=#FwtHO$OwE*uR{eHQ_Bvyd zRFb^uEtga(6@w4<`%0PYG_#Bw1jpxpF%td#I=`Jzu;ttL-BT{D0 zMEBd>X!pXJYDLBIc^6$;tyYCVB8uar-|xToUFSwn9Gk4um}#t(QU-EOeX?%05FMcg z_oSJDAse#MvQkRx#_rvfI0^J>u`qVY`#%9zO1D1ro1g#uXE$8`IT9gEtSe273n9() zt-v+4iQ%+gvxvbnK$An+!L4p*rQKkg#As=2eo^*T3R0YX!6p0ee*hw)5Ja@~q2Ijk zJ?8-emFm5qII(sOCtnNc76EvgK99;^s_no*Yy2Cz^ir)UiK4;MPTg-71fKKe_a1P- zfkFsCB&~1w&Nshv-RJs=3d(ggRx8!YLI}=%O93RuW`~xgbD4a_Xnr?iZ6@s!#dz6j zvm-kzW1%?i+0Xmim%MD_#!c1-NZx<>dvCev8{HVN6!x_kt5<>`48tIhLArT|K|fr_ z=gkv&X`n8R(K#Uu)s3yTNhd_&;(xv(2m&bt-}Ali-EiyouaCu8sa_VfaZdb1VQf(r zzd!`ofAc=h&)l&vOGCY5%&XSggR58P=c(V;@!;vl|Ml_DK0!*!0-%(7^SNgLAQdW9 zt(WUnbUdGwlB`QscWVqYOLtS&NI(?`zfcM z#vYFI&@R99Jy&1*g=&3*D&u4Iav%dsg3Ev&f>=D<)M2L12ivhQtBnh+RiffJ?lfB1 zX$5LEiR0Iwd+~nzAApEFo3Ug2_D_7|J$)*SP1FV?%k^qtBp{PS@7JI6Mktkd=X&sj z1=$(%q}yx{cJHc%`Wer9-V0y!lBuaFe#3n~x%c}we)k7Aeq9CSV0@xJSr5Z7keqzV z$&beQk4I#on4Ap6bY+|S3sz|>r9i9JuH9o%U+V-2U;9rN?0>+4h@h3;y7i$Cz3=UX z;uuux3Cj9pT}UaV1QY-mNHpgyZ$36WS z$MNXq=<(g}cvBq5qFzlf9II7>AOInQASi@VQ;J4ma-13Dd-2J9EyecddW2GA+tdz=B5J6yuz?%9*y;Ac{%=F@% zt9`OI&Ko`eK*!r-9TE50usIXJde+LPP++sGJBhZ_0U324W{EZNMy{T*y-cV1)oz%v!J&&DY?QOj{X7)J-p7BB#S zynNmiomJx2x)5deZIMDa56(YW(8txx5^a$Rzk1)`~UU$$2}epuDRx#f4}QT z%xPg#d&mR=IjiFn?7^u-v}19Wks4iQ0#M}7l$hVP%IV^ zao4V0pZU~P-}&~}d&RNZ#CRA6LP$iI$gaU0C0+Ix7fa@UaQOoHN75KDU-tBqf8B>n z%|A0sb9Ul@wAP7IE3Uy`Ev`@f*%Tp!*81+d?tINFPnYBCOY1hW z_&Mh+`zJ$3ju^6TGY5)V)9TV1a2OxYM~8q?AOoxVShsJ9Fkg{EeJk zFROJwTK4^APYc?Ad}Zwd1+v~cQEzq@2hDe0`iW;f>)HG!#{2k{+;$?mX-Fl(lie2$YG!Y2S@oi1eY4eL_)$olZvxkt8u9N-2rph@+1D^p`&y$Y5sYJQ(*8 zIBZs0x3+ByRqy)m-oANiN(kZWG%2NtsQ?z{=db$MmDgPN6*;-KHc=14(7X)oHYfo!uv%{I_Sk`ZXIjZSpUv0F77cb(8}t_g?UP;`+joodFQ+eYHJD;lRSOG z?*vATm5Sr&rKi8>7r*!gvxR2|rIb&3>Qk=xmyZTP(Czh_-IfC*c-EvhJ3DCYzVfOs z9eUW|&Sp6{fa!_jcyV#zwjcf12d}uSx^5z@PX-|`nG_Z|-NQ&tCD8yjoMXlLO^GGo zxhI_ZoD)tu{O}{V(jW**r4oO(F(%!8_g&|_?iJlgmnLemHo^OrAi#LF&XbO$^{LN3 zX=#2@Da8v*xjDsRam)Vue)Gof1X3b0b`Jocv`*r<(`=9R8pT5C!gpN$jAM^OyE}lR zojH&s$t^#)>7onH)a81gL~U}Mr3(QjcpBALIqq|2Sei1uG-n}86(>oz*)FzskybbV z@aNoWVRzAUs(^^1DEhDe`p*mB^qOR>-c2-HfglJFaH3Wpxn)6y{~k#^ZDvrjB-u5+ zSf}=tpZw|(M;wXX<_HdVa5UU=_g$|!<8MTLGMtzcym^6?2)OUYDIX*S06vG!mxqaJ z-6B>7zi75wywl=faUOcjD?jMLHe!ta9b}gLtyqCV})vw*OX;TzM!0T|$8lZG~?~Xfe zKkw`_bfp$USe>l10Jz>7#*BOcAPbyY?14yYUgNTJdZD5^uRr^(CqDONew70R!OrjU zDERibzVU$%URs{4W1g6f&;S4+07*naRJA6BbdHdpWdH!aCp^6{=g*ou?MGTCc0+*P z>}=9m`QS%Cf5@SSvEmYTTM}a{wI-sarKLOXxb4!*-&I?;M%KmyDZ?=2b<=5rr`-bH ziDJ5)ZWcPy&I%Lhh3(Hc?zCs0aMEFi9nSj4DIXUp^!xp#rKNxU%qOq==C$FPwUzN& z7zANh2*bckXLfSinfXG9 zd++`6*=N4Ao5-;Z8|!N(3WY)-897Zofz;);!@&xs`Hx*;-j^jwOn&iT?x7P-e({^m ze~UjW?CcTQJrnM|_s4I1!z(HqHW$YyP)HQQ?O}p|yq|P-Fv1WenZHVDAQd&1Rjc#Q zmwn`@CqBu_QA&W~sWpJ5rKQ_%`_WY&xfE+PQ5%;+27wfI;aeX4vTjEDpGzNbqkuFJ z0jb?vw^xGT;!CbLDLttfh| zcmFtT!haH#Byp^iYCZVNXFmJ%XB_*iKYPL<&O1YkIJ?2Pu(0s=FFmQ31m%qz$Jb00 zi^W2rV1|H~G>Lxm{p!Ir9RMJW#k5Wq=1zR>^Iv(!Yc_A*>^p(JCe&Krar{t?E0M&mHgbMT#%lFO-CZFK-=eM(l!e5)sKG<{y=4K0W2Ru zPJe&p5!iI^Dtj?z3Z7>~M4+|ibR3>dcK9jraZE}Lr4!8Gtmky5@`v1YA>}aBiHN$eLc7%VlU9?~1_RKrg41j`W+SaXG4?FCz`|i8%v5$Rh5CmWR@|SMA@2)Ti zAU(UB%oKKZs?Zo6OR#SXw=c}F6C6izd!;$9Z(z;u1xXQfhT| zm7SQGnVBTlE6rr*?AzaU#S@?S zBnN^pXltEdV2>HB*Qk{G#`Ryn@}nPEbI?Iy7|0+H4vhEgG*~3X%)weWsnu;a+ARRm zTE|J!-o8EVHa_v0Yd23#F;?p!W3-^HtDPx~qUZzfyYvUQ-C9|{-o$L2`&_L$)Yj%0 znm_6MHqrFrtef0ciE3`&)^G0m&iC)ER;y!UW1PRhdA{s6aiyzN`!kqo&|>MC!ORToNbk#hsG@UGn~qA9>^xZEu>cSLyD4=)gg~{;rGP z`rTXpP1NcDD5VhApC08RkEVbKc*nNg(+icP@gH~mx=<+i3Dn+XJGk1J12^CF{V0mw zb@@AFZNi_r-*@8_X*&5?C(LZ0<}u5mY#|J_rVX1ne)pCerIgc4^Hxxa5!;hbJnf8E zzm@@07peNSr!fvuk|c9;v!|Z$pjbq;PVBsF}o1 zM(!%5a-78HpLx!$x86D!42s2KlEgs}D5Z})^2n<`|0yX0&bQ^asU*>w`rCi~^k<%S z{+lmi4d5bV0C16#6G;Ah&DCH1;D;^?*Q~8gjE99VkP;F1*)YYcEMI)e3m$mjfh0*v zr6L=?AP5eA^ueFM_A64#POsbOwh&P(6~%F9rA51DF1zA0PkiE&TzaX~!!B@jwG&Yk zMeo1-z5jOOcWV3Z%ZcZK4A#^qYE^e^`tTpJa}@wUI~M0ilTt|($4lGhHdn&yzH>{t zTy_%3ny^qP_`x$+J2gK)f68hQtIZLzW=s2zm96- zVV$8{F&enc`4n~p^4b(2UYMLDv)fb>|M0E{r8f_kiLbIyLmbC9-*n^IXTLV8R5xtg z5LmJ~@gIJNVsxNVN^8=j7G^*6(f@tqkx%rSpW}c(2DR4LT>aH|U;HMT+*qBc2SFf( z1oW{X(^AgvcdaK6IVGFuy>o89HS@qX{_XZnn>O#M_8b9ov$I!y{L1UD`JA5ESQ)Q{ zg^;1ck*IwJ%XO$J09)ZCNtS2lD@p76@7}(7^Au(gTVEGB7eZ@2H#>Xs@lVpFN_C$D zc*kWoZ<@i%^KAfU7tFd4t&>D`xBlwHlV5beBZ;LJtYfVg4GXq-*wk{=bzOL z#QKdJLWA64Y6lVb+c@R;ykl|BpRVJ7G*J>KgJ{rcwBq?~Qs6B=x*x2$K}1$ZXn{)~ zdeB|9StvgfA(6?G zNR*1>xV!b&gI?!`o9`)=O03c|p@i4(JcFy0n%=SF6)!odux?{mA4e30LRbhx6v8gw z&-om_7+Sp2PTi^`p~ZzKKkb=sJnt=G82awFo!BMkeeu^Qif+5@N9SK~*7%0Ch4D$} z31^i@Zc&rvzcKJ+G_Y0@bbog410VkMmMvR~#iC!kbH5qH=g&&1-Me>x=iA@>#J_wj zoSYb6J6SB2f*?SV4ge27_hb&hiw4X>pD2o2yBqQRL!bQY^_wT4m5Sqdb;tHnA^h~`uY2^PAMLyj zUj;dlU;`A#@xsFVD_(qRGuDOL1Qr9HznQF$H#;pGUE10a_gTMr2sT9kn(z`)A)8g2 zqL7eD_+;GM{s5WSmdNSX%p;_=r#&2Dl*uZ6xP&B@DQ00VhbbjkX9@O3P`dQfKUS1r z+x)cGcKqs9H^LvT>@9%hBgpCRsXPLk?nPxU#7wyirHvA=$|s9dI@pv$h9C&oGWpNA z;(PEyh`)QosctS45#5R#ZC2a^Ag=YXQPL)@2F;eECam%>&`!6@o1z+OiCpAkmmL9& zNGavZ{^|nM?TT{?&6ZUa2}ii88RM3wU71!VJ4#agr?l@@K~$bK;q= zKdVqE)a!Kz+Bye?iShk)A;jwHDgZ1kExqjJFI;obL17s39_=n@0xv8BfC;5QLm^ zHE9-#ri(+-3W@Cxsk( zuKe8G+=(YXT}-U0jMvOEJ-_Z;{my-Lpsm(gsd#SNTi*Jhg%b>$5}ov{6AnJ`Q8(Xw zvm0`SLg6V-eaZ)}`Y=aU(~EPOG-=)M^yJFi*T4N=o2RB6W(ujgFyXPgsBP+Zuh)y? z`0`8t`KBM;Qd+Zy*DCTZD@v*7pLptyZQD87Rw)etQp)`f*#GM9TqmXM_Iiy@lM&d( zZL_7p^7n52iIj3|Y|Kxs0y|UUGl79i)&RS9?fkpHc}{WNrf_^sSO}$*`)%C9=IkY> z|IIJ&zdwqiAP9&k2!ge1*WU2&H%gmmX~Z~AqM3)D{InC^c;1_Z5S5Bajdxw|GX%WU z8(z-2bLY}aU-|;7)@qaWVzCs4!DM~HJK-H{=;+Pd00GgCg*jf*kR)+5vsEj&;ilV* z#p2l5n5&Ux-iJ;7!YsPy?z_%-^-F5|?TVjj30RUBp-$H}hg z`3*(7^|qfoo0~!oS2laT&A_Ji9|sw9xBmL% z(_Vbh+urG?_vWh3G2e0E+H1ac$-B-k?ROCP$Mp>LIQVwSQ_Y-czq;+gkA3pXhaYjI zBS72z4ReZ@U6H|H;C4U!@D=aB^^X69@|ch^3`3jp=2eeb!{cxxZ-XE`KmXBBeqsIk z^$eMfOmHI)HU$7FWfVnm9Dncwm;c9|KMd-%FbsL^3s~G}zl~cQ{nLErn5P7YNGTmf zgU0Tacy8)@<4=6yS?9b7?6Mx`1d;`9eHWCc)}kniqUg>$ z?|9RB|5RMNzBV~tEEU5rl){~w2)W`UkZadwBiUr-f%`9e|5cBD+~Zw>|F9zj7B+Qg z@?bD%wOZf!#&uVI_DVSsE(|8S=4#kjG8U2Zxz*7qBM=3-cfOM?+=Gwuh;E%XJ)3)dHvrP)~+k9Syu=&eN%X(UDI_Z6HUyC?c|AV zPi)(mcw*bOlMW|NCbn%%Yc-c_~NTD1xx$0>U{-y2~z2ix9< z*i9rwA*r}&p8IrXr2D9;7If6G^ZR!bo1I^2ZmUxfm>f0gvAG2dUoqagdUln2U5GB|N21jeE6n>2g(!k zpr4}XJnBrkv}O#E0c6@@NN07R1Ep#1SjzQoE|AIK1HlnR!pI5{4dNNqczBed-8 zyjJC@FK051Ws9FCaTWR9wbo~9(|xiW-_tmkLC2dI$&YF3Y?%u zG>S=3qtmB_UKp4iSYw1gv-3YLB7W;a54Dv1=$>f<7VFrYHD_ zJM(&KDWqSvjH+rQyM&tf@nyXr8NiG#s#Wm;$7b(VF$dt0h=KXx) z?nB`&pen#@@FyiI+01o4xHR{D`JBEZFgaM#cZZd&wXsH{lF5JZOwjXX9kviBgmGqQ zG5zcq7I@YxbtDMqQJNNs(T0a7Hs+&vKwBbI^ zd_p_+>*BrN&FpT4zCPYCLyY1=D$x5rPy`*p{00}kJYeU+M$vCvmGSKumb(y4qEE2? zb$;`y>v$gMAgWkA5 zM@L6*kAxpCXhh)hH?ZqSwiDy5|5l+y4Rhpa`(+=Ld%Y2__rOyFE)?vGynD8-|1!gJ z2}vheH$4`ncb*yw-WzDsSmBZs3jc=*FMPcZ{5n`ECHiN@?!jo*^YQrhQhVjlsJqdg z>h`Y+K6m{wUC|dT_vAN}IRVJXxZD37NnYOzudVtP&v*IEkQlP)!7hVI^fJ#2=S@BV)?B0)zD#ugUf$L zz&-4cM~bUt{@sLLrr z&C5L&JsOrQWuw)1bA;!pM12gBEfN#gHwVGb^4jiW2g9uF<}r1oOKs(X)V6dT+!!m5X&kQtJ`O&KA4yzzet`u9aXL{j>Lpj8hK z|1BH4$I0R>j*yS2m^tM9pjZesD>tn&tNQN}ufkr5oioSc`+;S5KOIfFo&2gNB(ai( zlDBP@&wGQy^Dwp$Z4jUywx~@|i>q$QV^DaI&m96HaUT5S^knmLo@d{L!HtZ4dJm_N zucRA%A1*DK4!HY+WrV={16y#eR5&E*a9&iU99w4kUrWd}va&J(m)}P(sQZ3O@?#7L z2GO#M`Uv;?_pOGfBj0ECCJV)i{OMzCwU z10(bb&jy%@>#f_AXmY$>qmNo=TztQKb98FqFOFD<+v~QVZ+TKddu&`kKO!R^@XiH6*FB| z-5*_pN~{-gOeO4pIqD&d$;DDi^@U0F@o6csw=r|BL#c~E{tPzOyb z8H9*-j;|-%-DNlM^7TWx^lX6#w3R6>F1E*h=b7W3c6s{0<)EqQZTL3tK+J!8tjgr` zHdF;Lf57a#4WGmdb0migI?2r3j}tnaX8O`?I&=EwDRm+T$b(df8C?iaI_iWA{uUbe z4)~WTyhfU&X{crLBD>xgSyBMy`+buCLwaUX?QV<>+Ha%<`rDMCOp*jD^j`%x<86sG zCpt_Bz`GB5WPG}yJ2(m``C@| z6*5ho z>xo&lWK*Iefxmk9lbY(Crx+6@@t{iUJWY2cBmfrmISBFTwb>)t zp-Mr=&AxDgip?_>`ct32KXj7irhdF2ZoS+lw}G$+2Y!%iD70#5V4$90_zpQWocqEJ zHxV1sfc=X0+X@Hq%Vd9OG!7FE5s|`QmJ{ccwepJ`Q{hz|aJosmV-)nZ>g!Kw!@cWU zSHK`QEfOR5kut{#yW;;Md}qCqCB(r&jm`lLh{mTN10b{AcnOatM+9F{p59NlcFRNg zcN0AfylAlZ9j5*~*ixsqVDaJmeT8OUAqN@R+9Cp049rp_`8E^Wd3KH9`e8FU2tToB z0*2^sgA4GKTJ8if52|r4O2UdFgy5|xL6JHj37KS3Ei**p5GY^=d(Y3^h_ns{J*+@{I*Ho zQ(V4ZXs@Vc9OZPJc4_*2@VP@_+{LgY8lv!!@mlqKd))9vfqL$*{_2CxsMEbal|RcV zvM6!uzcX|hGxw(sNKEsLMUgQxzL({jZu9Cw7W5dE6!dwBzv|(_0q(?}qCvzx>eao? zOGHQRyghAVK+RQ%m1t~g&}!pPS8wK2&TPHL0+}JskL})J1GM zZr#Rr^N)e|?ap8SbPfEyjGwwzNeR*1by>f4QL)|htK+zJY%Z;-f8CceF&K1a_-;Hq zduFCy_pJZ{G%k^a+aa$?(En^`daE>lR5MUa(BfO#ly z=wbRDk9EWwPi4sQ^jHf+1>sSRsN+qpkI*w)m29l)JDaZ}vv(mhBwB=??}^T41CE1- z4jnH`n0ho&vSz0 zG3~u!NdDf#hBN+ad7*uxg6Z*(0)HdJXv6~X+D5@g;ewOs>w>}XEAiNX^IN^EcvIAW z54(0;??>Nyy1#shyIFCvBRIElf&)4j^r@IM&zjn-X<6CFB;wMHwfyf3bT6M-5QKM< zFRikuQ#Sl(Ph2u2mey+hFPfP)Zrezlx_hYw@52y!N?k^mo@8^`+pY9Tw=LH`WBO+M&D*1vyteBT?~<9( z`46Vm=<0?|g_hS2*q+-5@8>^NTffdUnT)MhceJH(!fX*Ye3!X|US_xy1U`Q82$sm> zWUSB;xm(78J>Fd^dc3bETE3L1H~`Gd%t|zvA+jo7)s+)GRRk_xM-{FL+98vzcIa~>zIp0&DSB^3P14Ef&;n93( zsUSqaXemcKliw!f@Ku7*s?>Ooro^uNcYvlK=oowosQIwqecBWw#TBtcKMNX2-TqGt za8-Qak!=xMtba1YLdl|PG@0`3Az`p}$3_gQVhMZVu@h39^KH=Od{M@!m->KjVyjWB zRy*UUjvkgArZPpujB0XfQvL^wkBw1?lRs9Jd5}5H9dtxr<`J8snzl$P)_hGiu~cGC|88 z-6XwsB_0H9%AcFW?FqsrPQj^> zx)@$O&w&99amVOiVeJT>_20DHomy-!e4!x}|D+4WI^R{*6MDP-XW)5qcy$TVFBv3J zDDJ!-^$t)_R5bM82W_Mwc{wLkfhvgwG4dGgbG_%rO|cnt5GznjZWFYx<(Ve2S;ZBC zNVM8rPF@_mbW62drd0}y5rJ?k%Y5fa)#;RToDSn)WRSqwckfqnZQm@4k_w)1tPvpx;A{GSZAmt!XTtHkd}lM+>;9zA z`5v@0@7}%s!;(iyt4^ba!&>k2TRgwVS#Ha=6X+s1I2a8AX4w{;rJwpv)7-n>#*f*t zn7(*Hn7Yhtz9w9b;yy!_2J5-m=9J8u4C-g<;_QLiO@32~eh&?AmtQZlJNf4yIhAQS z4Y5ua89iQecH5nbR32Wg;+oBtNh@e_r#9_^cJV3KdqZ5GcT<}xbHJ=Ux~7V(LTN#z za!qqgs~W?verzH(4i0Dly01h^rv}HZ>hWAW!02XgXxZ>rF2QJ({d>kE>EGt=5rRe<6zY#iN2h1{ZY2;L2Xp0^HXSQ-+yZk zVTl=npLFBzX}FND9FQl?+WnW;?T!Q|M1aWMhqpg=3Kz$C6LaJAoLjaqVG3C}BdLWuvRZ z>}0-D=sJF?%`T?srQG|SI*9mPXu9-%@HE@u3*x1KK#fUi>>Dj5$sk;FP{fSS(q}FI zgL>{fffx_EB(rpP1qqLh-NTI}ZXNnB%WP(IKeHEdp%T^3=VMcp)hkq8TJ0_2pSA-- zl!|e2(GqZe+9e-v+nb-&z(PqFv_#iLxL`eQ;(b;)NW%S8RY9Qte)f#D{gzTLy?eWU zWmfHRmmUNF4t(1t!v`nC5(fNfUJxX0KTeTs3W_T07+2G2Mqb|MNW6bds|9#;`H5S{ z^s9raV>v+CZ*`rcVrK0Nu-j9$@{5W(i`g?-hyVvitJ7obfy=L;$=s$C->t(~Cj<@? zYYrO2ad56wL7rhj;+SJXt>`Qi$_|kmbTnh=xIgmM)@?3Z`tKxcGb3Q3Q7N*np++~$ zdw(^3jGS-#CsBIRouG1Z;pw$S&i5Go@}iNq=$K`GS=^iJ0%Ob>{il<-0@50Z~uP*pYIuRRaolHAM_v!eQ!+? zZ5gIqd2?I3A7gD#Zyt9=3z%71`ztr_jAV?t&XI?&n3uqG-Sf0}ZFjjYV_g9vfK1bt zg_#x?|=95J~=rV9namY{~s@C6ddT(s+X-}y%<0O?p3w4&S)e9eEt%#{PBO6t-(GT^ zA}u?IthLjs%-kS_hpZ#1qo;LrnR4CxBzS;0BV+xP^Yjhh0^h|4gHZ$r7wGih5dg#s z!&qotQ|MAqaWuAE)YR0fMC|M7?+d=Wkt|2xD+TBFf60b?bJ?=!JmQC~8r8qYI%NCj=Yp@Q?Hm`1r`*Sw65E7j7mQTDS|FcilXhdRO9)fyT#Z{z$Vg3s7jFF zVBWZw7-ToobH5wSy}xZkAj5aao}FvA(PoH7F+9Y*_r$ z@_G#h;9&!aF*z6?7pE{Y+4@rc}R!Xirf-bN;A*c-1*2GoC^VRQu4DW>DxOo3a zg$58eyTMV4ls_JdPVYP_snuBVB^#F5cxvsr3zQwQ1EYbR*FazXl87p=6vmm0Y1UpK z$f>PirfcW#2l97%O8h?q=YuI8oZ>5%MKW8zwRqE6hF=2s1rBEDAy}}Erm{y2+AVXP zMV#HlnzWEPoa7V6B^BcocwSOfL{ubct$Z`=#`A(rQK4G=@AAH{|5CGNxrvl^zEZPU zP}k=3;=604PJhh+12e}@>ha-pl2bX|DGx*P$-nZlo|By-KU7Of(W~w-3lG0M#xI^z z^-oX~6a@m~mM**n$@ds$P{TG!M$wc}4+eKJ0sViY$45%HpD|NIo(By5sMiYOBO(rU z7V~$z9uX7eV&V>igf~899_UEoP~y>1CA$fT3B?B6tTT59Rl2NWaES>qMt_@_Awono z!M_@p>s8|P&g~|y6bRiKM`EMC5Qz{#phD#EN7^FK{E!mPiID1K%L-tM=_7tWko14} z%2N~vk1AAr+V=nQ_J3&rJ29FI0^>P-#nG-e~1$F&VQ$dsMtFbVNb*FUzMcx;+ zy7!nhT+qTFBRSsIf^9;kWcIGnw)KU1JJhx=HN2+Cx!)>?!T~DPK><5u%H<+0uCpSr z(KjQ#fxSUFLVTD3Tq+WXjr8ILN;~crX$%N0c0uK#w`~s4J*^0d@yJI!p$OPy zWY|-4PpeP|wzwHa4y-oSTa1I}r!KsrFv%{Xq~W(-)Z=mnp;EA9qN2i*(_zi^UJqx6 z{-lZuW_^NLAW_^MrW2t$xN49Of!o!~Ird$X|fJY3{aXI=>G{VM8{Xloap0{Npcl zHGgMhk|!7uNE632A&?dUN6XdndE4WSlHIAt>+St34?+FdcSFu1xw|tmg7{-FeY*R& zDiB)rCXUo0f8_pe^|_UCjqjdx>Gl0&xs>s6N7J7QV%NuF$>yWpXk^2e=373&*BAdI zf{H0#G%&8YON{|UfV8n|Si)gCK=FQbHt{{&HWoZSwK+o2EO9BYwpeAQvE#tF)0RvH z`MnYiDbhhppZIjddgGG5O~wCVjavAO8&6>eGoAM}{i zzIl0kY*qRX;_3qomR-G0M;jXbC}e>_Vzt(=;b{CBb&4bEes^@o)Skq`m-&Miq(z|x z-L!6(_tVJ*(?C@^GQ>HKG}}kefB0T z^MY^zKCGX!6#Ck#C8LCDjJt&$X-tNG{WnZ+r%zWzqad_8m0J0jxVt!vx!_bU2Ik>J z^8U`>|Jc~PdO{nk^dgYi$4E%~!+XP1nTO>RXN*d}Q*=;yf9r!1MqaC4x}omTc?Kr2 z$OqS$j>{~lk?w)p|NN%jn1(!)hly)*aB@0X03mTXHVaIx&vdw9D%UJe+??NnNQ|~w z1o&CDtD1&kDQlO{zHWycy7y8`r-`#i+j72}s3K9Aic{35q2}vuJk}b%QUN7?`RI`Z z+A3A&%F4Zfo1Q@Xq-0mf7Mgs`H1_Wc{_htyUtTUwIRwVYHnF_G0Qh0&3`G_R1o6#P zq*|{j+!%g%;b8_*aa-s}hJze@TwLQ=>hn{#4p!>}r#3L1FtL4?NMGx#_}2&%EQfloMU?Ixms^d+~sHZXO2r8 zifj?yPZf#p*U?=Sl*)7dFnt@=Aa}}=rFT+lv9rC8Pv@#Fqha@6Yms8>U8#@`YgdZT zvPD58;(yfw5ix-!vgvrlN~t4+5c?}M=9n3h0!LUa+z7+q4c)PsHi{EY_;~)9CV%MG z>f-f_5e_w==)=TpU*ew>XC%ZBw-JPR{$8$(} z&i#p^-g;w3wu-?!Kh$rO>%gHL=S`;*29N>OZ=;NFkOZI zGG{!OI>M`)hGKXDj0G3>3O7zd7#Ouik(7{}Dkk{gzp9A;%l6nrUet?8Xq2y%W$8AU zJPar5{!;X&!xSD@dM1`H|9(_+FDcVu1U7r~iZcGg_Xh^8?ds6!g&8qYk1-vDHjRT3 zX3UsQE&~;}VH{Qk5i3;Is8W~;Qv2pN&Y%IJQHJT8;9vwY;wWzZz*EIVgFl|0Wno_+yazW-WIg{#1us+Ea2JDSW z>@j4J`E6fZU!GqMpUIZ)PXf=fridfnkbSnR=VF7H)_5&(G5CIE`^_{zgziFhSE+=r z*!!5qiD;DmQE>k7TvuyZ$MQ}Df*ZW7*dvZFu3F#gZMFv*Uucte=aGy`z$QOGa-3@# zeoa*y_DBwU3?p&)4IT&FoK1=kj>4g|;MHQ921RUnJo0^g)U1;MiME$M6%Rl=RSgAp z(=~~qE(WBq08T~o&;Ja7|CJH+#K=+?f+3HM1RULn*7g2O?d7yn)B28@%|=qP-mqo^ z-p>$>)jne@D=Bz%a>WgO=e2VM&L0#=8(8!j8TJ#*lYygF;St%6{WN2;J2kgIEsoP9 zi-F~3!f+X$Au_LpYUP@-A|Pg4cE+~%Z$a3>Svg|2%YdHT)+{a>{~7*4;WN=s zIqaMqd8U0qiW$bSVdxmX{!l2rOWIfboEzxjw2BcL*OcS|^mqhE)F|_QlrSZq;u9|_ zIO;B;ykG3>tM>{d$E)3qFOqfG*> z%_N987hi$i0>p+z5H3@(eSLl4D#Url?~VHNqu~YG^BPwA?G%FqHSx};xujY)?LQ8` z)D%tg71l&&kP_k66x8wXIOs0OG|bp4M!U|Wg~XP<@}aL!1hWVeqF1*WDnwiy4ySc` zzT{uf;P2nI2sartag7HoHfj@^f!hm+f4QkHJt7__8#L;)DuL*lH65U5Ix z%`$_&O14B{Kr@C+ATlB%vrC!0$i7%#vkrsX?3r5!SP(lqkhht;o&?O9Y3BL1Jp4=! zn*a{hKPoAO5o35hQ#2#)x~0--jAN-lPCT41&!yb@GPC|fF-~n8+j%$b9pDLFpdZe& zbhT^mK7G-U1qc%*EqPD&$`3nzGRl!bAYM>dcsOo1R8#NR`oJMo%Nn(i_ZhvRt5>U) zvfx$X`sh1aM=SvVvi;{rv8uwdBpNIAs_2qPRL3TxY!*9)NA5rS76}r*T!jLq=L5^0 z*VhI^OFOAJZwN2K9Tr=Q5SHN`FxZJ6#>QUtT#Fh`_l4y;LVfil&!0cdKs8`9V8^h9BI39+i7qUe*r6G~#j#Ne?wDNL@v zIR50}Qu;*E(Zr=wtunvotBct8Oc6QzQpVry*D}?Ikp2G>QIb_SJ%yhOOPgLEDAsRy zVlKB`fErY+#)NzsaC2OJsLfom?FMR%%+m0C@7qX$~Y>)T{+bH0o_9 z(|Nw3jh)hvxJ^(>Dm+SAi$*npLt6EEv-#OLxnfe9L{9}U2}1j7QB~$e+-k|&@zLw` z-+D=#l{W=t(!OVX!%|q2tIf94B_GBC8q0rVR}*@no64>Qw&TIPR=QL#4m@Z4nenL= zSZQ3pV4^rfLsL0yYC2zk-s^robjntY(PH8CNFuxq8g_w#xeEN$;YYL*q(5YvlxN;D z+KJaCWM;jU(z^Tn{Ka=7cpLB)cwZJ$c22%qH^(Ie($zCzB-$(r@S&@tR(uZ_JsfW+ z&G7;crLQls1u`=*gU@@NbN1Vr*ga5Ww)}r^B2=w(Dp~(hJY9LWy|1{>ZnTDs_VF(7 zen)8yquZL#r&vXPO0iw3OW|O<0074n+(+_bwV_M{g@X2k=W28`i_1V+YLa}5YQKZIQZw%#p6{Aq*`6=uYeh}1h2XZ&!*<>(BNpu= zZ~U?nSyseoVx>A`=K&oOuJc?u4q*h%tB?f1({d%F0|0QGas}FBgScx9%XK2X>^BnT zwpNxlHiUfMoqtN4r^>~^!}SuNk~Kt0(f>SJ|4rSUbIOtTl5(b=68hhlkbP>-S?AXP zPzFJYbtYH3oFIs#^;Te6sgs;F8AU=Szr*ehd}P;Nf>c5+zV86(b58G2RdwKce$xS%$(;%AdHXjLvlXlbf&cUAz!RQ3D|^ZrjX@1 z5P2ONt1(I32)xmWK^6ke_b6p!WLD?jHq+--lT2fHF7DHnJ#CnB3&SA+{s~fzoeAX; zWspTbGGYy8yk$>nD_bV=~)m(9c8a{v%?c6;3rOP z*CkuvMZoe#rbfgo0FZn4_#_2=7}P0CzONw{V4H8jF3CO2Tti_n@vV%w41BicEPHYd*m~nhRXrnq5Fe1 zyDg`JA!$6(%fDjuMU~|r5alSi;=1)_CoD{Y1v}ZjGGUu!*`^7b7~= z^&b3WtIR?=dI$J{$MhIT(onY2`n2nk@^|HqjfggURTG0M%_J2bU9y7Fd^IT87WrN zm`*f`%zd5z*ep*F#%|L3ReEGQt#f9LbrMz&M~4Q}%ANL=n3xEDG7nQ~8EzpA@xrza zY!Q@Z(c;>nkN-!s3Z4+$@f5?Nw97;6LBCg>S>^vnTsb3c;&7V#E>^4tUx%<90Fkdz zI})Wt8^-DYdmrC8K06?)lIqc8cT=f^@^_g!1BsFv41T!S&XlbUNt50%JWYxB8 zdo-mK;c)o`w&$Jm9i_Mwb^K0EBIi@FL?7&M&m!!Yi%*@G(M+}gdBgGeaKpPu?lF(vWaDtD%>o$tztEwiK1d4Z-0s+bZ*-Ph7?fk2!ISW2eX40( z9J38(W9VOQF-L>Y9dPU+drQtU{@G^J$a?upzm%a7Sp^x1OksDXLNP4{pGr$D_mEz! zt^28mlYo6t&0`=9n`ExTKI523#lIp8$RZ{p;}bi5hr7FIwhKdV$po9A91dO#L>2`)wr$e$&cRaRqg5dGrCOi;WZjDpRhG90E?6S;C>#Kkx_g z#nDfD*|4aPR3LRY%>ADh;LiqMnYN9d$C&p+jx*olc{xTsyR%NGhctIfWY@ag~{YySS(8xjFVn!&_mi+s5T1P5w;Vz1m^;}%PjlUyd6hw6>Cy$XE2>hXEy zirW((+NNHevmO}KD+=}DjPx8g80@`?!4=_1zaS`-m#ew_dl^xp=QwgOv)%vKL95qR z@FVZ?Al!+tKnSzmkmq53Xda8H{T(kLBRsFbwHN(g>G8VDvb$_01w6fpQ`w8t9%TJ`d{$uP~GbD<~Je%Sjf$8 zCo|y29Pqg70?<1={|QUbYWI;vlT+bDCk2BHIe7@qzFTM_(la7$vng-^m4r7Q2q_ew zW@GausjGH=SVGD+Q!t|ZJH5fo|Ds*n_8jQB>zD8|*cA>ym4?nRVGPDSl8oeZ{63Mh z7k`5I5V(rr1Yn>A6&mfK3Ad#KCT9FT-S+#fyrJKa5?VsBEU-CGweiE)=JFekn7IOp z;2)^KW1>ta-)g#l&8~RZQzXHeM4~3zIBe!i5*NMGlvrtZE)byNGE3X)i{A)n`c~=E z>fn#>g=V?F*h@G1#QQ$9GKmb}@L(}#-FRvD&XO6w|71yq+KAWjl&egucM|p2TiPYNb857#ji)k?z(@~oW_c~h>5>rM*9Lh-fsKXp?J&z1I%!Hd%;X$yZ?)z<6#@*NGc2;Ey7>NTP_tW-sF}Ei~>|*yEJxA352E!7b$Q+c`DKl@W13p~PjEl30oZ zSQFiy7GO`ttl&z|Fr1V3dEey<)`vMNg!F|OuWU5C0(~F%Q5yJ*b7e)t7<03ktbLt0 zlen3}4{}^L|E{mqzkoeT2n6oS5#$%ks0G@^ec8qtK0$i*A{qDvO$%iohhN^^Dr+b6 zJklrg>J31%EBs)Y&0lj79i$v*r8#cxE3Y3>Qonx+L+N`70JPq#~^Wu@;X2%{qw`E*_cs**L#)1k%c>T|M8xglfL#iAb?0Op>Aluhszbp zQOkG5M+A!nZ`xDayMRV~Z#&(lc}FsGnK>6%y&s#@PM;Q8M)H)l+neyi3Yyl(B^+E6 zob7enMi*PA4P0J0k`zx1Ezz=Y70Vdw;79)Gb!mqk`LuFr)g-Bd_F!25HzWK zBQq7r5IiU+SG!s7NiR2M8+&FBT>=faCmond4cumkaT0|5(*V{yuOoHPLO4!`q9K9B z!SMo*DH_RLFIk|q!0G2+5k&m&uvLu>^N2+}dz`?LCY&=ZY;YyBe5e zrkxvsBZzU-aKX;egv!gI(VVy5?J)yeSaE7L*)n}FNY~QzlsRrsC zkF2&L%KG3!_JuMirN|Vkf4*5bmhie?VPUcw^W8KAUydWKtan9e9O|ZjdL@L$`3wm_ zEL5ERaToNcc>uu|r({cs?vS@9Z9ny1Ir7t!>C~J3a@D1^XmoJj#+-!4BftYO^Y#A( z7!k?nB7F4)C+#`QTch`-NC~PUU{Bet;ek;FF=alDwSJwgEY1XC0I^AstXV`_c~a~h z*%vGK=?ceuM1|jd)^c|Z51);|S18OwlJmh2rrg9O&>!q(o4jHe86{4YnM6o5u&kt2 zuYrm!$Rp_V-{>`5_DdC3@@f1l+`bx!mlLU zha9RWQV%CHJtw!ZYBm4<`-LDnchXY-g+}`36JmOSCTMI`8|m`W2q>cnGI}K#kJyse z#;!v`@y2PqU}513xmtJ#z)=OIFabW%?kJBqJ1={EwH{moCxp*&967#uC}1$=B5ZeQb(O2@(8MDGrcBzRhaO*D)KmDHG`o2r#J|l^oAsGY}Vl@iE|2 zO1R~7q%(-Gp~9F&>ac|;AwlKgL9P!!xNrMDmc3fK{zCVX6)ztcqKts9%!N47po=1K z&aRs-M*md(9U@z*O6@f@HXoptv-!? zpvhEOX1EuDfz?(9Id^9D4gzP^y=JwnQHQ}4v4`nH0ihs80bWoR?T>lz$@c+fW~#@F zxvj7WVc}_QOZg&h|4&GsY7TYDDW7sv>)*1@(kr6koAz8n%mj-%o*zj>pGwCH&Yh>bP&*N<&|A@jZid;t@OvVE%`3oDi>uQnAEOmyyEdU zkuqZxN(ZX;5q>e zhcH`sF9Cqb6+CH|)6hHtdsf1_EWo8J)AObyn!zJA%@YojOMY8Q^-~HW(fM-E-B&6l z;L;^0^<9qEa;@f$@9U8Ha!Gq15zpm7+>RGi1+4mwpkvdta<-?!Q_B4>8OA;cOcDoP zge*Z8vScJcI02*%^g5*6ol$G1zDvuCKF}4UzcvB|Z~i_XuzG|BfI|U4I20Th2f6-Nu8iYDqI})1xlk1oS!h{IK0)3M zex^}UE2^)WK5}G}OOp3}-xaXmW9Jz=sO1>zOBTufSRJ?GJ_L!{Dd8p(reRZXkKy zThPq*bLNx27c?3Oo=eYrQ?jPh!pY?w3_R|{`G1V}mxor3IJ7>t|7%OOHi3^z&w2BWu`3AVz~y`VTBJcV*691} ztGVrQAvxncpna@(cRgUsUld`-L>Tf%vtiUsLePEMxTDEr$lhyq9L(2cQb@Yr{|(wA zpv%OLmQZQ~YbCZq>)q~PSeyq;etB8onrU27jwul27F%^6yLFuV7yNRbRv#~kP*7C2 z7Co%WU2k>uviO-TpR)qkYgJRJ;ryM!AE-8H_0O!zut(vpSfv&n4WfFraSLN7s=-;3 z*qMONlF<_woq%;N?rd4{O&THC-+r||l;4C3jqVdRN%ZX5^4?!z^QcfF8oidDo_~?? zR&={CN&c_bVuf1WDwCu<_0WnM)jF+BI0c%g3yD4b;2=s49`T$v(A%QPHz`Da6<9^M zm#;v}00Dqfm||8<%Bd()5V*1&b7qwLMWYz@E~MO)*EF%U+!X){?{u3FW`n1=8K53c zK}8L8*61vAnO*{B*|Fkl9_oyo^@^{*QYj^B>?Qf~UHcDQ&nEZ_i~+plk*C;$^2;Yi0tV05nT<{qVWrMDZ%~ zp}w>2xiWA7CA$TvR>mc|c>+k=ry9|pw=K0~_y0fwS4^(8wWn0q1SEz&a<(d4Uh`>Q z{vezLlKc_A4r^}(x*j4KX z4c1yRqJF!r4s26V4t~U3qxpoU9P*Jl;=FeV$-JD@v;a6VY73AzCvd_*eTq4RjZvAq zMSrV?aB2gGQT%l*6sFafN*C5dB>%?-pan;@79Vd^2|qd>MM%VwW-n?D zsRJ)aqgsd3BYDZ`_~S!WzGzH#s6Fw>XyP=KNk^MW6AaCLegcA@xit)a`n=zal(|UhOK=+x-i${ts%En6?ov!_|oQ0c;Rx_5co3x#d77~&5V9}+9#f7jb51=6fPbhDm z#af1tQ#~wn=Ue;-6{bWNk=B!-6)q zs#J?a4TEWTP!U@h;w?%<+`IVi$9&{MA=Bgk19w4)zS5I9u&e`O=KDoN7K1n#U9S{R zreeDa65TkmYEpae(-|Z;(C>J-*-qMlJwQEdUdWcNBmMOzj2`{{!#gBqSK5{SkhBXn zee%=)PRum<0ip~Lyb9->^|L;kwxrVE#+l4+*(4@(O1s5#VgvwKvw6LKIyO9V{L#m< zs{QdpzbI8JdKN)M^XAQ)H#Px87o2q-04!LrfB~-?uDK2XrWPz185(}o%U`KxQIRqJ z?1K(H?YNV93MA7_fkUuFaTYR z$x7n7XHOgGX%D5!<>Z|E*H8b`4or$aEbV;fPZ>tsuu12WEhtN8Pl82U7_k#{MtY`v=xnH~uRCL^Z>H{~ z3hjA6p#Ty~EBby~w6f*OO)ZW3)2x*8)8UbcZ1LLoMnLC6`8<^mc<8WS1*HSZ3E(;z ze`KvNLup1~PCV#fvV-#x#+-llzz02HM(=@Ql1E>eIEt(PqiB(+)c_znZFMbV{YIlC zl5@`_{E2xLVaEsn#cFBIruF+@o7oHD9VVd!*ii=Pw2a2QjK8 zMg$}|zz-gIn$1SGi|JmVMLH{oY`{3@^lQZaZYWDvTt3AfTk6s5rcKfW zLV)-!$~cu`h-L!&!|JE3MrLM~4F!hCfizQ+004koj$KnzjmXfm_Oj15G?%E?o@H}J z?7tayB8TS)K^I$q>w}cbJC{Jcg#b)$^pPs_JABQX<)xW)0~<7lA8}Lx(}YcD zmFrc2bWPjdR-D5)5Ek+4^(HvOG*&X@pz_-_#8 z!mvd|#so4p_sGwWaO*d&l?kdIq#@ex4ya~;wPt;EcqB56yk5Nj*T3cgn8$|Ep%DN8 zga>~8z~tD(@X#;-5YeNLK3c9-^SQkIG-$58=6x@H$!RZm{__dwyWjhsb#Cptwcow( zhiAV0)tvozzyCew+=2TauwcOgL_F>IlfL}5TM_ZaE009Pxw$zs1_=&6@E}h$edQas z4dnAHU$hbc=8DCK9(r)~nl<OO-84F)Fg8Xe=cLI8t$eS$aX1CJdB4FC}!Mh~J# z1`QZ6h+~EP^z=+>DlXQc9=U~+&WBUr)$f6Ufp)tsrt09}V6)leuO9l(2N2O91{{tm zn!6GJ5kd`BT{LCgCKb1HxC5H zpSVrThlqg&4H*-d09{vyawj4-`{Zy^qfUZwhvNHcHJxu7VGoskybpQnYHtOvnz+eEmqPV!qjK z)dMpXEwRMK`)?glKtaC1{O5*~X40kW*4J|bE`{|5Pp##J=yQX*3wwUfuItE83xz_f)sn6%b7|*XZvNsR2y#KddO#y5Jg;yj2i|E^3Nqe% zh#iPL+u{*WbHMlr4;<+NRJ;`DoI#Tda=9Qdh`w9;zKH|?E^r7WO&|>9W13TVbP762 z&B{{A`2$JvK@bEvCOsvGJZ7Of;Yu=>*RoS7&4g6ZnA|?ecXC0HGdb+(??{9I#~gc{ zK4L3XM87(wbZ_<(7FuIW&g60?=t+PfLL&5Yei6bRr1pYMv-eXRAR-rrIq3yjA#+c0 zgoA?yjR+yB9iVcd`I+K|p5z-9e~Dkq<#Q(Nun_96#M8$(g9QluscVu|Pch)X8X!y6 zUH*!X-trZ@@lY-VB!B`gt1uV@v9DaVjv9jy^F#We^Bd}Q-T4t8m}Ai7Ou#lt7OJoS zg~@pm$(?U=e`H&T^?>e%^0jQ~bv{&!wvL#pTo4$>)Ph`K0`Nomg7JC9TA2?d%a2c@ zS~eIO8seN;4QkG4m8endAPB6r9AFyNAwppo20=j11tyY0Y5WD_lcj2z2qA#{;Lyg6 z8}`{}pVaDw9LJ|i7XoDPH9qpGj#UBxeBOPu7H&*ng1`i9A#>_*n6$=)FpdczY-c|6Jcz^ENPu;waPMdA`>mhfGY*pmSo9Foat09rQ8$-gwQAKK zd+d?w=5ioaN)>a`2B8QfC>xT9a=F}fH~#xMmz;0>sw=-)Z#Fn?1`{dwd77e!KQSSQ zIKYed)luUbPd4QfL?4pQhacsv6H`|M#PQ{TBK62wVZ!8&KS6>xFYu=%N44e{!JdBf z^zx*@7s$q8^o^<`V5AcO5ODs)BnP#73ILZW)9HUW;jXRve}uFPHvK=J`stBG_vYS( zK8ln!aCu!9(Evag#sMxlicNp;6cF*Vx88&%TB6rW=rSpc{aXmiwF(i94v&yuWF|+y zy#JTrO{-G9^6dQ&As{6D&)+;~j2XyBIO*f7o&bQkO0?s9^XAQHu088H8nO++@AnM(2Y#|)Flq*fm%pNB;CY2cu7v>YyptkB z&z4zKSZN4zcrRo`9Qsr20Yvzjg#l4uOegH<1Hq+Id`h(tAZ?W81N2IfB8pnq3s~wM zAVSKi1Bkbi;ZCNMO!~xXC!Gk$7-I5F5|P<{?ewGVe8N+7Uc&2{6#`i?@{W$NInxVGqVhWJnxt>2BUEh5_-Tn zvth$}R>hJ}mR*u_&-;+!e1uyCf$fAQQpF~&y|-4Qb!D~Nya;lEutWKHn&hHrE@{3Oe5!m!UsWc(7}fUK@gaL z001BWNkl z-a_kkyEDs{1;!vm-3;~WEFuChnAL7I<#->ld{_+%UZ%B#47D0Sl!E~o*y2c+xm*rB zDC0jDGl1reO>8Td44U=p)`~$W+JIH7KD|99q9O(|bLn(CVi075_n2n#nKj zGG@wMgS7!a-F^2xh6CRRRp}tuBB03+tX;Euuf6sXC|28JB9q3il}fv$loGQj1)B!B zT+nSr#f$b){2U({t<-Cx9t|3aPa18GiLMjVhr~LN0f}fDPs5|G!VjV%W61$@>ixtc zKVd^JrXne^JsZ>IiP6F(EsP!>#VF^vbaJVz&{tpQwsqU=)Ph7vu|Mau3pst#)2>bW zPiFeVCJ}%7w7qEtMQ(aQ5af(8-EIfew4A+Ad6@SjL61zJH|W!g3IqTs)p#>LoqF7f zK;Xzd^5}0TN5{ow|7m;d$qs?PeDK#qbn-DTVPfvO`)&eS`P>%(00DjT+jp_$H#0rM zo z_0R)67MjSs>0MJbhybKO5pRpdiR3R4K&RP0<|QwSANF^s$=*pl(x4T1xM#!cy4Y6^ zRW6aLrAD<5nD|O2Y&z7V7z!y$QU6=WE(gNUF}tsi;p=^Ad++4h{ErmC5JA<4HOo~KJYs9ywn|4K5G-uHW; z9`ZBgM26Wn{F8FM!Z&Rg9(&TOA<0$RX-})3j}qSw3}$FZcc!;pdi8cBd?!S5C^hX8=bik7Z!TW4 z#CF=+SW^FwN3}0~@n3gHQmSg%UXxl(91ZA;Y){Zgf1G&<>Z6n!Vfv3x$iXwhO%fUtnXC?#Lk zmMvSdnLFq7;&G}KQZz`2Px;9UNYC2;fOfeWS2gnOBek8E0Kp|A3~-Y3h*F&C$pHpf zH359FaG?fV#3YP1Gz$y1Y}t}6$f#`)DPhx#Lg-^m$x~U7j*Q+?S#A5_V&g$El8!!| zsONG5WI6)BDKM*ED_Xd4p=tt5Fd>>H02;Gq^(vjG@ty>_nw&*yAxfG<_U5NB?!}9j zv`S@eaEcB>bE5BgBZ@KRk%xaH(+6QMd6&}E{p_Lw0LTHS;y%x1?0>)kol4aY{Nx4E zcLs_qfmN%1kNx|2Rz6b(L-tMZ^Ri{jmf2>V;_?9i%C!pX1g{rKt7=~H&W;}ar=>ox zz8W4u7Y}}nj7BL^@1eprlK#+n%9Eb{w*ga6 z^|T8%ebUlXQZ)Y{n$RBx1posB1vYDBn(Dn!(Qk-O%oP!F+qNw|?LKYvBgQx)k4mLl zrP`=_>aiVm$A(8IM#nkI%<)Gb3kbzxvDs-!0479yY}0B4e8$tB&R^A94FUqhXYKb) zL@bp`2nasXKGU#y^JX@JPCn)Y9-kk4)`ur|-RwWT=Kr~KX2$R@~uY5FpBRb}Uzmc7clGu$sML>2o zC6(1~dOE9~bKO)!JZ-P1>pF4@l84mwOet>kOFPP@m#%q|QlU`T@d_)z(9jS(*?=h| z+iUyjS^FQb9Rnq=@x_x)!=lBDO}E>3jn1c9wfbb0p2`Ff18^P`h=wtu z)F4GYzwMd&HER$AgM)+6OI-{GVO$h=G{O(5jN;w*lOGD)Bdf@$P6X-)i0GSQl7R=3 z7)2T~VQQFGRgbVW*R7or%ZDC(AX`WF@zjpMLWAajem~1bBBIJRU0d>yDO1JB z&98oOpLXZuB$267J_K3NmebJCkg!EwUbt}4kO@6D&&@Oi64@Z zfqn$&`+86t#13SVGPuwnKJxH`DInN*=ih{^WSU;?2mbgnOfDo$O-<#Y?VPs+H2;0B z#snMIuNxj7=KWq$Yl)gFB?^if1VN!t7#tiFf8;`;kUIHFXd9rG4MXd!GLC#_AV5G9 zm<{XKrC1FBVHh?Vja1!J&5=zhFZ$%P__}A@z+yTJ^J}ogdFXDKnAoNib+@Wqf2zfAZ)PyH17LmHvon z*CzcZCp{%G(;X%~2~R$Vtw|GxysH}X`FyL@GR8QU9%fRBxv_c6Mj~?HkdRDlc{y@y z1tEY#haNel2-BpwN~s%$h-de;#W$UE)oN7?V~7cka(C8t!>~SA zl5J&d=y10Ae4e-Y$Y3w80RV5&Wg=_uCk^?n!q{7kpVa#QbEn;=H_gnU;rFBau}JX5KWS-b72^o zZgYBihI~AxZnw*yb6``sFFAvXJ1K0JD(eHdoO83YvyjU>B49L`;}DV7CUIv&f&`$e z0Qh*S8S#;_pHTXfI4h^8X#RF+Edbcif(w~-`UnEulwvY6&vhL&q@~-DJmkG~>sA~Y z<$zftz!ABer*mYxT)LRulmyF!2EMNwLTD4I06)`UG8&CK5jf|ppFBV^5z)4-+eSu4 zM8c&MDOyTNozkGE5UIGXHc!T~+y6K3jCbk~!2vINL@WSzx`02J{+mPSM(3T;@c z)flICK3;9AF2aOV3reA=yneLOcFs{{E*zU66+=Ulo!U!F>g=7Y()h0?W^aCIBo**6 z{f@I6*FC|Mq~LPu@BY6tr85DBLhCF#bkvuC@7{IWJ1%*rR+asz?MII$Tk?qpM{?FV zbe0FfR3_0o0f-*X5}<`aTSVkH$a88on>t_)zYvCD%jJih3!ForLt2eEi$S!vmwSrr z^ELi>`c&`Gok+AzyWQ4$0DSzSX0thHtqp9cS{@%AV_mFe&yjodcaLyqlx1T?48xEi zq*V75hG+{A)Y&+4la(YgpPegJ%VQ&>+y||Tj>mCC1Y}Gcvr=n3s}5z-O+(4`D)8M$ z%v8}W&bfBGJsb%G5kR?C86O#AQ-*oxh@7)hDOQQhjdr`u@GE&7S#v(y5Mrs44^!Zr z>vpYkmggzToguPG9665d$eFM~C_iNwlDg|VTJuA#;D!tWBJQG&@_jSEPenvjPzOO##Gw&- zVH3LPjo#>`s=B(CuIgIfa+i1Cy(criKO!?uWS(K&W(yC)1V1LK-7xkn$Sb+O7k{->Gm7n`lg?Sf6An$%;}Nv%u)TP zE}b!({tHegm7&MZZC>wKh{^MuB?EqT&KZNwk+|5@*)I?gf8+Px3t^QZHDybl2!Md< zC$nE5fCnFb@Ylr!UAq=L%g(u(={DDV@gZY?)%@!E7^bkz8_dvSeT!i zV?6!UU;VW)M!-aXUwP+y4<9*l<>gm!yS+ibJkJgQ0B?Q6k1otFyytzt<{jjYFA|6bjd*(Br1xh1LEJ3xx5CyZ2$R)$!P^3%l9E}N6AZ}GTNK5voe#?rPehtb z$!m$Odwg+zVJkPiwzeiWFmN<35tOw#!>JoN^z0BBQ2_qt{r6dC(I5eAXwT}o;ANI{ zyI0MlF|XEf39Gy!P@+;|E@M!OVl-OmY}dN0Y@TB`l7Ka z`T86AYr~xktfxWH>x!ay_~D00962EPRiXDjWg*_0{KAVa9u7+{7@laoUXK-?L{U#{ ze-e4EC<@ll1W4yxzu$A@9JvyGVV;KW69Dx4eOAe|Iw~}v0brgW_z{l8BgOX}r`jWg zA&AL92Mj2RVmKToCk@5?A(RQqjjNWT1WuW-hYlVj*-_Jaj-~;kcfRcnU;g?|?PMhF z3TM-!AZ2x<)qLhH(oGkrK>j1In$2bz4OSsxomTX#arul}J2i{U&&egsRRH+R-+uHR z?|hGT>8fp=Vw*+sN+T`8z@S$p01d4pGFc|~W!H|cZbH*=!VvV*31MS{vgSzvU{)YQ zQ2XUc`zsY{hFlayGta1G#r`AxJrV8QeJ_WkG?fTB<63dbX2%V&jZDL~wzg)ig|!YF zhKwrC53+jg*?EuH?jg)~c3xvqIU!EPQA(aOF)^{ax+*|2b68tj6H+VNrf3!bz~0^W z3SX60j4}OwpRYwq=B8e=gdGVZ5)`q~kkl5w-%&5kRUXNE5A4#5sdRREdAZ$gOO(lk zKP007;pTUF#Y6~kbKuQ1<%~4O>_2u$N(mVlV5{F^K6B8`P7`?Q}%2ml|6vraL8_?)$U__o26z5+w+JlhT&dnbDpONa7^hkQIO%(tw8(P!@L|%f z)0L`-CvS0^6lksOb-R!tO6^s9p?0bB>}Nk`T; zR~hjH4;0(cc+yqTwKf=p+mZnwwwi>$85R1P3#n5=xm6K}bxDeAeXrG;jC!Ru_Iy2n zfRLQ8=Xw&T#(@FBypkZQd<&RFdZEAwSX;niO;;Wp7HWD8YF5^M6FnoI3Lp8^-}-}U z2W#t0UY#_*wod(R)C?kyiO)E0#4#qhY9;{C^3kZlawZ_eL!&FNz*Rr}AxweVxBS;( zzP>bx0B4L|<`AC8o?zgUfnQW+NV+}w=&hcLf{K~xwjhn_JXqxzL2YNHApaCH;GYs~6unU|auJmp{H=5|vuxzmo58gpVO`Xw z>Q6nIFM0Xvddr=vZiPyla>4Ye@tHK2)T~?d3@4lhgYu3FG{*esTi?BS{CGGfpJEb2 zRY~_bt*)-t@&rhyIY1qiG63)Uoe#ExGh;2A1pvyjhaP%J?9O~Mq(_dc89Rt6lZ~yi zre~$=1|i~0uX*`oP6iFcS388}5ddJKwR7iAtDfu-O{da@Z+AK^O;!K=(iyYqlTH7^2r*VGj92IY_*9O*z|=WcBi2Sspscbw zyoz3i{X(RU5r=is;2-?2KmOo{|M1bhd%L~v0}ni~XV0Er`n6yAoe%sE3~`;7dMh9J z^ACUIFFxAobUU5yHy`-so=5lWdGyi$^{G!}#-PDrG5V38`mq~tzG-QBX=!=sV;}#^ zMxHOsFL3eYm1Sehz4zVslka%jKi+k#+#vjoZ{GW%KmG76x7@P0xCjVe``Xv`?Ai0S zpZjkZy70;C|L#xz>?4ov*|T@w-cNn%@78P~SB{pwI3--me@jG_$Ey($z?d{@-q^~< zh{)F3Znv`5A|hhGWh>%XZJF24;c!h?E25RQR!D`3Vjuv^X*ey)7-R0d@3wSHwgTs9 zROo7@W-XrTw#1o2i)egwNV^;G->-h<3+t_JtlCkbA(w8-1+0}qHR76poj`l{H}0$( zRxx9wW`;zAEzu_VTIZ5K)ds3BD<4WvnL7AXq8qRCL|!}u^h z2`V%*Z-);cgn9O9^M2f=p*XBczgvL1tJ=QdJ9w2k2V5A9f2i(lY25l0D54@b0Cr_pe-s0fZ-NLiWjeh zz%(9`S3KN0rh&Y*)^(RwY++NpVZLgHmK4`{d-_G>ZfW>T($Qgq~NMw0U;+38wF=biioYgHd_k7@?dyPR3q+(3D zW#-f-l_{-_LSNJ;z^trEo;qHg- z*Q;ID$n!i$i$rvI`S{2F?yo<6!}ZQOj%vr8|4U!_^85ez_p+eFZ)$Ito|*#k^5{Je z-GBFk_mLx(7XZMK%kvxvj4^=ljR)_&cjq^egX=XKUUreb=)E(9B$|vYGX}$=LjX`7 z3+$}+GGm;h!C?4`SH4akx71#fpjO)Q=mBlAm@O{pfQL7z{ZUmA&5t_*BM)QH#csS8=9Dy;Dbf{O1h812?D%^WAp``JY}?|ao3$+X>Hq)namio zVP>}Uqmc=tR{un$DoIS`qc`@d-*rW*`RtTU>J1M$5aq@-8jVJy!6%Orog9M=oq`QZ zBxyNEsr7tcd6u!f{^0$0XU1g4gb*1K^b7zRY&06pW>ZE}OUB~v#|`NN%WT@_S8Pw0 zBBCdJ?Q35}z|0sQLd(N|00d%ySUJuZkS+)SMqL6+ab(rR`AF<##&?!Lf^7xYIl+Jo z1fQ#1UG6^r`7hv!AY>-UFNaah{Y16aXVbK=5E}~`jiy&d>L`R9jmTbn@g+P2L=@ti zMSTRNMNn)r6=0lm?RHyKJ4Q>d+ifEX%Xpvt=MEIRaotjYe}~Vq#`y zMvmAc;^gEc^VsBeIBk>>s^HagW@e_-8EuBu001BWNklRW9{}#W*C4Bk$qQr z3^;axLx3D?qmlCvpwh;PsMTueOzlXkX#-+M7(tG6uGwgs5`dB!sxQH=Ea!R6eZ)y^ z0+q&qd{ZsLM0m~1UjB>kdymFIXuYfi0e|Kf-p>IXH2_#K6jocQRV-Z`PZ?)6H?lm- zGNAzl01*KKSVRUOaeM|v^VPPr8ee^dV(=o$0|3GcxdZ|502`Ary=nZTvK`me&IFeYQEz!;=>m0$q41-W@9>8wVk2CSA6G^lbGbu?O?E@>bE0f-zBjELAxEb-lz zJ|?{;m6#1O@PolXqlJ$NBVcawJdCeUfG}o<#!j_4v^uxd3a6DtJkXS>M@Pe8;}{qCmOjb5NtXW7G&{Az3gO!jkDH6iivSrKi^0ISo#|0M@ zg_|;l#S$f$F_Qow$R{S3mzPBNq#%89qe4NT*rUuocC%$sZE|vwgB9on^xW;|A{r6Q z#QesXAaWUzHQCXlM=rnoas~hZn$70w>MCmoJUs;f33@BtmuDFQhJ;^j-+u1O(Z#Ks z=ZrC4fihy61BZ?%Ycv|S-tyI}uDVKCferUAOioT}nOr-c20^R5cYy;tFoYt@?YQ8= zm19e1ZJB2bh+K_IOlsu$*Khgi)mLB50B8}5F(70qQx(IBet|*rZJ8{_m~+nAR`iyQ z+0L}YFFO6+hW4yDf-4Og`h(T>CT3z%{;CGdV#C{>jN zR$6-rsSIz*2dhF=(V=@2T45T~p<2sXOn{_TI#U9+VP-a%1pom4^phWWP7_aw^7f@MySXXrZiW@X0<11CTmMqH-K7PRO zM=_f&wJ^0e3nCSVYXZsdL5btjU~O%=vobT?MgTrBG25O&G>hG3E;$&kEq6P!Q*B<< z$x(Z1dNdlHSXtuMPpm8v(e%`mAp_1g_}S0@LzWo?Bq9V@>?~PlXQtZmk@?c9iM&Dt z4=gO#B3`9XbQ>UyF5)Q}JEK*5lcDN;xiAGS&E z4HjwQ16imHn)+i6nBa$%*mu3{hg%n1&W3vot(Q!K2mo3zkXZoA99(Th_qR4*Mi7Is z;KYd&h=J;AO)dZcu>IU~)euAm3rXBZZ6gqb^GwXLj1^1%o^|!jn>VBJjEDdb#TKx9u#sG074BOslsVd zr7Bvoa8C;nad>D!5oZpEL!nn;#|TJ(#t=9lEV+8(=`Xie>(&!^#wDQy@c&PWL}i;B zHVF~d)|}8-?en-I&N=1MBoi?GI4JTd0PFX3+$(*k(-=dY6S!qgXdq#$0FIGV3xKpz z+tx{8c)k=A~Lv7UZNw zt&RJN)eUud-V`zj;%GDqCY+H!6%6R+ILQ*g=0dR*T6MoAV*;aPSR`XK#$}U}nYwvt zG#Uv5L3Jy-1`m~RV#3+*R;$H!B3=l%hPS@$7ykVEzX779ZinSw@@u6>h(p9iGjTJl zZX|@hr13*UHp}z8*=%xTWcf17vh&a1F@Z&Nnifb2@jw91xm~+4FO{n#w_jce%GRGu@_g2mk>b!DEjdxcK6W2ZI4G0oB>3 zu>o;xap|lcBW|6D&e^t&oC84bSZ7K@1A18; zu=|L(va-S*Ip^$i6!uYgf%Jy0srTP^&kJ7g!ekbRUZ6*x;!wz%3^H{#Uxb;3ty??C z7F(OHo`1>q*b2RGt|WA^=)j%vtgeZGL?wv zqksEBwaQ7|RMV1wQyvKNat4sIwy?#qr4vgl%S!yknd$bXnGI~XwALP9IYiNk$7CATSbpQ3g`L{S;MUhM`AIK{RVv05w@;9wk z>*&!VrGDlCLaBp0xOn6Qk!!cx(xQl)x^<~SYeZTykf8V)u!9E=PHft2i~--Ukb}pE zbCkQGz-+=jtpLC`M@zmooIwz(1TgN4#vvCubm$OHO=tdSaJj~VEdD@)wZSEqUY)f4x`_k_ra$`2JeD6tJhXqQ> zImK4i0nC+mK~$PYZ$>9_&{^8L^(+lQZ7cFte|ku^7LLNroT+e}z3h@%aZ4s(7$U8~jWcJ)AWi*oxyLK_q(fr?!nSqISZ`q!2_F%<;SD4@+4 zbL`krp#+^ICuy`gG`xT2!gW}D41$Q}7ZwJ~D*;GNb&`XKh6s|HA)FA`qg*@s^2({h zVfwQP7|Lp<$UurBBHnY)T@+6Q9s)U~u9J|2Zb6*<6 z0BAHCdEO+VMx)8=s4NnO@1m8e6)z9m*5~-Y@=BI82rH)}=iG^vrIjupZ1k*jcDlWB zW>!|C#g*mdZioDJ$c~6+rlvQwH$YgQ_C5X>0Q}AMpT7BvUwG#){G2Q7^yHLtd|SIe zU$EF&Rw1{&oT^q@AN>wPzH|QaGpL{5wP} zsEQ8Gxt%*7R#w`Q61}f0k#qL=p`+WjpDW#A06+;D31&n(*CHBUP@90kuh9FI$k>$B z2SC=k=?t|3AU2n(tSJEK`t{o?sC)PBZOqIDMX|WbW6tD~Vlur1iiS+^Dl0I6!4m5D@_aDKa|S7`n?I+p9z}X%X*G*>!Wbd7cU3*1bmZ`1Uuw*d0#H7?QTJvYBtOxF z9P>xpNh0p#{}Rxz{q~2Rsz$5HEgui4r7{1W-sEpB7bZaQGXW8}C+A1A&Nc%gI%j3$ zQ1C#d*#Hm?HYfCQUnMqF>7)S2Gn=Ab^_uSo09p_K2vFMHs@rDk0HDDJ<#{TtH=E7h zc>jmk0HS$tx+vFCKE{r;x?k02{;4CJpfckcI}Gd~v|nx-5&&VN@z`SrYP%^p>u)G> zk@6BGb#3O>t!D-9Cd~sxHX3w;RZtCy$w{A#t|EVZf)OX&XFls$S!ROx?U5b0A#9wP z1wb@qj8|QQY+{XTUHd5`qQ48?7*yAd&d)D^*NI3?glKmk5tf&h63=3(Q@5+ZtAZi> zi0l?1ALp)&V?(iELhO@{c=uhmCjgjm`YIs_X(B<3F@{|b7#<&8H?$ijg0Dso2e)}} z|89zppC=8;aO3!7!lU^s2BEdjHuAG_Y`P<+9TY>6J;jG*5=@HaI8Ac8W zq}R@9)FahYJbaSnO}d#_Hld7j3ZTni8mm;lgZdQJ9dT8JvNMS`5VkNPaRC^I0Zl~o zxf?${rqyqAI$cdgrzu?j4APlH`rk_W7fo;oKpa>q&vWMp5v+C0l$>)}ma_}0E00|` zMo6R45UZ1O4*66ONAn~Aj?)*IIU)i$F;0XR1OVjx<~}M-`1taob#``Y1_1cxr0Ld_ zb#A%4!YkQ{m8I#)Dd4Cm1OPBSHFcu9ME=|_>^*c~+x%I7`RPyc66l=UHhLywh_ zUl5P4EKW~O88GFUf)M@1A2ooG?Wl~{g2*_axCj6k1ECPI98#VImUTx(a_RKCE?9q! z$*O*uk`g})IR?U~u0*kS&Pfa}G4?sxfN`Z5;h+~bcQvd$SFIgkb8(jelj8;wRs4<0`2th3o!RnGFTtXUL=wgHI@ zq|GR#w$Ky*3jjEF^oTc+_zh zG+bL_)X9`jXixjwl5%{**u1Wzk&41_{P=O+8L_VBvK?dqhNEj=`=5CtXabg2v@*a* z#-J5TKE=K#3^3CbZkV&q0a~n_=wtw#bH*S8AT%c2FR|qbgcxfta^0$k(Vot&%{Ia5x+c23Z`Pc{m*2dB<(T(TD=f%m`$6%33=djf#GMQ7i$`Sx^i?!WMn4vCD6>mO@18Z^&hk^|Ub+$03qIbXjG@WyF z9B;gbH@4X{4K}vZm>b)+)wr?E##WOwHX7Tu+1NJk{_Z_@|KD@=?999~^Ld}o^NlfR zv2*LaC8IWRXE#Jpy$L|-G_S(PS%fr(fuaDQx6d|!LnjigI#*xFVsc9Py}6LTn^zNT zGw70eLeH5l+`?jz_zMwO-l{`s(N=l5fKt%yto5lBaSUQW*>lie?NR!+vm3gqu5-GP zo;7F$Mw@kTqfkT7HCg2_-n9+ET$19T4>?ql5)ujnOe8gX2XfjbNG?iEOtwHyoHzNr zpIGpz9K}sNuzoqM=kjzhwE=w)n2^glw-B0YvCtyg#6*4-0xZ%%RBt8fBRKC;CQSDs ze3PC7bdM|Am$TUuqJ#lVv&XWWV>S6mfEL-VsDO%kbMwDLn|7e~a0lnUe{nIt7~OM5 zxuj1$!BY#5i_rBKwcA=7SX;~{dBzrVl^uW%liW^=Enb%`hd9;kDU~t3&$oOEj2Clr zDzNcU%*!4*GVE_7BO}SlIKT{cnEEqQv6THOjE%Qvh0gPHL0%S?9+p!xbZ*3$h6EP_ z*bob_PuuC9kBO00XpwtNT=Xvc`hxC*7IM|kv@4EXl#7Lh1s~ZITZjP~n@aIFsi`p- z(ABRVdJgKz;~>cIS-*X*3EOSkh3}S^yLCz#AYE$N-6XFxg_?kd76f~#ECP`9o8I33 zBNq0(F4%RR^vTJ1$5fq=>;5ixcs+t=(wEHyWc?yJ#49z;NSu`bJH^8lJ}>mHj&lo!i9&OhLckOGKK(;tYDYKDY5Dt?lbd^_qPw4T>~01fLbD5# zUEiT6#>2c(Qq^$6##V|Lw4Ti`%+c@;^@SjzfY*pxKbPxq$#Lov~1}_^9 z+T^t;SyG>v|80O`$rsm7-0ApNWKGp&78}&=n9Jg-=SBND2~^)PX0gKm#qqQ}{0;%x zL_u8{qk7@wQHdYSrec}>vG?930d4R8>I%ySxOVj)m_$S$mu>npJU-73Nq^sNvHsBq z*Tj@nNyl$*wDv&;XZHL2u9aA+K-&_?u;6uI6n}P3ifcgX=Ujlla&P;}~tfzC4gSf}KQp*ntb%1QCV- z1Mu)|b8EF7rkAHsm>iz>=f4|EBFkE?nr?8uRt|nR zEG$g<=dndWA0)k_?xHwH%Kc##*It13&!=7W$_r0vTO`ycuIyhUTiHFe7)`3ofkWa_)uAk3`L(mI^{k> zu-fOcT}L*W9a-e=PfOYjim+Fl$7zuE`Wju5Ngh;Kx6pV!$5V{E7$~S zGgW>h9}ilWA>jRnCnBf#BW(aBAMz`cvWUkQ%r3EAFR({6iUGF6O%;s(`%Ho0agdbV;ccN`;6SI{&YD0ov|d>7eoB&GD4Ah z#E@@o*UCMi7QBWWCb}t`Ob|ZxpuJ}BZG7B43KJGfjT-vG#0#z07-AA^Xp_EfZP zy4YjNgy(=ockC8tC$UN;U1#R6U%rNTuTMwGo{Utv1~$qe&fmH9T$Mq@6^4ic41;ac zGwI9%pZq)t(TD};%?7NCV$AUO#1(B7n;0`SGbUJhv%7!GepiskIX|dc>k{dCpshrP z4Fbbh<&5S@T_Ok(T&tIlV!i*$Yr`$MXelEI<554!IUGWsO*6wiJ90MR_bfJbFs0*I z5~e`Z$rh2{P=`?~8z;5LeYR1Nf8bIRc5?SDW4+z(YkQ{$)DV0jNQW1p^)xbmNoDc8 z{nhpQOW+!BC1u@>d^nx(N&sKM3cN*&r|(WWK-UXCc3~58!wLX&8j;~-ULad9_v21# z`&~+#{dJHUMijpXCxMNPjpwy%kA~>vpkpj@lVV#9m%z177>O#pf-UHLXae9P8%^K=@W zEABEPlYEk<0Vf;8=xNB|p}(Ih^WR1TUK;#m7p@{yiqr>6$R5cS(D9}RwKf#=K!VC& z=FQDpee&zE1$vk^eRp-X?&=*VV-(yehmV_*z?-;&iDjkY&=aism~VPKd+8#Hb$ zD>Rq2L0($NV(60*ktpSrbO1$ftnez2e{Pdt3~Opa&u8<`_A^htA|#2A7E$rTe1myS zw*Gf5LyBP<0KKcmsL2yF^mIT>i1Vr* zLr!*ne_p`?Qh67&N@#VP1yjzy`$7zWnudn7Mhkw{eLG|T4u@l1nd?cIsjgzWDrUFh zy4cucF&Pg&YSDsz6t15FVnR-3qZm5I_sP`ilWES_@jJNIAWaLAe9f478WABFK!gW9 zcSBW-6JZ>-0a2yI$wRddW0?UzO_NW-H*=0}33V#-4@y|3IBbf=DH25MpvBdTc$3F< zXSYU!2(^-*ftD^-#<-R-EE6%Aa<~_v<+f<jzmWMAi7sx zG=mp-mb3_MAcXo;^XFDK>|tN-sO1ZG+2h!xI!ZD(7*|6N#DK7 z%Zq9k2AzL@4)^uH1LDb68*Nf!pmb~u8>e|=t!y%8s{iJC>0?Q-V!SI?php743P@vH z^UP~YbrE#zR6j<=Qn52Rrg%=zj4-Nd%P?dB=yJ|Gzu|~WJc~4_3dAK7tOkSY({c^) zt6XHrycqDq!I{QPGSc6~h`2kwCBXHOr3YmgaHUG~q^hD`QY~41@|yBtj|r!b@i9APT`?@{ zv0;8Nrf{?Pdd)TV%pSLaeTzXf;Z!`%*btQ(&ZKOk4MTB!Dg}Bxulw2c)r3>=*6v%vM%ezEO*QmL zIgjn4V5*XiHPVf6Vf>o!MT(il&q5dXBy4o6ygm~3izy=fWU9x?iP%N}^*dbb@w zGSXX8RBMb#S*STGRMP+TxgVKl)<#aGm@zpJC@6WX^1God6J68hb&PH@hdj$5KgRMV z5iNn~*=VcN=lM(=D?d~16y%RmndFbv)lTA7T{}Q5YR*rf`gfsf$X1+=NEOFE@C)H%tmCYXLXNZWvUr!#d`o#=}O6FXvv!zE?<8QOuj` z*+E^W!+=jNTOc(-5Na(=Nk-`{G$BEX{B2E@0JCDTm&8s@ z3J7slH1%#b8((-S<>-+d4&CTp-YIut1SL%ex3wSHd>+L?8T*YN_usiG=gLWV%>?QW zDf8e{qsCM9k8j=h?BOx#E32`V)lH80i!cM*16x;<)9Y_dWf^-8aV&286#@FYyIv2(UHES;XW-FczcMb?@u9 zlr{c!9-jbVX=u=#C1VbkQe{^P+Y%}w>~7;5cEWP&eZ{}w!3Rb}ZFHGz%V=(wt6FVV ze2IrsKKQG*_qX0&OR@3Co^w4eQWK^zV(;w_@)SDgE_1>}m#8!0gy_Kb^Pd{a?<{>jXzUorZIU>H*GeT!Ey46=@>=p(_4_j5RVig#QVNF=XpbI+0DI36c` zr;7Vf05U<7fY6D4AahBKk4E+$c=TnW2l#~B<{Scsi($C2(2)uLZG$#9hc)T(a3Gm& zd&z~KsxK++!HC%JZI|0uC?08)#p4fY&x$(A)f^j~9v(Um$w zb`t+OBvg7x-1p7X&ptme(1qTFtsKwOSPt2LXdy;7=+IFuE+D#g{_}>-(_@&o z5miVQ!#7p03xF@;g9Ji5c%Xv734q%VP)vG8#ts5?yex+Xc1iFTR_(NeXD@-Gm*GA) z`{uJREnC>8y^Kn5&>kr>*7nxc)?l8Bl68rbpP#`9ox*@lvM?6bITd_dscm6c2Elti zH|_DYO{Icpr>TfQ5+``eX?WP?kC73nSg-E%j1~&!VNe{L9C-6uyxwYhPiu47DM|8Y z$w)U2rHOjpsX_)ivcI%bAlJweten7JnuSM>MK~;!SHknKQBOU+4QD3iTC27W0{{Uw zfl#it=Y66iWnV|cpVS|aN5xfgZO<5y4nQY$~bVT0Q~2FHJ~F`uOT0|soR+R zt*2G8D;v>zRhQazFNCahkw%Fc{7`mQ2ZZRQ>9=+Z|DUb4E+jbwsFugGAGQtwk)i%8yuXHsUZr- zqmYp$@Ngt49ZH7rl~!)T{fk%PO(aaEAOW!&Hnez2N`&Up?39yxB=wxJUp8zxEu4-R z+_b%IQZ$5mu%Ix?o5D0wnlrUhz$$EqJwg=!=*}zXFL>c0qVv3PMt_lc-VY8?#<8C2 zH}ke~vW%_ow81!+%S#8!`3eb-zwwklTe6rO9C`9Fpk44=GQ=@+e*Ar!tQItiHE+>6 zeeX%vUI7nX0Uj#ANeHt=e(B&+2Y0;Ws)#2g+9fyF2L?LaedzlSj{zA2sA8Gf+57an zDK2n#F~^#x!>&jHw%LWXKw|+k&zOn{A`cWVklJ%+IAp4)um8(DxaR>i<4z~PY<5$M zEQ0wn)90^rz`u*lj;}HQ*j95t<`j+;E;>FtzjnIMeS7o?f*C#3y{{mCt`Y$H({17o2Jy&$FYcx1F|+joXj= z%#X3JAwi$-5+8^F-^(E4r*#GcA^$%YbgikBtx*%va+x-vMBW8qZ=1kwJl?2 z!v2DMSqJ%EjtaESOe8Y|AOM!&o52OuW?#(1>pS>-M#j^+T4AhRv!2m4g$%&mCGp3v z(9_C+J79=^x)|>U3mg2*Q5h#mXLO{DSzWDp)^^6B5wr9 z$ZjvmV()$hh>^yXkt5c0;@^B0*y0Uptz_qv)9`W@Ye0hjK({KgmZC2hD;IIdKC=eM z(cvO*9O=o3Vc6I){vXWvW+qrz?Nmwl)D-()bt7B00KikL4XEq_nER1z};iNW-~KtqF` zCJcPnY`P9z|04`uc!(`|5W380hs!4K{Cj^WMDDp}=v(N%F>NVX>Fq&<5Q*9D5-gN)-Z7c3zmjs|O<; ztM!%W)MFXq;^I#0z`K_eoJ;SWmSOPFjkUTn@RRo?@u4df%;2MjQLa-D_*Yg|{?pb_ zfQ!cvwS!%-lL>DILwi5z7%CV3GR`7FAF5|V0f-7^n-x}G&Ro1&)*t{uX=diex9vZ| zn@SqFR0sUUm3l?YKrio|EC&pN@0(puXIq#sMNq#DPw3$Q-5aT~&Pj*CoTk%>gqvdZ zCl{3VR}*!mvY8_!JqW`ieE~`-S{S* z9$OpQ)BAC{tGeZhxCrdX#`h^v-v^wmqk7Fe%%j;W`!$0kU(xu>GMTT%oHHb>l#?hl z=`iH=j>SP@O4zs=woH$&&FE^O{=xr;e|cXIgi~LCF|D{qx4fC_kH9gK3cq#xyuuRv z*xCdFpq)&m$pKmEKdSF|ING)SPzyf{4o=WWY?0wb@0vgZa2N<!ZqCnDn|QpSGy8o9nIc^;2W9W-CCDdetpC2DWGRPg~6Y> z$!zRyUe(L#Wue*~2LSGBGgZ-d9jsp7=-8c&n;-)S-Ov2}8|U>HO@52}(A+jyQlQdm zFGgGw(us|HWk{irZcB*l1tbTG)WMF`2fryuZ@5imCrC&$LD|E>0eiWO^K3J1=tvh! zr(Y~?@PZ0Gm5?-VB9RWj9urOp9Lho2!|fpP8{)h?oELuYa}qI3 zY_4#k-4*B}`_l1guIisPxV6QzKCn-VOa=CYe}S3LjwFzWC0^d~J9YRryt~YbNcgm$tRE|B8>Y+Y`M7s18>KLY?~VcZf{jHA zG5#!F`G__~U&B&N2}2rs=cCw7KBdw!#P7#~lkS?NeHRX_qe{&C9?b}C!1{5@uT_yc z9pb)D)2{DH-t==Pi#lBg%cnrDRq#}XC^g|QdbgzBDRw8a3=RO$SX>lPOahs|()OBTEjkN>h(Npd+wX=Ha{`M`p0;3W z%#nOowqZ|%v`1*RRXiM4?MACA(K{lu%jdOaD3;K5vQEmhW$D^SV^P^+ybn#V&ZSiw?A)MXry0$`|@?$ovPc*5g0C_u}16lED@HsaG3vkOeDlPBi#b{HSC=ihT?-L^XK z8b%Zpc(OM+tLEtbdy0G)$%5UOh`aEIkJWTcb_u<0}%j9$P13rk zaC38dB^e`bV7` zn6D)D^VfZ9xcl5;HI=l(7k_vUIYKK1W#xn_0kUekp=}4F-eYdX)%cI6?hha2NC`-B zRcX-Vig45I8(w>y&76k2OmP|PDXrv<^dZ(v5E@@xqlG)CQnn(|jI@*xR=UCD znEW-xI|G?YHL+azS}C{(ju~*=T~)V*RE? zDhrl>bbhyHMsa<12S63{;tGDnB3lke%oYh4aLKz~LJ(F&4oOGQ$PLemc-2;=;G(~J-cM3W3_QsyA0itFDhCWly#x@KRp?gzLe^|Kc zMD6WyvQt`e$N&yKxO7N)SW?{{gGb>H#J;xdgqSmL>x2*tj0~GM+j`;6%z{&6vw8Rs z)A0kl<8s8{Qpaxgz%F?GI?r;}f4HnB&9DDHe$M;zs1AwgU#sBDp`UqnV#hAO7qRPJ zxS^|^5J=K}Os}aDM-;&2cFO&VM%-YOE#i-rZ3dZ^{R7U{OR~iR{s@g-L{k!+CIV{k^-euE8myn#l$cm7iK6cS0O2|um`?*ysn&^B>bc0#!OVI#^ zNd}k`OrGu%S+uvjAuv}Qa-AZcN z{O0ZxWX7iqjj_9&O-SL&P+$eE_usSAHk zk%~+XuoNv+A9U%Ig=0yVl2^h`!M2C@Pg$*?JLFJcj~VF~IXSTnmx?b8dVRQHuoa#x zA}T@ztmz%x9%I|UM8GJ<$-7Su)`3G3U(nqHRPL6rMvNDD#2b%<{1 zY>Nu2N5E4|2^qF; zeKlI|8%AY)0IH>VnUA-}jAtB!8)!Is3Oc;6AO+{oYawVKe1Y+;fJcJlgi{(?W;aeI z00kA4gvI$?uKZnuRWkZzr;~&ncRZ-L@C)! zwSt{Lho5Yb%jB#k)UmZ)&uXDpG%&XO>3_B0_jVJd|Axswlur4D4FTZE10P{MNdwhi zW5#}C@o84168PQY7f#8!u*ZU{Qa5L=qGH&n2PrjCjZZ7IE7G z#*$Nb$!%#S(&`~BHw@Ld#1hUw5g>mIWe+rP>IL7605J8X7o!hQs+IpPJC(KV`~n?( z@d4NAxPUVi%62U4dGa4lB{h3&$L52m!rXL)*2NfRP~zNv&AzSx8Ez{w!LLH*Pfe0k z%~coPUmc8h>!S$olHW#8heeXbU~Rv}9r3+S%Rn)P*bjDm-yyfRukp{LMgX)VecuM51JxZh?v;Cr zd~k<_)BJnB5+YI8J+70(laoLM^~0fq@No))0=`kMe~ECZHM}}3_)k|vtVUeqTWL_#ZW}Sj z&?dPdhh@Md)GuGm#>-oN4nkKzj6407WfDuy0dFqw zUfPuq1c|jSU|icE)zoT@un7{OXQLE$(JepeiV-6XDDbYZ8zP4+0ljsN2vnpt%xV z+p3~f zJN8y365PSB8hV=Gzq|{eg{^yyG|QR)iSl9QFu=5la=sW?@}9EuBD$%nX2F0rTp5Q& zDP|{65mq&4Wq5|iPD$Wk-H;P=A zw#brPJ;v$G#-pNHJ_TfpWEn;L(DGu*@NHHTPDHqWs|;OC3*VU2cmoMlfe^G|C0Jo7 zb>$Y(%n%&EYl>=Y`xKDi6T`u9|F**CRLk7 z^1g6Yg0K@7>Ri?O@`Y|FMLYA*6aW-vA@SbY>?i~|B1Xr`HN9BI#syCYQSyD{%~%fm zAWybXqKFqLrI;3Huk>0Pj zKoftM+B!C;q7u&&aD$zE10go|{X^{!N(!t=h=_Bj`#q8mB&}V&V=}e@g;KAK()w&e zabqKms0||2nV;?Du?1)4(8zJzm2BLciX=F%s!o;n$~;y+(iDfluc$eE5Iv$gw6kSN%y zYjgdz+o#=xv&jqW8%gd5AZkJ2UWw};ToRWW@%>!*iv&W!OXU> zxtzoBxCKC049u$9eV(d|%|a!4KGYB^OObO%2RQaYGiEh5R)EjHPuz$ zYTWw?stchw!?Zw4%opCA|8N+0z>*6y7Y4B`mS~Y@#Y)#^U%i^B(HgG2H1f@{8lPv* z)}ySzbNQ^qec#PbP_+V04f#~#hQDg8N5+V#TsgHG4KNy1Uly?{u=vpWK(%C2=`+Q< zNzbw5FSMQI93-H2;LggZ=y)d&pybFXq(p^W9+C2?FC&r2`8E^#4KMnYM7XY6-AWq0 z$S&uw)oP^J9oO0yc)ZoMiUuNI$cSP@BVH$biP82&5%tLN|Q@jNh%pg0c3 zCXb6&-pK=cwA)^Pw_!3j7lKz4phOkE)jUGNGhz~a?ryq*2tXdAY1`YU6!@DWd_-y- zLh8{bzQ8%>b^Q<`D`7YpJj%?aN=Y@-;MK=3VE%$4UtF zAMf3vk$EXRA#6pR4w{YBuU7i<W5?6t~?4M$3QJ z*0l?4!2+NO!}d`Vj!J-_2B??^Ezm+I@90a}8x%>Hpe)K?OX$SqRMNX&bSr zhwlJ2%OFHg!fGnW(-+8b84pI1WP$$wBm?iLeVOqhKx`_K?+TtZ?tJ-R&wX+Q6|RA zg^~ypP_5*OgG}mrl`Lt*J3#4TeAG?C=m=IJCWK`mS*n+0TgWdsrzwItlipb@_Kiz@ z7_H7r-wc+%Gp0G*R1hf83wM63ST|yhJ7^v1evhm^84+?b&qcf{L*i+)Q9kzyg!U+3 zkx4PD{>UK?mOhC^xR52uDa85B*@4M+TtDP6x6*dqJ;M>L zAsw+XSJJRHiWq`T&Ei5{5B#I$OJ=FMgIaLEh&e5N&t5z1k8?lohx`E;22bsH%+zgY z=b}MV9WPqCkJr0HQ(LVl73bQEG62? zxQmyHmuL$t2^{yinyFD&XE4#gDIB@vtR>WQDAI%a>)RrJ;(kj+{!FnGtSUVyfo4jL zKPx;kzxgo7D|~>Sh%;ccDG)H7_piLlr*Rm)-Qq`dypA-7ArQ?9pUZ&$+s!EV(y@y) ziW0T(q9UYIl@ysC)hQePWM1;oFBX;a>ZjI|rnK-&bkjNj5@f~w%l=Mfp_ETzqvZ3# zj1)OJN?OYDQzlrh78kE5DW#d;IQ*`5gA&mA(~hhC?k%e7^*8YaJ;NF>+)db@rKBM# zn3Ba>@;u_dh3Y-|!bV?bQ-`6WN^RLX5xdm4-F~an+lh6!%{mmG3&2jG?>nHTC}E{3 z)%xLRnjVe%BMUEIp)4|KzL`i){uJ3I@Qa);1xx>t272&sh%{zKE3<4w4p_{OJmS6Q zqnQF&6Fp8?|HFgLj$f1;4%-7 zD-H;R0IRW9MO0_1hOU-gn zGDVks`uZG34Y6dl?V@vvuZFe9b%>b~7_OC~2llKPA%FWH!P&CCX(K1b47Z7jF-xPX>|6nQz= zf$a8c>5K~zs=RgTv3bkwGP}uK{C2v}^O@qyTHJ(r&tG~38A{Z)uU_?C2JitCHU3NZ zDFE2$e-?p$?nVe_u!ITArcw@=kYmOU%p_yDgNthk5(+l@1ThC576+S`?>u_160S?7 zb3UECWH#VPP0q&Uvc>1cMGgEqrU8~M+mPQ!({M9pM!rYgWn2cY(a;N)+P@NC?z5DZxzrD=+kw5qQ zAmD}V6;QK!d6a(8GB>mw+_@7;$90G%@NA`8KV$EE(iFp?@nd6hwXbL*)Atgqi>&!< zt7{9t&C#l5!4{m^Z@BEreSS0vG&&uOGrf%pCVFM3;(;e3E#z!9BLcF8m+)XXfDi(PB1O=8^L_`w>ZRw|1+YjX9vX(lhnKT#_!oW_ z!DNeP2Uu>6KO$b>xaDIYe#e7|L4pn;!WAVUDdh0bKic$G*)h^H=1v=dbyd_ zpyINyiD*JLcN!poEV4o6+C)0Ro#C4%o_;xUuFHHMo9>@|o8>zq{A1t;&Q!wIH^&Lll$C^W@ zPDAoGv>g*lZItWKb3?weMUnpUbYtVqLjK6f#;#!I>eV08L{!n*bq@Gq)1QBiuRCSS z$!1wmyQoc{jeOHcAYnK{U<%FUMue>>(GAQvors{!qQI^){DBF45-=Q?CH*pLQLkM& z2x;_<`bEup#3nU`q&o@%b_PGwQ)BsL1Od6b$#-oHJbIu>Bg5dBX<7j3m`T6^91NwT zrnaf|c#l~aeGKEKWD5Ou+(c3g?i$H&jwExy%-LoJ^2(e$0K4itbg9>NO zG~>K&`%!8rj(UBpJ2)E};-50k$5Y6pNI@EI+em~OMtCZUzD;c_fqJoax2j{!DQd!} z%H0YN9cw-)A6ftwn;C1Nv5d@5d=EJ-21dkT|1DiQSu}5qjxdxyfQ1BL&LE?x2)!*O zC;LG~gkOA&34R|m=N#(|t!_Yu{CJxByiJ9Izzak+9W-AA5-@~&e-Wx-hc$$r9jH%D zpzhzTU)08;_GiIGjgK};r_Z`FhOlZV5VX;nxH7!6RV0Vq4M)G)8^M}I5`(y}Xrb#> z^ulo!kU{vV3(XmhK>`56L928lSwPWJ5k&~UQy10qO?6ls$uW$956DfrE{VASGzs(I zm+p}BHn64bV)ykca#MiG%5`vrikiG%lq$B=epaR|<-O;7>uHs}<~SH+gvo>uF=s0x zHWqM14N8?*=cg|)Z3C8YXwwrb9j5nc(;=-zYo?faRa@U zKPH$pJAG-*BcH%qs`(+H;D_7+KIK~=(hb6g<%$;_*1Q|kZIG9YqepDb0i_EEgW5JN zm-wTmtYBY__>2S>sfLmx`b>!E3*jyfplFW9rjqz|!S6oa;Qi0bVrY*85ARXS8x(|H zfydL;Kbz_f&N0?&b(QIcFAeVG1qaTQZo&irM2ts$*JS574?C>qC-$c?_xNOUoAc4* z#C7j<$Yv37f3ZkKaa38Mt18xgn??eGhb7H>fH*6hM5c#R*d~^X|j|^ zNJy;AExs5672(Ru*%R*q>9XYVENF2y)jo1ddNWfDY(>#?vK8CO(D7sRwR1Wy(hDx{?6Jhd+y5Bz<(Vn&s z1%RIAjLn&6z4qmOpKvo%HyYM@L*c?}?UQkz8Z%+~b0ao8{=rd)7l0s`*H+^Tc6AHPpuAV!8 zj9lQVlsw)gyXCRGKzdLHV8{(662EkZxv)`;c;`6-t>M)uWCdt-c*g2>iw$ZP)$5yc zfg}4LITZ5p12j=r@r4k_xr1ro8XC;t?)Bm4&J?@kMi{yX!5v%&T~%`+2k9cc7+O>Y zvG6aoFI@}}`(P1#A&_Wj>*A6ZV3riqAdVZn;0pi*_9E@)Q-uUma zhwjPzE>I-fOz$UDt|7O1x+IVZG^kNE!rz_okkI$>%jTJUX72BqXO*zK(v^OVx!6Y_dWt!w+HkDA)wlI-pDHGz5?;($aJf zM-S}|7aoQU;V z%SEqtD$oaiAhG%fi{$EJppmNR`$G|Urx|N*@RBzl9(0g;tx8LXn6Kvz_CNy_`q9#= z&YWt)JE`(s^c5?^T3NIOJ*Q+4Wm&zAK`8$1_qN zC22Jz9IAkC4-~7)3KJRAJNOV&Fzz{JlQIxeg@4D#`r0~oiTiQ9#8USISr$ol>Zb%U zIaf+#st$w|X5vEKsiIf_!Sv{SXoKhDDV%p+ddRn>s?^%V^qv+D#%YFF zsMNqxl3wDEu&vk(#ZtUK@JHU)nBryh#zx;td;tJWYX%@BK_PwO6BPl7= zMl(`0OL!<#^q&ML+AeRfB-p~Gk!HOil>-C1WUm<=)%A4IEt)qILOLFV z^`|`~$;U#6fut7ru0GPJn!%ceuaW`fO82Jo-PPm5Y34MDxombDX;pRa1TSP1Z>s5* zUh5l$r~+o$LmsOoHHHHWw5Xc!c5X3)cAfE^$U2||EdjDSck6v98Rv6Jo+*mRmHQo~ zaO}riU$~Z`=UE#WBVVkS9^Kw zv-RG$HI%qm{eED7Cg`yJF8DzM2IalIp#mX086 zY?4v0aZMks2AlHEdF#f3KrviHO}=j~p3nnS`1H={mr*I?hyg!}JB!r2c<#;$B6R{` z(cMEVZYyO_DtXv544?N&z zS%F;VAU&aoSf4(D=v-&>uA3!M?ALopID ze2n5mDZ4&Otf6HFsoI75!^O){ByY6{D2$bfwJ{L=8~_4Cc0~-6|yz$1g64|Gwp+pep33JhH5Wp7Erps=7X))r;%1VSk|BPZv&LaXpq;X3)r-2g? z^2e%)cxj6b*V3+NMKhXnA>kRlWf+6U6aCh_-1(hrq-0@{oO1^c9;}S>OI3ToQ6-(D zCnnjoY7DlliJDE)V*~(TYV|?Cuib&qDx)T2J=y5e=R= zezbC+y;vnda0r+E5)qAt!_Mkjp7UNvkpNhXOM_6W>GL$YHzDEhXz1yVkc|;?B?BV9 z^R{oV!z>JSxh2#OM{X$AYWje@EUn3WUC9ah!Ki)WCY&MTw{Lvo&E1uAWy;2t88nYR z@_=3~kS?g~8hJYppGY+#qTXsZ&u2u!s3m{q#>FLtPRVIG*YwniR;uh29?fdMrJ5q5 zHxTE}cfu-^MABeXQyIFVn3}1n489D7o;~z*C2p^Pba5u}mnmKLgUw5q59wcu>2H#q zOR5q8R>?wsi48p*$esy${{@#RtFah)g)@`-KPp`U?;KHP2)O zaPPxk`Qk%g_WC8j4cFbkuYT&6f1ZH&PNzK2`@_LkAI{@MI1`aEroMHj2dGyngWrq*h+s0FU^zkXbm(~h zT0s$%T)0+M7tosAAN;4^bL0#e#oib?iU7ztGPA)gx4bFZg272|H;c3)4Miu}gu)~` zduGMd8v%L+pCrx|kp7aL({Fy`n;v-J0Xg<%!Vx)bQX&HJWtK>(MiO&h84CY7uZ>W( z^DCmZ&KJ%R^uGGQJ=yN7*=r;Oz`zznfC!u0&FF^K^`U5fo`;*XHr!|tlY;<&kSVd; z$h@&oW%RBO;=K6`jL13i2bl_Yw?_Z~(9EI#I>x{{`H8YF_J|V9ta__C#m4odTs_)OD=tdn$sD9VbQsD%(H;QH%t{K}*EnT?yHpv`_}@X+a* zXP&06yJRJExP%OXHZm;$_q8ewdMZr(DD2ki}C16wf%O+DMg1*L`Km6ez z{ki}9-~F5IJ9qHe{DK#P`b-4Q7`|jr0xw8g78Vxt8L5c)P}D|5RIk^^<1v3Yolg0~ z9Xqcace=1+2Zf1AzT>;(dA>M!@W8jd?d{IFTCFx3jhfBoXf%?RYEBT`A`X#;%h(sh z+Vz^O5d7kva6=toJRUf3 z;QH&Yj{tE9X6cux`K0s&{wW9;V>B{qb(3Q$u`f^5bwo5@y7vn=-+VJ~?QAxi91{$c z86v$ee?kc4rH7fOkK{LCQo73%WP^nlQpVu1GbhTZdcK-Yr#gIuZV?|eN#Ro2axuo- z^_}1KnS1YXjvSE*!@t+>wZdV1r8dE8x6@u|6_Y3vtteM;f3T$etJ1WOl3UoT1cZbH zK^9Um8XZ7!N?&~!zNvEL5~%z@^PmSSiGk`P3T?pxc4&-P*Guj8+3sri2LI~e&;RiE z{RCW2FFxVrqxx0pvfDIHmtE|05+)CEBDVA(xM#w6bL8Y%A7Uz|3IM~!q$=g5Eu?<= z!@u>`Z|3LebZU%Yy@QC(cGm!4xxEN1WgQt~mKGK}{VwZ(=elb|wA5O30u!laP5Wmna(Px+4O8@m57$x#VVi1-+&Q0U)s4@QXs`Nf^NU( z$p6=@*IC`+3EmjPA@Dqu@-@Etc4>A@hyujh4?#s|cg`I?ba3W!bOsR_o?gPvotw?_ z+3K0?J9e@nySlo{k<|Fl$)x1X)LOd+4FJ>WwAbs2Iw%PDdOcQx`Gt@u{6~)5u-m)+ z&i6{w_-wD}e{AQNa9^h=>$2%U8zHQ6Mn{n%!&E{-2lNkT?dOb3jACJd^KOzccB2z~XdBI^eo3VW^RAL0kab#*h3H+^iI?vrS zp8*h`z|~xbh{NHKZvhqrWT6Ynqab32k};p>IXUA%?Pn<{%6#F-UI+?=( z2$)GAc!*?Hm8vQSBb3FF3nxjbY#Xzv*}Z3PqnQ!8nafdi1o|K3h}>*?=+MDi-t zdP$N+#B4U3PRBOS0Z|*4?+>v( z1w=zepo3R&opWxM=SPno-MjZHN_>J6wNPB5npY)=AtTW5_r=x1JTsY0#*<0^?78Na zExF6{pd*2wnseZz(=I0}M4~7~yg0;^G2u$_C<49)H=RydJ7Ti1l+LmY5%N4|hzyi< ziQI+QtmLq^d(dnGqxN##QI$la} z7$&EnrGQ^zdGwJ7+;@M^Y&Pq5yP&SH=M&;AVdMKyKg zrdhUf`t&u|TtnrErK8b^V_QYTUvq+B!p}0I`N27Ny0v*FdCSpp9({ewbq;Dv`3kBxdU?HI>$eUxDee=QK^1-KM2MC&$);g6(Cx%;!l*1Nvp>12Y5z*PRXN!GIp}w_V2N9QAi!8y3sMTn?JYVhk ztEJ9$*A`oC7Sj$K5G*dV&vn)>Qgrzdtv;E5FPae}4uJ$_YoCT#&F$TQG z=3i;K&2xA7rqpE7%bb&GUS@YGrL8qF2(=n2T2bV!;{uOAzR%^3EX`+i8uZuR@P;?a zhqKv?RX|x9Es7FRFuz&z5M+!oS(dSnKu@lxPM)l9*l3mIALjCcGIh+ayZ#2PV3Bf% ziPEo$qt$V(5VJll$_i^yd2^v~5kYX(1zcE3oK9z~J9avqg@pytX~yGmbQnjQ1iq7% z*{oGn2-fMJefCeBb7U=&!Xi0a6FD?B$^ejM+4k+*F`VjJTwL6~efxpu4`ntBEh0hy z*=<^0_N}NK0K%?n1jwhe5B}sYImM0A1ZkT7Fjp57Ywwt50I7k9D9b7YF3C><05sMm zo_A7~DkXasAh?7yZ$!!{_ri%2CvxYIZ>mjom%!5B`mJw^xQnVhH4&6bib0u&Z@TFw zOe=!YJT&eNh1;?0nl$A&diq&Ij3}djI_JFYRY=*G4v1K9wshMgSZVWhF)bM+?w$BqogMY&(d?; zkA6xcav}1jMxacuSQu8aN>q#+R5;G(%}wM-rFQwP-$qTVNLI*5lwuUN)~Wd*X`bvmoG$JXX2aaYBUBDQFwuVW~{=G-RxNx%ys4kv2)TXglY~k@8A- zkF9D~wzPci>=`M*P$IvT3pf0TSkTR6shDNiXf%oj%a$!$DCmvdzE?ywZCO0r{b&FA z10Vcx2%IJZQ?PPjKVzy&NdZJ;XRGeD@p$Y=`(Bv-#R)S1t5#zgN;6$p@0Sxfqy}ut z0~F2=i8qwk8%5;uocj^{g0dQz{70?s%BR7k6Bz-)A!>e! zqZ9|~GZ{N}>@>!RLPF};lEMW0{hn~UR4A%%u5Pr~ZA@e4KzW&yKd-~YIY&SwOS?r6 zAPa;)x%)H!_-YR^NTGDVHFUlsAlSWoj{wqc zO0AYflo5SPa)!fUl*6B3xC}~cAqqZh?yXU80P4hzY!G82NL3NpwL?TwRG8a+|Cfqh zSZuY=zuf3YLCK4P27l&bKR=<_ZMWUFv~hXIuAP7Ixj%m5>rZD{#`ju^a#A{JC^Q&a~+=4bnt3rmX#o*#Fwq0Vf0){)u?`X8(}34`Rt#5#`_Jc5&<~p z&K`R{b%E(d$t%riDP=0h>=(ayPZ(x?(ZA~Q54h>3*F_YFpugCBt=SK=T7_KBl$4-@W);W@yx~qt& z(QFC@xEqQVAv06FI-(Is(a^YSno!vICOPufSX=7;0=VD+5j`dKLvXzaG?v{`FemY= z=bk&P#6kKG!o*s#y3=asaiIQFQ&KX&_1oU&C(L!EA(lYUoPDMd+1J5A_Z|PP0_dNZ z{&smYoR6fKET^qA0Husy1nsWIs78p)Y0*41E(A%L$`KK!-2#g34}7T$1|)lBVUj0oI7yffK)3@ zo6X`Y%To4$WEAk9ayQZTE4D*m1yRg|0r=JzgLwALN@W~g6-9O#>*H||@uTkGcpZG>Ys4OVuezG!s}^bk%CyXb5HYLi%fW=~vK~O? z+vhM5fayA%)V5>CPB$7xpRDydzWHHZEKyh-rA1!_?MA8E3QQqq(pT}HsLJagqw1lu znW%BVY6SF8s4S_*A*3%7lnLPBNAH7IZdz}eekG*Kp0OxhcAH*W>6OmEWu+p>H815V zUTF#LGsSE~KmdbuY%`$-Lcv|2boF>|5ib;a9Y7R;j*2p{O{^XDU2#7RAl-9-$W*V%Ve#0AY zF0vI|Q$CHG5+Nm2M41yOPC%<=twnv6y;#iz05F=4f8hNe5-5Dsh!ayIrGuc68Yhv# zT-KYtXCx?M1veD`5K%7n_5%qJ&=^z8YWtpf^5g&F|Gf9XFaPP?pIca1sMTx68szx3 z>J!AEOzGMS5UMTUE!XjAvgfL+HJwvprYZGVXdciMV`a6#lW_zYImRHCZOmBtmU=X} zO5Px~wMrgVP8|=`msuJLU>n=b=tCib&WUi-=*geyh(^F{<7OkQXbhSXE(Wn_9bhRM zKqWEf5qaKM^3ZHFv0#RF(FuwiH_eCT{B^4vw_&M!0 z-fx={hlq-SV2nXy{SCe>uf4!XrJvZ?mHiSynU_OES6y{=AU;>?Nl^UbPr}ZT)CY7S z%!x^oLGf4jevy0u!6HdeaUo%fz`Xo<6i{pvz^i5#Pk;!Jk4KR(!6a-#`bxk>&?CwK zBGl>-6cSB%p$XX{w72%ZtOGsG(LbdC*9Q3{?SBk}33Fylfy(;VNfE_#rYI66B9x^f z)ay??@kBb+rb#z2eQVUBFPF^oe8Ij`NX1S~Q{=eBaOJ7IQd1TC5FH5N z(Mw{KiK14I9LL-#?1R$~=b<5QqcZHmYna?Ci8>WpJ7TT=)RT{E7UE~#F&fcdK&NEU zL;$7Fk4IgGC^{oTkOQH%=Z`#xs^nczmB;V3tmdOd(U{ z9z~@v=uQ+2Gn12FRxIgg;>6szv_aJQFkn$k3eaOIe4_1(vIPKz#7X#08zx>))Bnn) z#kP$rDe*(;OXXXQUn$%mt<(2O3?2~R*@LgxTcIwEG-q~wm8Q#w^nJR_7B4Y9@zkSQ z0V>E@oFrv!3xFMj<*C$oSq=a|;AB-VsOiYJbRZN*6*Lq_vO~Y*&gcyX?QrcZ0va?| z?cDYJ$>RV(K%GGk5trJF?1bV^HZN^F+g;^PI)k3IRsxt3(emPwF=n;bK>$Pp0G)o% zplP>SvI=X$1*3r6nqr#NQ>Jsyg!@u0pQQrmo;mlHw|+CJa7Ypv2h=%eB9e7cN|Fg7 zuA)y6G0QmgmO;dDOQ|FRz)`n<`(1zETFV{*uevoFh}dj4F@#ZL#8^uZR~m)=T*jEu zXv8bVqB#*!mStbL@AHd$uVt;1kc}fEzaf$wI7C1<(bq09AVx{6JDd+eN7U9Vs~QUn z3mmXazgj(a)>@kxi^h~TBQldYK!D|%mHKP7TD@KuRg5Vw>b0005^)azB)S>5T7pao zJ+tL4)>zAqL1Q7DJrgNJl*l8dlA;xlB5RG;pP0o%X;r7TyGs3yRsPSwif*!c?k#V5 zD@V4K0mXENvd;+0*N$Ph50?|~J>h50cg}tN>t8pmw#_mu?y6N`h|J&Ue@A zbr}Mhogyes9bDC}ZBjvRG3;XC4m$eWlMC0p)>>(W-cfX`|6##uF%>Q02R- zj5;!}5hE2(jXzIIY@A5f@R0fI&;RkJ*WQv9#6(aZ z=YY=T=<<5K-fFc_ZRX+uD3pnYzcf%zkFr3}0mb+%XMZp-#%9)LHbaA?_LPbHW^v=v z>t6qcX0vI-b%hLNVPSz0FlxtBN){%9G+$8VC~S;>mSq{UB7*n_MKW=vhLLrr8#@om`pG%x1*6Tf6d@&HyWEaS`+l6@;v1Tt+6-Xe6y$oQpvo& zh!iB|`8>~Aq(o?(J+qQ|R+P%U9PsiXM{@4K{;x~?N(hraBJzZ#2VjJi?0#jxQlrt( z`66>NW34sT7;9MOz=p-;-k?w5HL!Ynk#~l2lB+VC&8Fl-;-=B68jm{;=_qg8?e=&) zuGi}sL3xH zQku? zQ{Hyx`|f@0;l|cFyGWHr#Ttqi&U_(~=))M4WF|;7>&bNNR0sveyxZ-P3ciVm^;)g7 zvfAEqg)ugmJBaAF7Z3m#vevNhWI8Y)iFPXeA{5Yjv`vUkz~wo>D-kU$EU>0WM4J{D zj9*R+*A*(6X8_T{u`?%l!>pd%d4iUWv_gOBXrYx1MphNXbIQeD`?}ZP|Fs8LS@IGA zg%F5DK$I=CS$Cn;UZ)wZGDGjHvyD{G0fy#!}mZH9*l!z4-PY(44owCXK^cyEjCftC6 zEKxm@1Y`=-0?r5krnr2IeF7%10DyrqVm^Dr(z2X41%NL-^7;3}EBRI^j3>EQUc$>k zPD8r9+Z5oE@tMA&vApL?f6fnDNu=n6h|GA9T%dgIsBf?%EZ>1wyr>-SM) zGy(vI9is#UYrSr--`904wcGkI{#vgin^GC>Qj7PT7T>J)I^BLxFSu2-K&`O(483&6 zvlBrBb5u*9qVs7>6_fSS=m7vY1gHLli1zP)wzgqYP#{o2W_l1gm*?)f>u=Oh_##MA z$_1b3LirR2jikJ_?WIE7D7-geg^|EH8jZ#RT~tp*WTiyBmXUWLq8PU+&3Wpnr}7YD zzvvbw1bH?3%<)ru_Fg5hyr(%hi$xWV8ZJpYtuzh=8uCl9fD$9?1zbq8i;Zbz@)xVg z5c(G}tUc%f`T?Jcp!(ti%iKZ%Z%j(42g=R ziO=}bq~AAT_lFHhB+@*@B8p)My(fS2!+10-4ZYGY&j6!y=d#SZLbUwho8knJ?k0?f zE@HS~%_xb@ZQ+3+h1q;jyjoN)prwAsAwob?KtH63d2(T)<#)2^SK+4!6rC!ong`{) z>Fw{hRTg*FK@}BkUkbRnAVAN`N~{V3WeQvr9Ey$xX z`J^QhLpJCf2kR-x#X>p(;4@D@UBT@y2D8cmMMOJx?qr~-nIB}&pPv(=c|%&ES4_`~ zgI);X94{v`qvrwLA6@}4#x`4^oUKwoV%%3EfNGtafr?X!GeZPwL(YL720?iiX0317 z*z5UV0!~F~)Rz%OXz2cmyjOyli2BS;5QPq?qGnO3tXkYjGc_71N`jav$DI_$xbddf zHP9cjhC*vCkrit-P(Cm1jg9=Xx)&s~a` z%ZL#*f*A#mJ@(azwiGrUr}>qnpb(?Ol_Qk{Koh5-u%9J^5b!Ow);#vqS9NoQ2wJv8 zETPrWXlOur`o8J4uZP)0BLD!szK$cupRm$Y)~eIqrm9TT?@K%$tTkEO*P=1tw4Ssh z^#KY>rAT!c0Lecs@aI&{gE9mn)9g_Of7#)8IbFPT*=>5+XL@nc7a#hI^$SF5riv32 zS*o#ss}^`gVG-rB>QsWW+FR?gz7^)VrS@W>{3T&b2_QH|J&@I2r#tAWI$^1`xN)fz z=X8zBBt96Tq~+=j=L>WMXx8gkwyPrx4U<%mBqRwVC<-V5IOiVy>Q~7}s=`9`46`%e z8Qnd8Oq4lYxn9gqRXtL7Nt?2(6w3}ZW2~$_QZT^6Y)X#Exm>!^7{eEy@aO4~*hnMS zjvHC;jcE1w<6k3kGS>sD7KK?mgYhl5yh$9R0)qG3N~1IdaK76}7a*d;hYx3q%R<4z zcd5#%pJ_dXB@>Ry1@uE*WoP6%q9b-1_6GgJdu1@CKqccr!j@^~^HgM1qC*QS6Ar?&P^@e!pLB?f94bXZEI_ArspRPM0lFc+X-socG$N7G zkPc*)Z+y72GF_mxfQZk2{h7+X)3yjAzT?(+>aQ-O@(WB~_`>Jm0<@f;{)Jz^h(L8> z2EwXk6furdF*45w@nS*q!QzaB&kAVpu^h6*1+VP?bcOmk;yV zQa;HEWqvNm@8ywqV2+Yte*nok{hTD?BArmOEZe$u+sX^i3uKW=dV)rP&;7}#T~(56 zFe@oQ{(EvKsz7h(x-?4=J5upX@WazFzEQJ zxG-ixnFddtJf^F4;U0PEf(U_#GJ>sU4`Gjqan=|I{;lpy0LlPgS z5at=Yc;n4$E32yI=>r;LpMLTQDBmbW;iQY;kRl+N!Xd)Lx@*QEzG|3| ziyxsQ4BySAp>rAx1{!X}0}*AS8U(AWufC@4X0g13f-x6ci%{t9>TTlDEHurMrx8lu zL|+yDOiJ_5Usaj{-ub6Z(wW*gWT_V1+ zmpxr}n_f;ACxsi3yhDx>6;>RazNAbTx&r@yS)~Yny0Y{Q6>(i8K!bdhMJPDeUF-C_ z3Q1aQwKukxrQm9})9rUD$apTWp}izi#cFr0+wTTIL_oo{8t@H!PNCFdsJJOg2ov&G zyB%)eXfVw4X*#Et$xxc_J!Q%|qT#DZ)#@=nA3uJKDA2}FW~3^2xpN3~=Uv~Sm1$SL z2=crr@lQVSq!A#Z6DLkIH*Gf70HFGcq_RBEyXQJT@cy5c7Ug-)E6+4%?OKqGz_G#_ zDboP(wXZz@;f9BZz|uiATiC{YELZ3(ZCQsDDPkIj@pxpLO+IY2ytouNq0G<4Pk_Lb zNka7xM3vLa;APYx`B!9yRyfJ7gu1@Qf8JNpHAjvdna*Y$CL-VhGCCZ&Sw34mbM{-l z<-dtMV(TzKrM?Sul+@MLRg(IEbi>2{004YEu(Gx^e;#h>D|W%$`Xg z|0HKwiKyLfV>r+!ef?rRcP2zgAQedf02&)NvA@!h+#nrvbYED@$+>in7`3MB$hP{jHFV^XW7mhmTdq1UtjESR1k6v`p zg(uM{&srqcMQu|ud41li%#Si7C+0QRT&u_*u5(YQaXMU~URl)zrc&boK<=Lma?lA9 zAozgy7(r?MOzMf&aw3$X9G6^DC&M{+&gE{kxO=Kl1w10gKKtymq(mPd>3PZSQzIhALK<){7VhCs)qTS6>zS(S2m=^h&-@fyE z79;u3+wXdBZ>^i>c}X%C8$SSmaA85;kjCACAuv?bSdB&{XX;N7ao4Wh zZ_O{Dcy=vDX}8~3rnR^`3oE&JQ_fTa9Fp<@irB8YrtlH}LoD0&WkaK=O{0dYvcejR z%0sCZ)svw|G(rW#9zAwAhW(05mw;FDvgZ^o$2Pr0nBbL;<;s~;#OI!o92k*nT96?i z`5TilsGr37PA`uI%@nh~K^Lswq+P>2f zg|Mas^I-O3=o}SHS433Cc?_r%rd?i}pU{{E4z7S+Oo$94#ufR2$fI#H(Ffogb z#9oNpx#@T!E*=w(SDSGBT>4IqGU;x@a28l713`b{heMRJ(&YdEAOJ~3K~x71IwB-; z-f@)s@ezKMJ@0;B2|!RWQko;HFUHtO7xpTQVpn*8flqmGl z9AP}&~8C&YW4HRtx+VZ%Jx90?}YJ;K-zGQ3&%#!%(kS zXzwJ84(HrtGU0&?VK{_HYHpV2XiO0bD}W}V+~qTu15mHm;}CchZg|MDtl#gqS}kj> z^o!6LRj@oB%hTy}I2>x;;I5g@W(44ZRSrBDLLo<#yFAYw3ssw3v&)nb!fF#pOC;{` ze!s8nc^(?hx!mQme1?eVH^OUnfIQr-F&>X?`D!d<3`b!T-v=neSkNfCHvB0Aihn-7 z@3C2)8%LFHF7V?h&vPH67OVDLr*l&z8zTht9M|vnoexh8h!xBEEJ=Ry!@oM6PUXT7 z)lnq`qGm>%nU-OJ1BkOcr>ZzR+#5u$wrLwjT9BzH!l8nEfobJuWwAWZw{F`;E}!Ky zFlcx*sA++lFmril?VLzO>Eg66NS%R&s@{ok;~CRAH_LOtLRTphf*g^p)p$_rwhJtw zw$6kw>UF0_=VzG#X0w@{44hled5TW3Am^aj>U7rh)Sy{i0}{|8xMVOg{=7L9@Y^ z9d|!`-}0u7#J3U!Q805k6DxPQbMDBI!`EDM4TJ?DA1^yZT~8e}LJF<0O(qle@d+Jx zh&gh(%X62nbvui#HV*CMStidRY|mu2WUjd3 z#+wG`R@+;*<~)@I8liU!@#O6udFa0H{>R^|quVpA@p#;5G^Eam0)l5AlJp=K;9fw4 z>1<|!Ayk$((35km2NwC0gnX^W-3#XL|WLgW$Sn{t+8fUD5yMB zpjTkX9Xfbm_O`c!I^4!274{IyXsDnn;L5%2xlfiU}zv9 zyR?C4NzpNwhSpl{nn>E9(ukrF!HI&^Lqu=C_4cnkc7L8b%bXlKLY^FvbMD}Q{d@QB zWf#1tALH?uH3#7V=?>`v;Y=B)k)E(+>sI3?tSM@tIx%>7lPytT}_M z8nOOg2uE2+s`^BmSb&xJrP|g_g*OCXwb$wNdjTT?fTdP@g9>B1+Fk3Ewu|y<{SAWc z^QVsaMK&x7s1|uBa-zTR1t~{Zu~MgU_<+0_4tMX_L*=BWb4>)Dsn=mE698Ci>&>Rk zSg%5%4!;(xDytHuN;+a5l9f2~!)!Kt>ZvDlCp&k7XIq|$h^RLhz3a|*3kV2`b-iAf z+ENOXI-Ljr^f56hFc=K1+$&Wu351;`AUc42C~L)9>0F=Gg0s%#hdj^!?WcZQBV0y3 z5Te8(Az}E55RNL!kK$R%gm|%~airIeDGC;71iNWycf&#%V*d7Ev{7HI-Qc%FHj&Wjsds zs4WSgset0X43Q}Gaa8BrXf!h6N{zHHgir!b(@8b7rlcwk4uTgq!C1ly3SHL^Rf}?}(0uL)223FCnA0 zi?>el`Ie{Qa1;E2!Uru_gq(=x z5FBKcU7sRctJSp2lBY`|ddD6AeQ&ih%V$&sLk9$|fZ%nJlme+-g0kR> zded>Hb0zVtP}lq`#NFqreI`WtO5 zC;-(}sfqmFhhLV1G8a2t0zRqBezRA#+w>AimjIJ~^7ns}A27z2I2YpFvV)|wJHPUa zZ#0EDo>j$+8Y;_1>9<{Q^E~lvEA0?kDfYSuAOw4Q~*GL)ow==8z|`-+I?C~ zJUmx9B9rGg-|{9<9z$O?Ns=GE<+)bhiz@2Ka5!vk*{YYL)sm&3CWqbrt#|wnDHRd1 z*=$BRQ5jMypgtO})4sh|FY2|!`yZ15u-Izr*85MA2QjAggLa;J z|7dWMs(AT2BHa3k0ARJ(k;-p+?dv^sWf;u;SkZYNm1LRS7<25%)5cga!n|!(Gy%|H z(-s>9A}H&iQHhw00?8kbM%nU4V~jYL1$3y08Ze94JgPq(k5Ni-)>K-zCH_arR47yU z#U?Co^~&@7)ag@VVO098c*pU1Ym+)FJBV}i-OAejw41jDWxd!pkwSCDX5Ipp-eX=# z{PQA4MBQ!&3cEbqwgx4g8v&kw{zzKCJ{k#g1O+LFz7{zVF{3f9&GV9BqezEp$7oX9 zdBMfdG3EeN|3Ht7^*OL|YN*VIGU)=Od4dW7;Fmx8V;6$Mi%No?atH(hM&Lu&q|hs8 zit{F26(XKEehfuq&vPON$m>u*T2!!68K};WddJ$VSbmdFN_?>1T%xhkpwCMgLF39R zcLkP~Elumm%1AuI9QH^vBR>&8Afoqs%4)Le2h`s#v?|**PufIDdO;uR*LaTA7Sv)Q z4?TwfwdD;_|MIskTnm0)94ZH<)aj;E?V3~a(AR5>scqSg$~hQG9<4TLB1dSra+ne4 zoIh?wK28hw!dkDZ=IVe|mL1stY$cOlporl1>J7iLK@|Wtx0?mT5-+f6g9h>N{(UJ8 z#RqzUGJ&H}VNiNS?|s`lZW{~-&dH8rH7G*oxY6+3xwCrIOPwCq3l^BdmU7`c05owD zJYrfd6$|zT@$8w?X-l1R9R53kK5s^l6J61(kNwKO430cyHF%9N5G2c^bujmQexQYdrk4qvRA%=Y;~B7K`wvvXAw0 zdgUeOJc;jGsaY-ZA8}uXe_H5s!Ym)+8$5MN7)6#}Aa5#GEgeszlpez!`s_%Eb zp+VCyrL#QI!v&gZE}XC7BpU6>6DPvuC*o{FO?`4yqv>_m-x$@2h|$d_LJ9!^bDWI& zl`-bgCm%MpxQ)f{BGbkQz+^bk9V*W{LXd8nZlhi~CzT*LFIHAgqa0oX#Xg=m+3KDJD8VI1|V|WsLDuZEFLXkGkYrat=&GY=JC!cV64x~RZo@>DG?8#^D zZ~wP{rxF39()x%207od+ErMWd6rjW3%mzF#Sza|7jSw=gI2$f!Q6sTg`7Du7G-AY; z$O9pu@uGo2m}2rmL^N;x=5Nu&)D4jis^m=l?9{>Pa(M<^h$a&#qS;dq2LY{*?WaHy zOUxf(u5bWUqfd_pk<&bN=(G8lq zn216HO?&^38P6EBji#<&4=4>Z>ZS`x#+Y5Z_qgfQ1j%mD3;DqGzT_A0{*xC~v$S^} zTp^k?ruNAi(J&Y6b+4!#C+hyYrs2pUdf}n-~nUM;O3=`fgOx7=G3WEsW{Tj zTpv1r!rnSb6c&WMTq(38M8KuiBJ1NKrL{juDv`)Gs2D6lQXXW|i6D(qtJTu^QgS>R2MtwzskR^*Ya82lS3;+7YCeUSBCJm}MPX;! zwXDSaAl_p{0E1Xt-t_psuj!hZ6{C0&dYDHDaMB$i_pf?1JOZE?QiLNKYhPG79s%MH zCB|zd0ujzxmdVBrkw!f4H?1qp4ysu^UD`(Dg`>}>+{J{A0Htl0!kk~L)iODkh6n%+ zm;_SrJmD2o3|fk{_So7=G#&8IbVZ?3Ohtr}VoZeJ_?Q1_I_LrV&PVQkDAf4n_7Zn@p;*DiAD*CU4Oa_bDBemXDwW{qP6ABfmvDomjB?70ZUPmQD-edFE>DFB z$SeL?>bgSK z?#7_^XIX3c#2hC1hEpFZ+>+d1`yD`7AgFePO)`QeP#TANvm1_PTS05~EZT`tQEntHv?8{TC*iO3}x^3qHJAvmxo z;4v#aGnq_gv)O?I`w`J9wh3XM*?~d;WDK4;bLKU#xlX$PvMg)0T3QfkAumuUY{TcV zz=T2s=lpKjwY4?gUX)pDjA8of&kY)Kgow>%QyX&ptTWRj^+bqi4D&qy>>vH^;&pE@ z*5DkK!y0fl`}TL;1#NxW6&ry$(v0;tPG%x2!Km{gB9++PQBiAD%LJLfNLc)Yg3plPT6U|Aq3L+xfy=QNpleI>c zQD@ri@T6F2k~duC=nS; zU;`BH1=(hiBEYQ^Mipx4(?yzRj4@YTb#*J5P~(hL}d zK=BFpf(Yo~XFy~n*%w1ZJbLW8*T41_xSTGhmzG|QyG<`0pXsHMo_Oj}c@AD1gm}Y# znh=z*t}@mTOE#RYFD{LR*Ci@YQT1xC(;M_yESObmx^jMaR;v8LfXuH~C2x!wjYbQb zw^(Zd02@K%zP-rTc`hLx~U{sV$EEpQg_3_90U-6Opr1Xpx zBLYz&Ad9jDK+dhLoPP70-xBXQC=)Zy7k-#W0p`3;r{l|eDG(OBg&zRM!;!38h>}!E zWKNnl*3V~F|I)pmcg`mc^@(@z)hP)pA67^G8# zfUx?7K#^Bmk63FS=R%pr^2Au+V8QL)vj@v5g`ztelx?gtF-iS?KiHmOBmx#!7O0YX zBx(Vr5zsA-5R3Rnv+KhT-Ur&xf*5vMct-;{av5w}E%h;o+`QOa+g5wI}HQr>&%i7ij(&tR5nkKDK~3+ON@ ze)Pj1P+bNQ<--RR&hd+w+<36Q=7fOv{KaQpJ_NF?9;Olomtt_C$Ddzp;%ay7*oo6I z$}lX8MZxE@fAsIFP(!$2CP6iw88w?5W6*~cE-FF*P}Vs|fq(kxr*)i=s4b+Ft(0Pt zBl{e6;ceTl7@S)RP_>^kTpvgT1YdvVsnV;EOyWvTF^sShqOm6z5pTQmef_m=?%Zmx z>l^J0iq=p#m8hKfWOOBEDv?=OSP%-Zv}af=$Da2?vG`UH_9OAXeCGF~Iw`DCr3mOU z`jxD9Q*h~WhfUX0KlHnp0a93RDFhqY`mWxHF^pZmGSf-~Y}Z{8kF; z6`5YH$^GJ{SFI=Q)#x_8bke24l}C>q;fHm!RsAZ=62;4+oEELRva&p`5TKOkvo(Ch+K^(*WYlH)W(_w=mJmR_EYFY}%YRe?{(|X0s{B$HX_p2H!%TK$Vojb2I$?{2xfUZ2vexuL%f2gNXR5D-B(%L)taNfAS4TLJj`vowwalgQ-#zF{mxRGx+fAxpQX)c~?%U z%Z!sQ19N;^M6_+&woUD()`YtKzVrlm`H9992MaV?3Icj>qjZgY!ujkblO_lk?cTH3 z`FnUg5riWID^sgK_uS#M`NC9{JV469%7}3J(S?7YoUd14niGr*>a^$eCR(FakZ|{w0r89;7^xTSw4V*A5 zQgKCEV9US?&!0L zr=&s`Zo6W8L_kCwC^;HjWp5fZVK|ak)bz1I0DZ-=_Kk|QrI{%FPW_pohf|>rLwH&%<@uboF4uFgbt5LiB;b{j=65Ipp6fa!RmeM5!H zvTppuw98U*Ip=!a4%y7BIPGOhQlYX0UL}=7I+IGTO5-aOfOS4BgC(MFzbAtQs~Q+} z{W>k3mqSF}B*?PhD~ALhAV)NrtPkiLPM#mo03s=I1!Xn>08%>+F0k5p<vai@DoIPZln78IA9O3k zDr+{I(Y)wy&{lMa=q5iS+w#0LnOhh^L*)6(1x#Gx0J;$Re*>x9cBlVLJMN`Gk=mpl zMEuZ4eoYIa)S@mC=%qDt9_P#^69Cp~N^?{t5unUA7m~mJCm#L;yqtK>2X+ z!qKB?ox)L+IPx?y@+xxZP++{A^UDej07xx}Xsp3x@1BMj0oB-Qk18vTX;;p2BJ$h7 z!weB?pz}c8sNe;QB{vsei==ZuB3P0%0n-|h4qPn9x#RUMN3&U;JH3gxaK{mQPa92flxqZZ^aG7+AKV-O03ZNKL_t)EMoIFGF-*qEWYTW8 z<&*7KY#)z{4t0K3^rYY}MZ_nccs$}RD%)k1^anK-H1VY{v&5Z$?;jG0Nb)M8UrSJ; z@&9M<&ExDiio@}$?s>=VzC9$ZBukbh`2=5pF^2Hv$4v+h`9dJ%AR!O}`S2wn<_-js z5X^0E8yj;5urU}g#1QW3HM{YpDdKLcOJPjdOEaIIbuP{NhC7fE%#G=L&i5+x*U z0p#m+Qj>s3O22{B4xlt_1$YK9007M13N;G_KK{}HWB)mDOlpr=`u&P+`g=IQ{{yM# zdO+;2k~tZqVw9j>GhO!PU;T&P-!mo+Wug}$M0DUVW$wcC5df0o-yp_L;6IIXa>bxX zf%~Q>0ARRQ6RtcoFzB4)IH%yuzUc`^Zg{9B01OQb647L1T51@qR;77IrZzZ8d^5|J|FIG&lA5kXuw znd|j>d2|dg83^*LJrMBVIHH+)!}wVKY-H?oI^y+7$^^*~>$PvGUj7@61_PjBcFtXK z`K8^sht?RrMgYh!v;ZFX)=cB6M<2t_9Nme585kJg-~$2%DW484mO1CT-EObf>vp@0 z8IjTBI7VX#z!4!h5x+$b=(#v{u~T?sXIn-B4~O$kb6TzyiAVB964DQyPUossS9W_{ z4onog*ts~fKw>H)6s((i(f50=JoVC7nEjH9UST%hCl*PapSjX^>7!G(l$z`@Ks`N; zKa3CA$<8F*?RK|r+t%&%z!+;%3XpCPK^(`OX1&|(ve%4MD8HEXH`D+GE{)pOWBh@& zIF8NOxFhG1I8KUFaHIlqj18f4jREOqzgD!BkQ4e1524aHj?Ks@aam~{NDMiSC~|Ga z7*n0DO-}*QmjpIFtWJgT(p^aWP@F@I0a4QldNvii*ns08Yk_VY>>mWK8S*7FfnKi{ z$8o3IVaE{RU|Y9r_KeH<;MH2Vr8th8t>$5eA1SPW{02r8MG`$t8@~WT-Gfu7(+Mus z^$dz)7o#(Vy#AH!Ede>|xwzNs)%Wh?=^(N5WGI8Mar%{}0Y*Zn)6s6T{BYlW_c|BH zE;h~(q$Pz6Tpah}9ssr5ZOzb_r5Ix*+^1$r!mc$j=z3#}xF2)!gdRkIkkci?q@uVvZKUzrk!HCFk6wb$9 z+>7HFKmhaXK*7+Bd$-(j^NA-uOHXs%N-#}G#Us|O>m`Gq*(C~RCSw2vCKy-dx&|4rbj$;DSA;kj#^4-(}o!BMg7!f4|WVu}CQ`IqN z^?J6~MFiwIC1U_YM6q+RqshrhVL@C!hyT_Q>cNNG7RncKMZ`AYO9Eb}({aRJhv|xp zgo6VE`=<6gBHr4G#>Tac&-oyga#FF_eg4auq(cRIaZ&sqZ*A%G+2e8g?%V&`Ha zN8UX!nA$uL(vT((eX}`#*>1Pn?KTe|5pnN{Xx{iZBDd?fZ$gF%Ejs6%+qG*~oZV#Q zYFn*Vxm=c>$|$ge$#t@OBTE`0x;uZft8)(GZm-w1*4T)-5hF8|msos_e3P@0^q4Sx7u1hzQt_H!-oFqy2Ip ztJNxBa=@ZHD25ZXE7PCl1OZR1`J6emf5MEwX3aTq;c0YTAQp%KbVN9n|eoX+7J&aGZdDr$7BmyF+WorO{ ziTdPJqb}QRz{|s!VI=`?~<}<(9t3DD9-6$u-V2A^(=5q=WqDlIen{Fb%TkJQR zGdsy~9M|_xp7iYJ=+^t;HlHs^PmN$WwEmNs1}X5WSz`yl}9y6_r@CpFH z$KrIq0*$xdi0Bl2CaooZl5X7(K<26MKo^cUc?CY`Z?D&5Ckb5%q>%(uR*r~rpE)27 zl_G6224#xX1Fd;Z;c(=Af07C*u-#|p2zz&^0R^Soh^n6(+{~y2nR-x&NE4t8h7b4) zo>;casp;) zbj|9!^P>msU(n@HDH?To$^eSOj&UNur)cY zl?zn=Ah8_bukN{9_e;* ztJsNSHmpNILNvx~o7@usz^UVpPtA`1e7+Px#AVA5E%&;Rt2~=>@~j^$QO_aEPx@An zjy8e6n^8U?1~b=CKcyoBGpdI|a{*;V;joQNE7`qG)lC#nEVz`ILuB&&2YoosDQl$tlSqt01VhO0!&O# ziF(NYYJ)>#wNWX*W!E-u+)4U1JXq5WZP~TGuYJn5Gf|)7TcrF(8u@1+fOfM5P$1}o zQadS^0*R2aewX^yuh*_6juoh|lg6ncBBDlp<`pNuQsY_BE}QVd(yjsK2SnuU*+5`L zL{lmy_7U`!5pOpIKClhcZKr2WNeQp)Sym`|20|ex_MQknTfhE(Z#&KrLDC?!I&Iw@ z0l=xv`TSTEszZVDz*68{w_L$@kpjT*;E;^OBd0=`^9B3m7uDlUwMqQA$@(eDadFcDiw% zj}_2Mt|=MWd}bcJz!9L=>lKCpHHekD^OBtmRGTT@ZioObj-7Y54HQ3Zy~>Y*&KEC| z{HufjQf5rvl9_4`#<{$QBPw6NJZ2#4Tm#AhP|p!HYQcQ)9v=h%FtKl69?xR$(7QW@ zty5O}l9ZOh0>47YSn{#3PMxX2lbql|x32rb_hMyWKqz31*}0&r%6(Y;DgZ!de&F9f z|L8RU^v;s`;4M;NVW?$kI{$2v%Z4?^Q#{C65IM+pM0ZE30_A`iyv<_<{h^9O4?WEE ziP)<^3rABo)US8>A;WAS9R;eGgHj8X1_2R?ARu^|)Ok)weHL!Mvs<$c0EnV!!NP-N zS5MXL_Zf=@6mwHRPmlm<8YdjLJ;>+HBur(M3|%k=5WqS2;DZmktkD?&*ncw*QXy2a z3VbPDMa1#(@l3w__^xyI&K+B^XqJ(ZAA&At$2tQ{@C-oBsk$E;VbvKJVnn`&2eM3< z&0d(}SNT?Wh0^i6jWIkf^XJd6nr=#gx@k;29?;+e>+Z^j9Y=NYCg^gG;1d`m(`4_x zBuXNF=cKkod^V*LAKj4e`GNg*1F{$J^2k9Y_Jf*JYy%CJIM0y~0gb_UaEQF{qw%0@ z&`F&E!G!gy814e((xuBr%2rPUH5xo20FBwRXBYD^U0kf6(rY;}77Pa>T5I_(2V)Fh zNGl(-X1evs=|suWNJwX9!j}XI(o^0Yk#ZWs00Tk378?#ec!_D%L3T3$fMB9Nl@lA2 zG~LXFXu#|PQ&ZjqS;TZ9p*K|blOYNTqyVI3n&%9h!CCfva-n2yLRm)N9nLaqMgaLCjeAII^>Y0q6xDwjb00N-Zeufje%L-awXB& zd~4H-dSw!1N@#+Xw}6=$1!1XtMRJfREs}l$VQH~hA)0Rc=wprxM9-ivL4J@^D37AL zxXC7$gB8HeWQnfLm)mME@~5GL=e)EXJn#pbH*ZFReir)vrw9Op*lx5w_>nIZVjvF* zWaZ#O4N4azqAM=Hl*k3lDIGun0E%0!6P|fufWV+HL1&>z<&dWP;YZ^l0Y7IY1gCMG7V@wcyG@|*6cq$FYV)Z&Gf8I3W+l`YKFc`kM%R`xIdiFo_0O)xn zIY}D{1ioF9q9S2g*@>2)alZ>-lu!ctj-y7t0j?y{wdDt*U*!Q9dDvv8C+{Ucc}QSl z32v5N>((`vbSb^Ca#9`XSF>SothJT73m#EM3g$u~eadZ$Wl0V|D^PymN z6m8qK6?4?i;;RcCnL|(o;e{B;;@hh?@(74%tg+PvnOhU(m%Q6%;Y<{Q0dHIM(fl(D zmO&h_g71VNG($Fs#@J1pHs;sjfD9yG9yA_gkp(|b(& zcKKLFAwdS({Rrq7s1FnImXOV9O6jex!^s3l}a#>hchXE}ejpmn1do zKt#1K9ek-Y4*!t!4m^ed5c~lkNNuYj9i(Fe*};)6>2Ksa5T!@n`<(Dqrt2A1Nt;s( zx{2r^elq>Hq?~m8giXH}u}yz}hVy?uU3cdy=5f4=b0z{uCE;gwu45Skz&VqCmd1_Z z<2e2!FshJtCuPk_T6g*tYR?W8#|0s+i|fP0uT1P^Q;oVoGc+(LT-6w}^q?hMcW(zk zBARYa8-v4xHAIkZ&KViqKQ+k(rW$o??C?-cRgm-wPrl zZ~)+XZBd4eF{M(84VRTlg)fGZS5Xv+G$zs3IX06qrdqAC#4P#%5pCMEX<+Vn6qT$s z$$-naA&_HK;6J|W-?`(~T5cD!$|1kveDGWJphQ*nEEDfkEqvT~-PI^|5cX+A@A~V2 z5Q*U7ZCjrHj1#zz)oQg`t!lZGVT+=OF~hGU0u=f?tYp-*1vx?8z@W9(=AxsfDbK91 zPyQ@LX36hgn>7Xq98lctMNw2Pmj%zD;$eZ;F?83`N|cL)E@FHnTpj{zjojg$*tvKB z0UTjuU8NLNtJO-SB9%*R=rtlqf@i@hCsK}|5?zidvobc%TAMJ5jOPG@SsfTAH(xapHfQNB1ew8tri1de861ot)KzO`w&UK zE~0l4CMPEW$R;Li^k@+OeFP!|FlYn`n&;?-q)vGdII&dCjL;Aigq1q-6c3IO>E zfvhzqilTvm0ddO$?~KD-B9*fdd%a$8sf95nj$;n8#=|69is*7tvZZ5m9}@s9#5S_V z3=9m^YBlkhfB%Q_B8guM%PvaP-8vo3n znO|{cU&Cmhl(0~QQWO=&3{86B2#6R$X}VvXziDFHh@vR6QDmch%?L>-Gz2J@%c2Y=K z$r43T*_y~kQGa59N@eHHoxHL77$Sju07`44S&#q#WXRdfDxAUw09oRc-BY7teTWr9 zCLMpwtIU!mORP24n#gyMvTG({OwK*9{yq(ZO)M)=tyUvHoAPWyCO$uFagOu3l9#{o zFYnrPzd@XC)<=hjrG0BG!vls&0|VV|M?^IiP5^*|ii$v@EtMJo=bW{++wIEDpDfID zIvwVsgEqFtT4NhC&D!7)0U@H5P^|>cScAwNM-|MJ8)c>^Ql*TGF-8s$004gm{;WNZ z6ibigQpvICgvOvD)WIzDF(^4sh~#&>-BPK< za`h;4R+gCskt{$GB0$HpL?I_s_E4TyLJyQZ86!EtMfMWB_=IPk*qN%EMak}BVz$H7 znhAi`y6LG2UXMf?(WfyqWBjgEdo47jKFui=Rd#sqjSsSERZuEQyUe} zE{Gzg0r7Ycn?5(K1ptZh&2I%KJnkHdbY^CT9~jO-+Y@a-tbGX*fQ&))vOQKY9Io(8Z`tY zlU{x4wORxK1L-MF!6X-RCb71954y94#ZEU|NZpD_clGyY^Dbo zxa7kvYeakYDOQNgMo^j%3$uO6w6>yrZSN34N}vM2B`R#Wpg!54LV$_-Bp;&JpR>m( z7nrP1)thzQ&Edh?*l-%dbh5!8r0d1-(2)KB04C~FTXt`sZq~Q%-oAC$js#eucBAos zp7(sQOJY|01z9}$yNrnxj=5y5HP)tUOvr?ZSd#YkM`;NBereuo0CGv6fenBMfUR3L zCk_hQe(nDe5IGl7hX|za(iTg-BEwrL!hf9 zGW5t?)I7m&A#2=0)&eWF6R5 zqWsB;6&~FjdLI^pSQ(p>M{*X`C`+w=Wz;Qa8vl7T&VppdN;3L=cv9@*nLRt4ijeSF zC9d#AFMbKg5)+uH1jfgLV`|nNqz``N3({Ubi5~XQrj5TN470%YXwW;mrSef$BH!r6 zl!zP=VCH0TJ^?^|dI|!m>oHBUy9FVltyE_jN=cMWk|{O_wr*&qS}K*s=g)8Kn@CLz ziLWo4?-DO6KzQiEd!#na2l!d;S;|95>6S^XEhBm$jy&oqoyq;Ni~DsD5vkRh*uNjM zkx7Y&UE`e!U?Q(vHmZs2E^D49J5TLaJG(3+BGd+JkjUJ;@@9{=zh z4*=jCJ@CK-(vYsI)9ExC4IOG$kA*-Y2gx;%ncp} z83H|gMuo-#zfLV(wycajsaOa?{vjfkO84FS>wsLjTE3h>BOw5TAK1#ti#QSSVR=a| z=CGcS?5HN8Y*H6!G`MZgcF0Xh=Nun-2{LsO%!r{=TlN_bixw}QnV3SYdis{ru`uSw z>#vom1Zq<2R-lMA1^H0K++Oj2-_V$VC`CAU8ze=t>V)HQU&$p;E{g30<6sc#J8`0(JOMTWLXHRv`JZrs?CeX(L0BB~q`LdJ$TxZEGoZP-G^G#a)#VEj&bj-nq2iO4xOv+rT((o0ymolcm=I3Z}eK zcq^=GmR4qm);YIx*G|glBz<}m$bZ?ncj?>Q4mq&c1=SAVGxYv1vM*UB| z?~k%+xnxob3YX>`nyTrl8R2(RJhud|jZ@YJCzD;@%&EsnjC64vi?_QrkjXa(AH1a9 zm6-D2;S`%K06=MUtkJ0JrllU{dwfTbwiXE(ob*jKQiJvc6jwFB#PQ0USk;X&1B;eY zkvUTD=;SF)z8AJ8zs@;18gkI0MKcqV`Z$OmfOMju!F6lzk(za1;yCX0dSbs0er13t zYt`a>=IV&(9PQn`JC0)_;f>m83A&Uvjgh}IP#_70Kpr6L8LAP;{iX%izS%YSKm))Cl&fVmqQ=MPPfShZl-QIK5YZUB z=i%K#1M+}~!CZq}Q2t;RXylXM$9l!duQc5jV<4+TB>$@o4Jin-3^326Df!JUy#968 zqKW?7&~B<~&t5j{YfEjnMIeQ@LSgV;auF~zkLs5x(0z=peGS2n=kz#J5@$`n50=v> zxY_hT8^Qw&++Dl3XEQ?0MkFuK5k~`{4R-+uy5Z!J2VW49xo8iej>`n zq1j=OXXo5Zvk5~(e%nGCSFDqWh>kh-_($w=A+>O(Hdz)y!d4pR8L$#+F-6US`3v&% zow8?LiKtX6Nj|HcyRzOER6S zj?KyUP8uSj*dLtKs3vv7G;f=jW%TnYftftaL@o;nBF;Z#xhQ^xgZ^75BD&|EdwQ|Q zr*GY*|C|Ho$UU|q9eG`p|NPgFd@(-+$>=i-MC7Qc?%BwbQ~p(9qd|T;I&zbB0XZZC zQJpg$R9H9`g$?CPY1Wj`lFK-SIU#9EfA3xQE67o7zV+Et|9vL!z?kyG3{&EnaoMH6 z$WvpmgH?!sJ(u(F^P)c!M_JPaX&&L*0uM{-9!NeKfXEL)bJ|uyS$4t26RCrtb?Hpx zMn`MIAbb+>{`=MmCiDGr&WSlpn};}wiA`DuFXwpFrM-t#qEjS?06-fyZ0Ltl@;gR) zAT+chuxb7#7Q^}T7i1L&1qdeXBbv?IHWbnlcTlea8Z(j@;lbepIiT?AFMeydWSomd zXeaO{I!N-$V{>l0@p{DY8UQ)}-fp+i9~IY2Lx6w1M(EUTw^;$n7=1|50U{u5+O#QO zoVNk=F)TgS8X-YJSup|Npo0#Y*!{5olajSMYt%A`*Iu(qHz5)id6!R?(t~!{M(Mn5 zS13WpTVNAyePTL}dsMI)l<)^LIC#hHw+q-ZBH93|^$3?spjZUtTtw&9s(v3sK=4!5 zkHnU}JEaBzUfazBX%Mrafi&+5W=-Pl-fDx_9F#(pY7!*51k=q%njc~fbNYf1mWbs+ zp+XxdC9g67sDFYfmax5ME;i7hO!{$FW2UsT34Z#iL0Y4b3!J&gb$6}`zkV`3nSPV> zM2>BGpxI0hymac9Kghm92`m!_D@a3aawRg zqBUz)llKcCAfQw0S>yoerN8;!)t*I4&XjIQ{I|RErv33 zzVofK**-1;G$F+r4VlmCi=0HX_IX$HQ^*tKT5n6zAthKfp7o=nz2>sfVY`Z8uz6 zJ?yE>rV=~|&b$v1YpW7}N=P~YKt%uG75Le~59k9m$oFP=lz>0LK>Yv!83?UX2CYz5 z3lfeIm<(hl%Ev_1?RHnLyto&~h*%&?Ln7ferlvpp@%O#@PhabNfG`0-euJL!FK^^@ zIvw6?VcnRuAQ=eO=i@jw1}UkHh!STauCwQ2aU)5LE}b zk|>H8t3uL3dmP?c_@3D5WE6N%#HQA6w;AAGx7&=d9J|=L8SI3PPGe(ph=@gXcDn|LJSoJg4G3HI?kJbb9AiOxr<*R7O08Cl zmo1F}V+>0RJo*ft_`UMHc>6p5?VKNfFOHpyoFR0WD0jTTP$IIyx#xWQ87G{e0bnwa zU!IjRLBKCtaU9DAC;CgAoVwA=IY+&oBj?B=p#gHlFl08luvA*Ve*N<0%XP4FMmtX{ z=Wn=`w$Lj|!3rfbOtPR5bUSTWHaF~FGnIdU-(gBs4fl}#Nw%${i zH3TBdIN1B}?(umG;6O@&j_|lnSRl7Nq0$rF+w_1+2cDI;=hFKzBvV+I2o8m1L#t3m zNy^$Wl&MW`bro$gsdj(UqyU(ho|*Xsd`_kQ{q@P|MqO`y4Xfk)#HaPOPYOV7 zXlS%H!U7jUcq-W#D`KL{og~t)@=2sL5rcGD=iJ5}o4lRFAMoKldeLVPd)~w+Yc@+Z zh$tQt&PNE6ll!bdG5}iA(l8LwjW=9xZIoOA#3GmKJo+O~kxh{>H8sWRjkf=?Y{#Ol zq*kldYPGuEt{l755~2Kg&Ue0<977I{#v=+i)!G1M&oH)HEjj9|YZiIVR)yus9B&lnS)XV-+O~hDr;oR0wQ!>=Jb&6mq;!7O#NkHS;oob zmtGu<00@l9hyVawa?v>fooh_$=YE-T%u4$*#Bnc<<7n;zg9eoRn8%1g8b2rzh&>D`pOQ@ggl${5aQqEHdmP7NN_NimdcB#M8O~(wi#Y?^ zI%9v5T|kwATleco933@QO=*q`kwOXGEabBlG9NX{ZMgvJ7qW=FP_11m(;PLcbeC62 z$R9Mp^m@IkuDY^3I>+Djp*MEq|A>gCd5f4Q=czjA@1sG2piX{ut2rJC0D#6^c)<^K z<$@#KO;93D9>}1Gl$xBJj7H}t`e6nMQUn2jti5;LuVsD7*G5^brLgtjfnUXOeDh5= z*r6fMoeB~nAQ~DN2#^^dKaZARXf)mGw*X*lRMdKk2Lv#s^8Wn~2mD8NXo6!gBChZ@ zhL%#;Tq2x`VcWB3w;7p-pjGb#Q|N^#gJ>(&O&d2*Zb8&N?Q}Yt32-aSD`r6p5pCJB zr8+jpBzM?ISQ~vh9gVe>gO-S~SmVJdn^dFGn3Kx4JaT5L{~1~amO9bIoTxR zBt|P4dgdPTfh#vnV=u%eCg@De<8;cna{0#%oVz!j}<(f6#%Q819g*zKV z)q$ICx-po};61pdEsh;QYWbGcll;YlVlLG6bTC3$p40PUo*og6H4p9GE<+`&r(L* z@Q-egGrai`A>*ZRqCS5C3>ctlQ0YFlNmb{0DYLwKb1mL76iOP<- zOP@fk{W=G-OEM~7D&4J>D_4|9=WyhHRNy3N_96(G@`DfDrweww-B`KWX@OGYjApYb zvad#@9%w}T>?b~;M1NUxG3j^GW$VDKUHhw`taMogI6dEoikId|2nE2G*-qFm$%SFDyYHYtd~lt2jQ zU2bY*m5Ma8UlEX#EQrRK>iD7+7hR|YIO$-}O|2nFj{GSDCrFr@n%X+C#~7mx=b;%p zDVU*lP3L+F1WDsu&mDoyIr_nQ=h~4mjxbxqKoSb=nsTq#4d1DzwW1hI&q81{F`V|X z_XVa9$c_mj8e<0MFS`5gyEHxnlm;N_)^!n$fmAvl8cB7*R15UO;dujuoBSD^1q2XG z$wK4^02ln^{L0wegxh7T0iH)8BAV))xeu(r4{~7~DVJCgLPWNriryYYk@&i5n4NQ9 z`^u-em7>K20g_vrYKxcteB}z(NOecF>cxr~=dg{2^6?&Q^7K*Y+zB|c&xib|MV z9i3bojmDVr@R(DP`0`^4-iKGaJB3}!kvtLY+xKv3bgr=`S(q>-2c1fzV?oV%&Y!1^ z{HsFPuDR^TeBrid%HdE5W^TUeMk+GG=3CczE`&;d&}cNGxeGCmpbQvj&}`kl5pvY1 z2RZ;L-+DR+vuWdo2TOCui!Qy(@CJ%X_usdUvIgIv#aX&fSwF9!>`#CA4KIK|(WC$- zqPSeS`KFr!^IXv7et#BJmjy2x8hM0)05k@#xc0Jq<%R4{50eBbCT|~+f-GGCz^+}p zN_@rz{aTci;V;>4YHX!?_g!~T*6N`V!hf=-A+sZG)fK38UxlTdefr1Ipfv{3)Mpw> zlH@6xNG*nF_3FC={6p@*KAVbUJ0}<{ZLNz{5KKz$4Akp0AmW_iu_ThEG1l5U?_G`A zgT{hV0U&^|J1;wB-*8J!P=LVEO-eMjQ z0ONrdO>ML02i^hIMZWfxPu50j?4v*`giU`PEWBO}k&4q-+5Es+HH09W zpJsa*IFvrL#E@uhxOMlAU{iNwXc)c3;0>P|ARHbV_BIDVzTYs@89Zd+;uK4%7-S$T z^lJ$+*PV=516j2pD20gUTySm_Mb_B#8vA5G5}1<C00AR5O0LaJJV$(po z-DazVh#g!czf+2c_uTW#p~H_h#(K-Czd;<)Ujb^2v87Tpe(=H_+qda1aBu)E=W#yo z6*n4elVnW9W;kSHvld^}Y>-D;wGtSmJo|p#F zB$xotn`{(CL*w%wd|-X7Zd(@BPIpa|b9Uy+Yma&mIrIAKuN#;{Nsy2szyufaXwaPYvG;M1zI@Fl zXYIpT=Y>W~uh)y_F60vlCfj~8DoKAa8Xapk8?m~2JLrpUJ&)}GDxH7*b=Owrj$30f z*<;N9GwE7Y8DDhem6z+-E!T1_uZ$7 zpZ90s9yoiJ!1if*|6YE9v&&d3MEP}p<;o8+uneYYK*YlS6n zg8=}B?IUAfJ?o5ql21SnVzKHs7U)mW648Hr;O&*UtLY&sAbd5NuL#zwX>GIq}Q{!4l!0BE#mGnT}X(gQ&% z`jdeDZy$Kuz??ZYifo24q+*;gXpOPfZkpKr&_fUD9d7V8aRGpc7z6csT@0i8dq$eR z)Sll(Q7MX|WF{s-S(MCX5>|ZPdEb-K&r=|&BU$Zso823G+35L<1O`%I+q-uUR!6K~ z5rk8N$bZS?vz5`&bH4YTpi_Bw3h?JPf{W24bvhkAMnrVgs>{KaZ3;w&E&G4Qm}DS= zk(K!+cwqIR*=)wy5M&w&0cL<8ilQi4CG=rAlyPBVIP=?IK`-wK48d3s(ag-u%*;#@ z6GMT4h}PO_wVEfC!+?$Lx6kjK?>YN>=e(YA?|t6;2rVYE zM7n?y>K!UzSICtHv*)87214E!+QeRPh=KWJFqU-(s+iP$ITXOeVuHf*(2uqRFvb%O-{eB5w~>B7}5_DLYek@1DII zdHE#})0hAC_4U*EGPB4FT@Y)LgiK=D4qgbh)2x;`AGWqbN?i)6Ov)};lM!}jW2dYk z&F0I;$9zNCRm5)5xW8QkMPHEV7-g@$+SQH+P*AxOjIm*7|ByVdYbd#$Pzj-ysY?-e z%ID_r6asZqW9c?bWT$Ll=!Znd$-Rb3ws8ZjWm>oog& zV8x9=rO9oa6Xn)C&r0`_3!N3A&65e={_|R!5TB5ZPZ;BBmzPXxzXbILi^@=-0&-le z&M`5v<1A2!?e!vE5&(~|1}Cph@vNK`k&P?nkHQrO;L7ms3teyOW!=?@Vo*f97VCkw z?;J#(Jgy%z3_=b89JRu4kokrYNT`Z0KUHh)aa~I&ul>_e2EcQ5<>nt^dk9#GNq*Z; z)5O}A1P6$=oz~DHl3NDqKd5W>5clHAj|m($x=qVcthp9?pu*598YQI>$2^#5p_=lX z2te@B;q*?#ya>U{`uO|i*A*^~Rq(v)1R$!m~i0@=pv zc+3JG@2EspIBd|F2U8xdGy5=uqs7_FGuJ2 zm8tAU=P`zuD4BKN8w6_CzFpn(Lxu6y8}Q#;avVnG+LkGm8{t&ZKvd)EN#qtrZ;wM|NnddsHJ^FQS{ z#JHlOY>RYlAIC9votKw+z3Qv@0YtJNeIhJ1Bmm);RF$MDBFQ@3o_Z6vAWV+zi{*JaL+qw9e978msF&Hh}m%WA*ySbt#NddzawpedG-e=biB%%FzqV#gOhg zerdvASzN}o^zi`z0F30Y8`xq+u@()Oi618jVEhJ>gvOLChEM`4{ z;G@W3{;6_59%L8-3;U1L#kC$TlBKav`Q?jmhTeZN3~#?zRu-50whuK4?k8yHv1{N_ zjO^9h_-u7T5h992;{7!X)g_3(=54Dkt!&5H-1r+*nZFPJe^D|#`Nmz$sa72T@JyC^ zW$8A?M#|!UE&^=d8=?Zm#$&XN?}ZX5L{jUga8igUQaAoQCr6FqLzN?s33q*;H#W)) zvhsvYr4xALVOi97>^u<(AE(e}GO9BDZBCUp^Lduj&3X`t zkd>8i9?}l`8$a$Hnj(Xpc6%o9@n4e2Lz4=^PmPBv>b+M&_Do??S9WQePf{|wPqW-r zoQiA^@_bO2qQRspnlP4u9#<3h_s(Yldt_!FbI4AHo&;so7YOH;v^et^qnOqor|4YX zC-4C}zlB{DW|iCgU1K??d@Qk_4is2r8p-7NGY=0IJ$K6@PmSKqW)L>4o>+NeB!$KZ zF~G_M&0D%Ykv!r-jE(yHDyHQzE6)@&fCIlI#f5%@sC?ilyyxn>Os|%+#dmt4|Hj6X zjjJ%-cg(&pnY@jvtgO8G7C2LkssKZ1gq;Dk7Z)v5{P;Q?_v91|8UhXN*H6H}Eyp-! z9s*cFzVfbNepE<11JZ+oiG`x~I-e{>5LgXS%YL$0s)nP?7ZjRK@Iy{w(Bu6?Kk~Pw zxH$UyDK3`=m)LGs_Eu zI60mk_E1TBm|;_I5Abcp4KSbLNZeRhu;dYfO4STNUpBsDz+2J&(HEh#B2`A@28sI5+1-ggA1!m&CZI?kSh&B_r%KK>@idwV3MMrJ+l zW!Ql*(|3iq#ys~wbk^3s`x8kbPH6p&(>(&s@XUBPXjg|SLr&I;D$Hf#tEuqDd+A=( zO;g(mbg=MxLOhqbM3Y>G^KCiKTR)6cjUVWZ+&B~|F^F`Gwy1w>RG%vai>)vSVy-AsMi5r(D z=J;M0w#^*6J7?u+gKPSKSb)w>u@JrnxVNYZrzQr*D;jGC`v5t!F;n(hf@QzsDo#J-#-0S7_yQ6cp^Vikkw{w*q_qe{u zR#p}w*7$u$FRF!}C8z2&K=B(D6-7-_Zplr~+!dZINwOeEL3=4QYtI5hoIDCh#R^4{ zfeV3ago~h;W3^{E?8U0D^p7$$0T{;O23k|gj&r-QY zwJq#{+oUfGiz4fERA0h)70@L?7injmXUc>%`l$bMabG#m(cw^fnZ>OnN04tAR1OY( z90miOYo(kdNL)XI_%Ymr=VYXj_t#+hUAPccw9wu|$%`sL#5LHfEh#?bg@$|ix)(G6 zQjGJI>_S0uph7E4Rb=4ntAVal^eHeR$!Iz&10tJSkxv@($kE%zPui-;xwOQ;qS*jJ zpyZ&%i0o}jz|bMym&`H<&k|Mm?u~`w-Db>6g+;>vr7=wxeeo>ERoQ~ah2)mcR*?Yk zVteb??AYO;airkO<`vw6AMzg;fe}KYp94Gde;XEnrZ|))@G;OWf4Y#Mw~umqJYsrR zicRTBL==v9^q)c8>ys9DjQbq)VhU}pyeH1hANjl#@-l-fBZ+?WxXj+~J4T2reLgVK z<;FKAD)-*M-hfB$0Etsa`I*1O_^V<+Zs)+xHU8l;od4GB_{&oKZdp}shR&s#lcnP3 z*G4W)bZPv2?qV!9;9=rFjX1!wm=}OLgH^U%U6&!;R-N|u$vz_T@%R?bi2GrAt>8_$WaMdx9=Wz!5MFg8ZJv-JRkQi%akV=ykym7 zl-UR#jJ2?z5N3R_8gI;5FTQ~dP;FaX?ezq|0!NbaB_z6doufFTgC^&5)!-1jQdR`?kZ_0*1wZl;Z+cwr{z z8&Yrw6CFcGSD`w7BXplRM2W`A6~)bcUGFb7EIFx1^OvAYJg*NZ+7=U2`8_BF47?++ z>=$W-7VS<#_{9HnJNc|nR`hX=FeWC3a^=lHMS^yrWE};^cd-$GfUiQ}@!i8cG)1Rk z=$F9=1dS)F3J}b*csw3$2w6YMXUv=2-82Zfwp*YN^Pi~}J}<%qt}`h7;{b-sou+ox z^ZU644Vd1(^?iuPq0m5yR}G+T!uR2m8>?LN&TEnwyDDnYS8jlj=(3LNE(m6oLQI_J><-6;L+I)f`3-_1ei%$KGNGVe|>)K8% ztWUzj!`?IRV)&R>{#U7O4O!U`V#{tAoJ|LCkybh}VFkK-aBO)u)jty_zq!?W3*Bil z2)#W+76_b9n`ua(RHE^reolSTOzKg<)Fs)}$dGV7bt7HLI_jaG`4GO~IEh znE6wNZr04hL|&mrOoeZL1c>4f!=M^QRDSiSweuufgq>q^uXuHjIF-p~Cj(0te8u|O z#^_WS77_XaTZE<(*()<;8TmVSQN;2$fy4{(r4G+HFB}T*uB}5irl5hbF#_v}p_kQS zrOS zC&!Z=0$(0Af-D*!!=>QsD~u!7dXYvNU!IP1;?S@dee9Zie?D9(7+v%=qe3??Vd0Cm zTsljPzK;@f@T3V*$!!8zs-W_>XIk#b#8L8m;Atuaeh%yv_w|l|I3ZiRnuRZTPC$i< z$qhR?w*PvIN=g!vKfuPnJR!{JYn5=BzV1kt|2MG|whS zjz`~pcqi=&=arofxu31q0!%$!5@GlX?|6rAI zFZk*leLIYJGl_qYEMn?SY*Gfvr?f!$DwKHW`Mv)6cica0_DKUNFSZ*{Ti#_lW`(Yo zE7l`+|GC#OTSpE-z{(~JyH|A_*LOx=yL8w-HGE<4?z$N9why+eviYY_Fehd<6m_Wb zLj6NHN~q1Y>1-=bYtilgGxve&1Xs>)Jgfx28T9PEbtT+yNKsWKDpB!M-!3LkGu!!& z$$;hck6v2+p4atPZI3qZBV)b$gD78#hdY(U&q=NJ^YNyh}+Tk4t-3`??|a7OJ%=O-esFo$ECp)Oq)@1pXF#*P}eYyk5dd88^UN4 ziJ(-p^G5gBL7KN~##c?muXue0Nre>-O+YWZJ0954vt8;#R~ASvxj)q^1R*7`&vskA z|2_+Vm5&6Pa9+P;5s$eugkhXDd^x3lStvVI(vj@;_g{%9o_*CXj32YAITOk-znr2% zis@ZKO48dblZ6#bSx4sMiBLPv$@B#Za1GYbO0mz~S=S{xf0}2AJpyO+4Gg3Ur`vjN zZkWK&{$53th!E!e`|;O~Omu`;#1_@k5E+}}VJ>K*dCw#6p~+hKoMJtEPM_BH@u}44 zLSm6#sm_?#*Rw64uD~M1><6hQjpmxD1+1Z@R7}K=)rz($G=u zB0<1r(P}RcjX>Q`8-3tn+qR^H{Oqr(nG@6~$f*6*J| z-vq^`U9YAj44ar3bC4&u4w5z`@C|q@!=X(YHBpg?^*%s;ZBwt4M0NLvpkS8`Kj%Na&f;e86CB2~)%!oWut%Us zx|yO#NRk51WC0Upl9pj$bJyNsjSBJr9gl~Hv=yO88a)8BD>f*6!s)G_tNaYSF-esV z#Aq}jj0x$O5(v8xp8;%x6AUkzMi)G84r>}777f8dIg=H9m&ya*3N6svC7GOydA5>@ zm*w6iC?xHpQH3#k+3c*5vdSO) z z_Lr5|w5EglIxo#=mZVNx?K;0!2EA_Y{XtK?F-g_38BbKzis}#M|1ng5gV4REf@z*k z8gW2JyKIDg?N)plWrQ467QQ<{^!3D z$_UJyB0pd5<_g60gOu*A)p~0)-$`|e0*sg5P**|p<9*^&1KF)*92}f*_f+uXJNbDa z5#45*vv`_^bYW#A%H-`}`J6;RpdniA{hn!ok4`H z!%FtsYN>8Bm?@G0X0)2pz-$}I7mAQlOZ3KyDe@yCoMP|a%w1nCMDV0xNNbeA20`{$ z9{ObB#>7)YLzrIwF7q2OCpt06WK2aPd#B62(ATZ9JO>t&x4 zk^i}f&S1)CYKZ-e@?8(aK!%Gs2&pHWYiT5Ge?7;F?0*F=lyFP^ejkOBB7Q*r_YJ0k z7(4uG_-Z_=&?L)v`UB;Ts5*~=1O$Wp7;gyAq5BiFhs*WIl)m2+fk6EW;GMfu*CXD~ zw@mMU@d<>>KBdFD*64$XVFW!EsSu=}b@9FxB`@G5NI)H0^gi^(K}m381Sju}(pzmv z`9Xx5@j*~O_)^~(M#-)oqj^mkYs&6W6tH*DR%?x8M5u}xDiA@`yf&`1%X~wIpTLU! z|M|hD3oBK!TIc|8J znGqyMxWg6?ln^DM*J5i!eSJ}D3}=nC&l5SM)5S(zXQmi;7-inyUDAVCY<*u=nPOt1 z5RDH5flkF==ZHjOc|9(l=G(|5hE>Ldo zfcKoS!k7aj3!hTW2|brJ7PGS?#sZMnwqN8j?k$ew3R?a{ex;faSM7g=(a*a=OuNp7 z9AwN{fE6PQYve=~o$8)69JvG};+_})&TmJ@&9_mAGnMe=uPs(7jDK;qd_8duai{Zs zPxPXi@}`yc=#8E?E^^seWfYD1mhi^E%8YlIoB5z1^C5Ci0yMmE`A&U0Url-1gQg(m z7}|>_0iym+WDa&~Ej&dWaI!f)=l4Oc76;bM(d0M;|KwTx+sAP*7E;K)KUCd5%KKwo zl2Q@hN}62Ox9sa3c0pJe>A?2O>>u}*+uubDZRlf4SK|C{E?(fcsB@D<>1F$xUhchR zD-%+Xe!K@Eg_zP0`kEM+qrSHdyCD zo{%KmpoR9nTCRY)UHK+BoyQ+U$M*>*P00ShA_zR$p~l`9{o6R9lmARKXJtKAP4Ckv z75VFSQ)zdr;vI7LnmIA1OsLF2+=VrBPiE%bq)rvbPct3vZ535blWN7E?7xbLroI_i ze3eIyC$xPGQ|{OY10yfmgcU`qP2Y!7)nC9u=xVCL?cQWU+09Hs&hNzg>Mb(`0uMppc79(E^*)le@HR*?7Z7e_mAAd^ndgOY5 zNaXnBUAhRUmYup&RXzF2+UJW?$Ps4ufs+boM_?FF!YACH&i(%|KFRV^ABvu~;4gZ; zd?hm}Y3vJzym+GZ#*Q6LK=9KAo?7}B^JY*7X#T!WwI)+=UdD{kodTx$_%5^9)7z|+ zILo{vw>_b@7o0$p`-B{uzy8QcN!@_a z$X217S6PH#(Ld9H|#{|0FQ!~|2XU)_aLWTFfYy8?8*4=#Wu@KzH`T* zoiIG|oQBR*o&5oyQC9mmDplu>-@XCQqX#iblDFrYzUTExUEWaI-iw^E7mEcMEAd+IgA_`> z|Nd}}q@cz#9$EOqKcUOspG;GYDUN#q{k-xC4!su6-i5C2M#|39apQ6CCuQW?jco zF#lFiVJCu$gX7CYpIMEtobxQZZZ1>Vy($Y?>0W%RdDnisVYeHMl-i$raPa~B`m#By zRsQEcHx;Xn;Df&|lm4t%*9}>KKL`GH4_$Aowt$eWt&S-D5U5dpjg31lt1Bx9@BO1sZo6X?n&-#=Z7 zWGADv4o?OeJ{PCrPn0rq;knBhQ%Z%MDb}_ga5ROgaw|I5dq=9cwR?iSDfdnFGNl1{ z^J!9K#8(bCN}Pbq_peO+clqo4XQ2DwjwD&Y0m3ulXZ4GwM zOHZHjpm`fZP?PfEyFa;B;pepI5CS;?GJT8XG@t2QW>56FdD zClR*+vcJtYLZ8`R?Mi4~Yhe8TLcrnXvL^9yF&Jdww_3P5R!9FzRc|+@``{xIm7JCs=vja*!f@8tLFwXfUL%eaV^25=F7X@RZE9*VTe#G=If1rV zNM@nWHfK52aWqN4s;pkNcA^e9Aw8&1v^`5Qm4>{3xI)7J)VSn-0i0{2Z{WS>3kt5V zR*Sk^ji9YlEwQtn`l2oIY~ zLpvZcb-N*zw>jlHGOjDkKBp&EKR zxSghgYHfQAJ}XOd!-*{Z@JD;Yd;0Pqz%9I@+N&2)ug!`axhg@|g%(kAi{|SgzjpXK zhcNf;-jH>nBS6TdFmU%yVt)QdPmc3^TygS=d$Us_sy0Oij6sKTOW5cW#O)a^3%Aqj ztLVz3Lvia_>RxnMufmtY!gnFlrA}7mxbaTu0$iW%doT9CDtPriHYN$@gFvA978^C5 z4_f$Rp{*ryy1Kdz!0D&1v?6t`uIb>bjKzxM+vN{U;adx>`OBzazVQ6B>v@dwbck(V z5Vwwc{%JWs&fyc~BR!?2=pJFJa>e4ybuSZ>YqaJ^9* ztg)4Ib5-n*gK_$x5k1hq>!;}Z4=7r-Z{gw|Gg3@i)#*5O&#Jodl%N^?Uwf6PHqkJdIYtgCyNFsLulBw9W{?SzHDTiMGSTGrZe$8T<7p%OGH zgg%u)ZU5>|pa&9VYLHlpb5EQMiGE48!Ow=s#Yr>)LnbTXMZJi!Bw>RQizr>gggYjT ztha!Gfc@P740s>{_xy7tOJXu+9gTuRIR8Slb;sk$*TflsCriMhRM@1A)IxIC8x zU}2FmDHTa>1r3UQ`mb#xauo!J_!oeaRx>FA7+G+#BAi_3X`vXr!GCeNe#J~6N=;(N;IYSzrq54=YPB6ht zK31P=mz@JpR#P3Z`xyEenkgU)E5olDB- zwn#YYN;^&EHaaZ=W8c=!U!*UsAfaGG|7wzrqRRbq{N@v-J*L#Js6Xi7v)jd1H^uF0 zLXqVxy5NWi+p%;{;c)*4H1gE@5pD?V3fp+HmHIw5pWlRslQQNx*!7ofy&1Fe#a(gR zM(A>dg`l9|9qOUDwTD9vAw^-p5xN^>6E-?XW`@!wD`i2+E-j;LZ8$hgSNBktbD*_| z{?nVA1e%u=pPdWH@dx2+eqgdlXnwMM>j@`fHF^=SeH;V?Sid*4J!z;N7Gp>*PAbJ7n^&y}~_ACVXedK`@TcgNF+@ z{=P(SQRQJa4K>h@-;$g)6Ncldz(uATr zj=Z{#NZ+?HikU1$J#{QC>VzG^fm?GjwvqP|fyYbH%Ug_uR%*#Lw}?j|^1&i<_goF) zK}`@3vWm!?_@c}qAGcJ{e$XUC%BnJZaE&{>s0%|H_R`w`%Ez5A_si3Ic1Jfpo=Sj} zBqS!iH2kfS(XX*5!+lAM2T@fyhS0llnr0eWTEE!M03%yS`Rq&cXAh9mZg>g$dgrwW*WX_`*o#lZ zQurCIObQGduAOgPT>gL*VqUC(pB){ChF>mfuoUeCV~g`ySEkcMmF9z9&j zCa#Flp@z9Eyo;?-*6wYLYT0CjM*C_#+W2o{zb_5@kM$^7nz1ajc^awa&?@tVddDIjHskhCvTz$LTvUP6tFsq%0 z94oZ95VEtGo|-UY&V_9C-+rKw#yDOV%KJA-NU-&%G02JnLc0B4t~CLEn0HPFZ#0kp zgdM*!ahQ8&D;NATT;tmEOY2%XpwIkS1c-bq1=2f#uAk||`a23|Gxgw3vhNU~5jqs_ zq=Gss8tP!QzJ>(siz|Gri9pB!3(?&ePKpEWu*1OTy*Ct28a_5o=6s(307TF!w;2Hi zDU$-nI(umZ?YWp|CVgq5WK4_nKs&NQ_;h_d@Fjj6m~Egz|&|%VGR;3$Z#k z^-{-(h(>9quDcZ&5PSsvc)y#ctHqLYj@!I?f+C|N(tlKT!G=9%ZLp`WiPq}S|fjDwLJ9Qj3W^JZ8mmB5w!jNovfZ|gK%;1 z@>Ba;L(~D8z0WXp>xL{R5|)yalVgu=L0^e@KVDA_v@25ob69$nUiZVec{mQ&vGDxx zu*UBE1PcEwV;i!YLkTGTq?PVH{lBaZ?*ziXuX;sS$C3v5({_<#x5+W3<%Vo$a`06G z%I?T1G8jpE&jM7=8;|UKc5^H~b(m?~(xr~R1$@!tAyYi>V?}Lsl9CuqO?}Yzhh5X` zbt>%y7{|-n(BEjsG#`}azr5|keyXbL!E$8 z-bo1IuFDAlEd?x3G@<=cET$(I0q;d7$V}OW2JBYv9Ddbvk%rsy2>*io>M;k&-`^gg z7mn=U>y#JRtY<%Ra46P8k7!YkB50?Uk6vbG^?zkN%J!6W8nR;b_sCF(Z>Z`TY<*EB ztcY1q_-v9r@q5qG1@Lhb@QKK?l*9GPT zBwF-5TuzEK96ey5#8+>qFS&iC8dOz2ZuT|wURkgxNv$lt12?-?n^zF$2HL8=#UsCV zD#{t^du0njy-AILorZ2Tl>|+%t=Dy?6q)%FkD~IKPLcZSZ?M%cK<3{*&3q+?ujquyX=+*x|a};F>9sM@aFLFX+s3c)9$_irgRp9Vsix(?RbXZnwRMD zoITFL*Ul5jz^5y65)yOOR{I}W+h#7SqPN*_)a3ZFvqda0QR^m)*Z$*f3-o5a!QRFO zx2IQZ_HW6sb{b0r-mkp1HA|FTZK*O1KuGW!hWoScr@~O(&}qEjvY-_UsR40rY!oQ;YPI*utU)IFuepwO=Bd!o z$?))qR>fS`w>s=X<&=Eo972jzrNC=@IF5U_uk*8Z3o8rT^Du?=FD^Szt2Z$C=yeLS4PSUU!LGdAd}Uhw}}dUpaR!X|%_ z>U5b3|5oznaOK}X2>AEqVGSr`x*b*$G^<4$GE!exr-T6lVp8^M1X<)s#we?nCQQT8 zLax0^QM%+Hfi7nx&@1o%|D@~M6I@M?z`macLdBDuQ*J`bR z@X3_2-q8{h7H!b!t>NzdM@1`^8w%Npvd|A9RV8|nr*4rxXjD=?CQxG(qOmmo!<5gg z!BEh~^*B60xShepu;)8=exig9W!mh@$}r649O)e~+XB5j_M1_HSJ%{Ylp)S~ftaNA z=W7fQm5_$MiLn2E;^&}by7iOmz}Cbs`j8GlPVl;?CvY~T(;jXM^}~E+7(;lJKPDTH z;Kjg!o83Vh2uM?RNPpvF^ZINd+%MWu2$NwW7!ya+b=Acf$ri$|m+poxA{2yw-&%qr z4kqnRrDD=>$XJz;eK>Ha59}o9aXQZp1`{yUG&3`!CXDZ6V3NPxfA*Pnpud18V96uG zH{>7$9K1M*o~@aYgWEK)A9bJ}AiX`92XYJkDH>VV#>5PFgYEHKv`<_#J5cxQsF(Tv zkW?>SxW}bu3wQb;y1-2JR{~tz;VS)@^Wm4I5 znbQ!yGsxO?@EJaB@AIM0({_mH9^dHioA0bcM=x@OR>H)qAP>BDs76T7W;=Mj?QCFD zxbygH9Lpu&Arv_cy+%A5Zk+$J_Ua4?91V$&Lf%hbA7Ar)SL0=qCBAXKnQy|FI>m~h zZUq{`@c=eqCoO>gxkJ^}*O$mfBC|kuOU3Yrc8?%z|7{`$@#>4YgcPUv&Ysrbe#{xa zf^;LP5tuF>re-BDzQyvVK(qg)mNb^DZ`RjB-Ru~0@)<|j0AHUiB&6q| z^H%s&V+gYzQ=XMnyawSX(fG7%96Yyi>F`EB?lKF<@)w_1w%@$rl1y+;)dk^N8YZ~>_8iR0sAB}{+da%Z9&!@`LL zL&ML9#J&{zCI9T4z$}c6dUkxzL3m@lac-)Flo}mRfKq;~;UaGm%e|5Xjf#^rL%I(sx|82KIZ)oR{|Jw0; z>YqAdZ$jT{CQvVv4?#ny)$hE!E(`LyF;#X+``b}D1pEvha2I`dXmR?mCIk%G|HZj< z^u(>Fn#uPKmJhv?vzEqL#r6Z$ds}>d8t`)a+c1O+q`M4qBnRt){W%H5K*)O(Zq( zX{62e?2}%Ukvx&LmU7=->jJ7ZTyUetL(C~X5wYAI@kBq0%Tk^xJ76DLaaI$Vuu(Qg z6FU5T80^15Wq#c3@Q%1ytp|?MU|%*ibH9S}6QkpZ3%&HGp0)D5KWu*-XG9;9ZJ@0I zQSrBP>EX?nmO2>++!dkG)qi_zxSSVFb-7&3S}j=*=fx_d`1C#0G*ec2SV#G)fg}SX zqFlTVJ#$A-uGx2pUzArkvVMt*Jq>SIHv>6WsW1tXZ08BLTnaRv^vw=_-lN0OTl?mP zh1G|<&hT2Ps@Tu0#+W9j-!rj3)}$nb^ip*=&iQfmbu9Vj_T|m^xB7Ph3vw0>bsfte zUYlwDH)D2e7b|in{HX#OMPQjZ`Q(rHSn6x;rlDA zWWDs~Awz$Ld?{nDX8SIb+Lul#MdGC?WUtByllXqQ6_{`}Er=BQW)Ut@p`W;mO9+To z5J%qz<(v||6J_~eoGXc?{ux64vxhV*peVoYD3m!Wc()<&f%`i7PL>KrEA~WQ>#SPV zRJ)uKLj+)_ypqpeT&%9PQfU7qA+c1THk26BzmgE6im5DiXi5pYr{{6r*bXyb>f597 zDwCTP4^PDHO;+V$sQBuM7Rh*0p7XEt|H3Z`-l#vJNM`-$giEj|wU`%Ri-`;YO8p&P z_XM>IR@T;j5=~~i$dE=4s}elL-(rch6<7m#Ps(ok8&xVQ7mDGUZwY`|B5ziNhwKf( zEqfHv4o!z0CABws;X&5j%mGSRs$}UGme2}taAl!j{4x3*w9?hiRaRZ?9`WH*YLSLN zwe}{`kwU!3)ieWDiJUjq(!3aaac3iD32Jurtfl}Izct-YxKhXQs(tz6D4_RyV@WU| z9^f%lFa~6UW78uNeNElo+356}qh20yt2|$XEH3g=u@%%NTd%fJQJ9+9#!3AgdrC$v zNP*4)WvH*FH|Lb*@h?!J16&$OabHT+)nQ?MD7<0s4r2V|%)v21sY{-+nV~aAG z#;16lxoMb)xtGDo@lr-L>sSr!II??XC7L#C?W2t`X8zLF&O7>Zvv&A?2M|U|qY8^c zd0uFfGkK9;3E@ECd|eYN@dWd(;ZG!^Z>-_nVo~vxa#V`0*3t~vm(JpVqJ}L@Tc0L! z_Dj-jy=58Fr;23b!JK+Rihk#ROp7%&bq2Ka%KRsa{{=7+3F#{m(M-$IU-NO{sNsG) z<;G#t-r)&2S(67~nbi{^n&;z`c3wHHRXT^Nz8}!}=2Z-F5{=T)l+E9CRtK0qAvw^F zUJv1YPk`!r?0w-)5+!UaBd+#d_$buaF#L@~K7d@0r@3{{)vbG#?Gqd8J%7q7<(P|q z2`wV^D}XhB24Jd51><4&eJVs$9>OwYMDvj;N61>w zaqjCg%eD46+)tXe^v*vjng@JJG86k|tDUQe&jJ zmQPDNC{V3ieEGe1M(3(GlUp)pO|rgb3mhD4J+?acbjksj&A=)oYHeZUoQjZe94}4Y z-3ehy)n3g}jnx`r@%+mN+-I6x(kZcaLeBRbK)kWr#TV>Ed18C~jU* zI?KLjC!O6kkC<^fc6xC+dHDnMbNHK@i7iHPbNZ2g(CqL47 zhgIpbjQFP&gW$dY0N-S_97rWd9R1>{@4Z!C(@n_NzE<@>4-k7M{|8Wc<|tdqzo9jV zkIQBlGa?^Sp8>BNTQ*;tcM?ooiy z=_+)D$yn78E^;nwrzpn!DS7l5mMICUSrL;M<9lpz0hf~J86iC^uje`0)NE^hsix9+ zShW2hGI2))0=|4`_+NJW2Jn zn3D1{xwpV~F}_9&QL;=0a`5o{StFsZsBA|M!-AK!YP0*RanU}J;3q~>ej5g6Jsh(J zh5LW5pGlPwCmHANW7BDwC5;z&Qc#d%bA{P9DO02V33IjD2ohIJsp0-dR1C^V9s`?e zQp-j01;@VluAHAs9!1_#^>Kl?Oiu%?v-|%5=Rg?0m4i&iSX`!EgOWx}iKDdd>Rk-v z0JLUis#XgCaQk*p*R6oHR$5c#zeEaE6I$DIpLCYbubit}tyW#nNGqCv#CO`ab5A4% z0sOY~R9Z|!e%dveHeZ={(uigN0OsZpQd5$o9ld$^{4F&xYKbfuY;eQKM@ooDUAXF0|_JJWW#YzCf0zfuL0Y*b+ zh5uWOm$zgDVYh)_dcq2tTESX5HkZ-|WUCqfOAL^RL->$~h@KDZ-?N+`IYNhyTvb=p zit9otDpVtf(tXs8VWyQ>42Ts7LNX_mFaQBLa#U4SOZJw@eB%zwI^XaR(GG=Y8v@Y2 zox3T1N9U>SaBlk?qU(WaULrEG1!!!ex1}Z`WS_R*-gF z87R$;SQ}y)_5!gz|~m(AGJ$EE195CFiw zT^_HDDxAdF307)cg=VFL3Mx$-SrgxqGJ{ zf%r>`%z>Z};}R$2e-Kf@m7=IXB-n6qb;22CQ0UwPtS$jg`a>ZpMD)W1vOAel^jL0~n#duPZ}VQeVh*dCoeFR82~N6 z@>`Rk+tu)#V5XiD093YEUACR2hNMq*g$ z8A>9nnRZuItd|4x9C#oJqb`geFSV{LeX9*;Wu45^%iYz>9N|NTaXO_g;pId+hvI zXON+wNHc?mpmc}-HF2p6DO1$JV3O!?K5rT@asbq9)y&4o2ZSghhV#}G>G{unVq43K zkp-QQ5D^_zyiDA;shs&>kK%k)2^pt1;7wW%hEmf7c#y417jdqf;C$iEZ?7iT2H; zNfkr$oK=n%3IlYSB+^hU#ZL*$N08LCka^DbRPb3U6p4TB=+67KOZuy@Sf#qt0Sjd7&d*9V2UR{ZArQ{w75lX=(Y-giG5N}%vi9xVQ&a7S$q#wJD0MOn(SJ#uJ;Xr!TK!KfQJZ05D zNsGyQ{FGym6aA&Sp2RbM5!&0^le+E?2OzcS4R;V$ahbS*lE1+yD6(^tBnksRst+GI z7`CHwTs60SZf@Hg*QXUMiKa4*ut+FN7{l@y1nG|~5TV5!`ZmAX)*~x?G=l}p907*naR9&00wAo0gvTrJ`+OKm> ztk`7A<^@+MSiP@48308VWI0W&F3e6!J5`_$?Q021$(xaalI{|i8`YpEw5Y&$N_R|( zp|7S!SF?d$BsCe+Z$@!Cqaj<(P&50ue~~cT5=3@tuR+aI&v3Q)l-VZ03^#&+byz+X zR+t|=dI-$tVfBC2VEkQmGCIkuuA=2q3Jf7G4HRExan~N>gdrVx^ibe|{!pltBaxLz zE^l?C^77)0@ZYPwD@BVt_Y!-VzyoyP=ppVOLutCVaV+8!@oDqHGNY!JCgvKE*^;`i z;<&1>KSuNLaO+-2T~GRD?d(x(R$SD@pSk|6x88c|kt0Xe*47a59dG~H|NMXd8lHDfd)pil zEe{6@3nlvvB#C(JHA*s#0Z_>vsg42Ag*z8(#c?7!;4j;-UsKfGPvF4CX`s{=5`n$1 zSPO_DyN<-5tCNN}%kRr$b*tr|j@pC_6hKfrmNZkOraGRlBWYXNe``){B7G=LAnUrL^xImTE3md!A zQpTt^jdP~nh~OR-2(?)od z*SabO#C`FQ^{}K(a#BHNe?l;OVaXRD*tc_!)ar@gTQ9U~iC&ioX$+ql>4{FE?n`W+ z9ry5;9&>2Q%4EeM9&$uV{z^Fn~b0k1Cc9{d_iP`IIK(C;?$n?etOq6MzJ7ubbeBBB)Xbq(F zO{v*r*`lS^r-Xl0e66HUQhm{^aSQBZ(scF8B{MIhEm0EVd^+gAt)Wz!mMjqv)~s_| zab2Pev7f6fO94c(5@5&NN*&KLwDv&`+Ax;5K~Hf-2ag`cm<#r0W9tO&;3uRP=WC7Y z=NSYJg`*FjGZ`k{RP!D}|P+L)M^dk`RS0hzR?3?KPem5QOuBRPhZB zQ`GXLVNGs}ya(dP#=^j6k*RrJwgpWo^b1&-v(ibHjPmd*Da3R{ifv6o36Z1Eyc z7RY*Qy*=$THU$M z@~|(fA=R=->3&>s;6EW0w*0W<8Y&1N?AB7NVhDK7f;`-x?ca}!K5VxLjDe2X+=A9X zq6W+=($sUoLL5*OQF#kZci7PI0_KD){>}1CJP6{0E38F;6wBYT$mvuUtXVJJ>flEGg>2EZC{;ISh`Ix}EMI|>+PWXZ3co)$0IV}=xAH1Zb{1&`lQ zuV~0Z8exd;kNucn`eT2mj60k)T~%RR4rCHOEr)YXyUa@JwL1u~G#r3t4+8MY6Eyu+b;YVl@n1C&qoLi1 zLlqNhMyE4eS(c3_b>@iYoNS&Gy!=oQHyc04nkivgqMr-xQHg#suYd`A%`2?l%8Bwn zih4PxZHneN!<1U7*mlU7#{DNCO9#N1FqBY+RB7aN?kH(fXJK2#PEq3rBhIdkQ8-j) zu;4JkJLCkC z7mYai$VN4p(2@McK)ms&c&3KN)8Rk_0LLbtq*cpj(`)-JKdd=*&aJMlo;-Q7$7*<^mh39NZnS(^0r*s zy4>E9u<0g&ucy-!k`iwJ@^AhEKUZ!jkh-Xz&0R=lH?MIAYKR(eswuXfVc|K5)nyV4 z0TBPPexZ)j*hI(ZRE&8nMh4>u9azwnq_W;0wqlfw89Rx%`=(W4c z!@lfqSso4MJ3UOOQ?%Ky--Zd|f+--8d!*}&yW>MBD@DR_Q~=I;+!(L%G~SEAreK`i z(0B1>kV{ARmZ?ieyKF$w1(x#CQg$~fe*1*P*Hi-(U7y@RG`OulvXt{9jTrg~Ceq-J zl6pIa37|i`hQXGG6kd@8WA-WmEn-A!;G!1@av*vJz-rH-IZN6bj7H0&eljBI8kRWp zDJ@I_nHQxY?R{~wcqIbxMm*bAQp&omYFMf8aeN~if%|suPC9t-=pj%;UoM6`j}p~m zMp+ad|C17!Oksm=SieskK_e0+UBIfR)I^~p{LAuifa;7<1njlD7}k5{l^{d~NqPkN z7gGI3Q>=+HjB8GPcnbjCb{7D>hU8_Pl<~*QfCZc|naL@K^49%7%cCJ6_Szk?bBCAA z_(xRKRBHAjD8NN3(ksmr(@YqNXzNj3PJW%9!aU_4dht%eg7WZ8eSoDr-EJ|LODQ1G zOpkK2O<8sb1;)xpmlQ%Il@#Z-?p?zS!bHeIkCN{zdf>@S`b1yI_<9PXc*O!%q6i>Y z-S&CP0Zl+uo{;LRT=QE|j9pEh#QLI#WoR&MrC$k^y-Nt-e;P~@NNq7nj;LkyYy3Qr z4<2Z{Y(2@01F<8CKqq_)s9aKmj_1R=M~oauV@2y+9XNVK5vwIOQ(bYVwq|qagc%D_ z*-W-p2tMuGwTF@5@bZD9hYgTv=aCdoXPMsNjQ|AbfaI)AWh;D9pw5vnMX#{QyN13=>lURMzsjisMQQ$*1W0~71j3j zgf1b(tm~6k3BVldZY_eEyjrxGUSG;GHkZT53R&4#>t?IrqpTk39F;&%NR1 zPh}3BGJpK9d8{UK{4iiEYqw#6KhYwTjPLf;IY^O=1e??dq_)qpdfh4_B|A*0 zaMFjAQITgrXGyDJas{fUtW2BLj+Uvi+F7$FaK)4=`}t3&r_+?Q^WQ}}{^rNrt&Z%yE2kq?;Ld~0Pt{8gH4RVcm|PI`&@-tpNp0w%J15s_Pc ztvL~>)A-T3NPt?)2yxjY0szzu=^_AMbQFw7jnW24ho1U#dOMbe%YyQaR>t$)UZhP?rDSc03gRPsdi@pAa0Q_dyyFd!N#UhVor7`QYwcH91lgeH=6BOTiTG+gUWX1-e%H> z|3zFJiwpAmmO7oK@V>+sjDIz8pp+%mA*ApqRPL8Xp(zjH38v4V5FJMmvAXPfL=TDGiMNBv@#yAj48$nseG%*3RIi+beODEvMiHDgrXA=OY)F#l6OoJNC?+d!camPGJ0k)$3rRS2bA6Mj-Ut}$|qV0J-P zHfDEI^ExZ1KAkBk)ycaBp;f?sG7Bwmt9_1)=F>ax*^H>>XTvSbv3&{`59tAl z;29{Tf!RQAM3Z(l^5YwuzPF?VD>tT9mCVp)MI=@pKWH}F1#e$~yg@V)ap>5Qs;W-U zkXQl=&$V-?T)4#x_CWOC0K7S_oD}0iTrjCMYsR!lOp*ZuV}r;?sfsm%>BC=`OzA^q ztJImYB3|NFa-U3-3i3&||6vU$hvKqu%e5pU2avIJJbM*B`9(w+>p7*!+-B;IjUDvHv z%ZF@hleNlK{?=TF4p3B)gmfH;0TGHg$h1|YaYu#n`CiXq+&+VdgYn2ese!~J-oI;6 z2O#8^j&k^{80U0=7T4SmlBQZdBBVTQU|DMkepAVKW{ataXd5C$Me zH7~wG36nj`eGv#n1RNmk8UxDZn*`v<)qK+lGV^`==k<0RA1q7OCvW_-?|jwkRj0Nh zlzKYm;cQA?j4}X;s+`RRc18f{8U>@9SAjsp~hw> zz7iVRRQ#i@a&5y2-OOM#RNFkU*Xi~;T{#(Qc{o5`Mdjb{3sg@G!iv=8QNKSPgyV7m z)ZyI*Z1#IXCiSFp6$IE+vdl`UBz_ThAz2HYWW_%i9F^MQMn$#e&@9^o?PR35Z4;WA zoG%l5U>mYUpi~4vzL|>mW6SBvHuO zM|*iVC-R?k6UkyyK>&rj7|ZHIPyIE&P5E-OoYmZ$!W0JC>D)}9Sonm4J(@35*H7?+V{EN{e2 zi!6vPVM!GaB;Wr~0MMJ-%QH}iN2TYca@8)Tgq2%X zy3<_rYDYw`bx7I^Cv1d~(2-?it~5~4g|P_A9Z*6&Z_OhBBqDz=LRGowdNAb=O_@U*7#&9ztCj4xCarER05vsg)Z7S%$x;q!Z^m-EfE*S1h|5CCb>h zbFVJrPmV1PU#6g92HB6a8idvHV*H2rrGl2gbbFAh7UOO>v_-&vw8L1$PbDK{z()z2 z1+sCj$tN@*0QlO2f16XX1+l!1l=9h{wj#H;7;Jh*ap+l+wjSv@x9`69%Q`>)+T=(A zlvRHE7%hL&ykbUPq~skJ3n)*gv9dx+9;0Qz(y&kAvYp6;h2H!Uf7)6a4ggRuDebm9 zM6?uEbs_LcsbJTYSp<|bW4sV@)wLOX;8{s3~T&8LCq+Cvys7 z7KpJ-#%)8G^UNpJ^x?*a=Nnd)mr5Oq>BXjXsO)w=<>`#Qdd4ebCk{?KQ1!IZX8ECL z2t_mv5gyHbSpPf5l__eObLw%H_j3PzCsaSxlR&9QNG)>Ok>{$w)P9{&r-iWPA`J-r z;ZWWCT}bvkRaS}`mGDK4cYPYPK{PNK|G?bp5#I=b1LkbsM4L^~GZtP9!l>ATWpayk z6x(hpQPypeh2^X#HRUsnQXUwRn$FAESlMsfCEHjM)T2k{rmOHN%C*S*;`0M3EhvbiMkRRe@mPe}*Vxhq<8UTKdKKL;lk)RFS@ky$LOird=2{M<>KZqam<`qG zX{WI3z!$ zpONvldLBVkO<^ZfcCKTzUC_YRDPCqaIkNuh64`gz=60IY{pPiic?F@Y{ydIN@ipC! z`O7r8f%Fwd-qfc96hhuT9)ND~AOty;8pD=x|G(v7pK9v1JFQmhGhh7dCHpR#+dg;2 zC6_&Z{P=JD-fy>Bt*WXJVMlKs0pn$xd@G|_g%N2bLw%{A9uL(BXuJkW-r2Rc$d$5q zS@g;xk@^}#eHlq&(R2Y+p9Pv*GRl@W`ILy}PaQt;RmDty;B(wS0{GmW zpMD#>V+xH=rzbmYIc{$y*mNsnrdux+xqaZ!LrOD+hh#x9ohZpLK0#v`oD`vkoTNxo zI8r>}WNKyX@<)rd85#)u<_Yb|*s>2%0UcuA)Fj@%7E52I0wC%M0Y$Q=*F;_# za@RckCbEt;RMhM(y5z+UZI-=RYFy*_grv>w_q4bzL4w;hT}%dcDSK>_ucQ^Pn+pIu z(c$nDks4%6u6FY3i@NPj00#s)9S)3`J<*m}6Xuaqz2>w#*8ze~yE7V(l7a%T4#%TT zyW`0ku8aVnJJ%VmjHGJ+=5S>sz3?w|=Q@Be9FM#0_HaD*d?oz$c=8>R3gRoFL~0nsg#XZ)~@eM_{mw) z%22w@f{`>Qq9Owk1OOp6XD5$us595`#OYzuBa(2wlZgS?fz}Tb!O&$P8&=&@^#TpY z|PKAMgNeCtuNHvKdnHov2 zlU_*2!D<85g^_M|XeXL(y7cmpv%16aFyo#f1`>rpd~>6;%X6vQ?hHpGEn`v}DetlP zP*>Qod=PIS=SaSeA77_1EdWnjsrk;51Gx+;pOSRn+H-8dOR4~Yj?2aJa&2i zH@*e{tyaq)WQPd7c2{kV4C@_RRZF&|697rHjB#m;4@J#>CPaOQr_VU>=pnng5B*h9 zRy;O=ZR<*lBC0Oe^Y6qB6hH~cP4Q{rIk!~K(jGl~*OZshjyFHgXKGPG_*fZA_R4PE zw26^@Iz16-TKseH;N&TzV>4+h!=_t|^d?rn=`FAEiryiji)RnjRLfCHPT2<{y>T3z zbAmtADl48!0zd$AC( z6}zB43_lXFrfJBMPNr;j96Q^J^-0)d}0P9yAJbE}S#m$J{7+tiYPf{X_awvK_aZ|EN zaBV4}db=r0x0lx#sb1*z7=jG14aY)y-)kz1RN9O&j`~P2at#48srl$=nFTOCPRMLfHW??4#IyH@8 z!klU1w!slA8R1imR9FS7wgV?JDMj?lDd|?GvST^V{#fmd#ZOB@ZiN!5$g_%8>kJcR z61f?2i?df!BFc|EdN{3Cb+Kt;>vxM;#&hJqa*J$Tf=2skD>Sc!GjmR3T1KmlNsa2RiQ5jfRX=`7N! znlpO6Rujl6II{_91r2nXx=`bf0OkTEieL9YecoddbP+|H1_r&I@)kr@28ghuw;-Pc zz5N)Bhs&dZG_%m1@3lLorwRo=4ce3ssZCC{cV1aAhzIu$bX-I$`T*&BqYFaG+G`Fn!_>JRHU}q zB1zV11)dulj?<-VGp5L(mIxrDR`hJ19OJgoneVCPTDicR`J{;1%w*IRrq5NWauIV7 zohhhZ*P*P=JuRtd;_Rjb2xhs+=8bWduOI0ODap$#%mQ6oUGo{Lyj#C3?RXTm z&)dG1hkYXQTSPYqEE;G_=$@uO60Ql3>Vj_TpM~|F-{nOi; zUgWgj#5h+9FEu&-Qz$zP0YQMUQy#-6QvyK0|CIa=`ZJp_r;{+yfQ8+u(sVI)Z)6B& z&kW`hwJ?IYW7{-~WGA*67A!nO-9R(TrbNlbiP~f{p7eqFpFW+|2u?BWjrB|&JR`ED zCun~`EKd&1t_8v7`V{L4*8l(@07*naRAUzk$JlLvx1yaFlt0h=i&-;94^sZC`NKjS z88r=r#`m9;$ZfjV6pdFCHBCjPw6by5fhPvF)Z*7jx&4X>aJFHlaHbmcn|H%DtY?#1 zg-I2DVqzj>66SisTP%wv#`H~qqvmnRNH@{dT-lkWG%DIeod{k*5h9Xfb`pSJrLjC3 zFr*mp&E?Sm(Ro*D^V)^X5TV2ZiAvMcmQoQgLua0wQyt0{ips|uWO9SVXT2t^gsRK^ zp-6+aE?H$JQwXzkgyp@(hLln8-3fLlSyc4EgZI4_%CKqEHlwH0|IBF%z@}RqGu>io z3b*gL>x=vjZ#D?20Z9v?KPHchMVic^(SaIEz|7IdQbWmNxrSs{44R8Jbxy6@&-Jsl zDa*rtuie$pd!4RxPOdv#9uC40RLO?+*rRDgBTO5F=22*JsghApzD(2TG_@}#wMb8~ zLvb(*t_5lmQ!23Z5D;Y9rvH=F8>#eWu~pI`gmCAD&XPux?*z)dFh#&R0fsh;m1ki1F0dRRVNDoune5Iu{ zg|7Z^ptN#qD(4|KaMC_Z6-9=D=1@hGST>Q+#EPb@(;1R1VQJ4b0MvEuH+B@aW5>2_ zM^jd3&po+`8a1^w8!TTi_b#TNV33_iU`lPiNEjZKC4xabbcR<}Qesvv9nCS-$<E*Jc$7<^1V!IhMD@~#f#oclifeFtZ$~sKOo>li;RBUWQ7`6!LUZnbDGYj z!3Gdk70SQQ>y?zOoxpIKDTCd$b-d~dEH{X8a+phn)LbtbM zdWy{PlaaOxNWBGM(=DF9sgUw1PSX+UuRVBQSe@?|$zxPx$c53IQfiNa*4yp1(Tqh9 z>>31AOYS{%B@m5Yz>gBhMQe)A$?>I7D?66#6aaAI@acn14gL^!dLsZiQTo2u%23Dc z1Q54T96EMnapxXZ+ysCN-QHj{WG2vPW$df+$Knjf!*07vKu|}5;>iX8MC8B$z;Hb3 zb-JUKk>5)@9FID4Z36N)Huws|%>by6V>MemKz*efj>o-@K1|R9g#>{C7;kBqYXgRB z2lQ7vM3AoOEPveu5#~jP<58#G_CCpQWz-9O8TZ;9QqB*eCoil!8;nMsxel^=(g;E67tSC{ z60b9jFCIL4IHBRGPx5U%alHY6F`To-WDHkERps;@DGR+`Rk`JWW`?UuW6&I7JRpB| z7$2G;2EwG`DB6d^mGPMo&%2U~Vpg&nDFqe@HI-$B5?CYY)O1YMqK!E~G9`9zZXZiE zLGu&czWznyV#%L52}!k>zB|4BH0j=NX1Y^}n5OZ7(hGSPno?0(bZ+=_d~#fbloCER zg{!AaRzCKo-aMW~h zQ#CYb$~<91ktaz=p9mL&f=TCpbr#xJRJ$@{>0n`b90>s%hS;o3#Q1+gKzqKa|BnC= z`BI~hh3XxBf~fvKMX)ti#hwjOoSW#HMhpS^;}Jv|;>y)gVtndo$V*m4Y9!h-!J#7n zg1ANf!rhBpF%U^~N06Rq7pb)8gd#z$Vgz$AT0Do(9Djz+)q-E^5E~JomJmB0JK#A` zL)<>^^gSFk^9Q9iuhy}4`C+L905v&RvqT~2&G=e&^zo)h=$zBL{-HT-6)f)`M%ohG zo+d75!`Y@=f2rwK>cDhvzv-u6<0TrMb4u5nBbgNdNkokYJge_#N^v8EQ&fUoas2&` zAUP@poX2eefS?xB1%Ptus=s^BobV!4V^SMyXRz(0C%i}&vW*NG=?SV4Q=aek~!vS1ln|Pm6~?##8~fk zx@#+a)z76n*+Ng{d2BGdrg$;AlREu`^POID z1@>q>3cK~EHG*LMA2k2wISDn4gXjmaDT15g)4ccU`G!F)q%;>wP$^4A<4Tm&u#wG4 z5ur0no931zOj$CuZeF?hWXOFha}Gn=PY6RGBTg3mU|2Wz1xE@t%foIwQD zu*SP!JvGim4OyIun5h)W_++Zx(n(?lJO2T|%!sDXrIfxes8E9tW$#-rTbro{?>)Sk z)duN^u#cK;^qa0k^E7p!N&TCG=1Kw!czSAe0aAWO8zvke8x2t*wn9D~+GcS`7?~NJ z9u%tL<}yr&J-ttYS;L2^29oVLq2w#xw{s7o2 z71eb--qdk*zB~P4|HLpH){hQ<@=b4h?JK_*n$y{j<=M2w$kpjAVfB_j+jPsOt%O=^ z>|crE78MmNhqq_In-zlGQvg7{Lr8jm1VedV12L4j$!uzqt$Gye2&g5STGJ3yfd2kLZhC33Of_}e9k!4J01MsTi9uiEwm|%a zHf+`qhsU@A0U!3ylW(fpShItsJLGq$Ab%T0fqF66wfJ#f`%olrsAg0nm}LN%#VV;o zhU#7Y@t(f{i!&s22Dy@UnAhgJR2GuSm&W*m$p*Iaxt$E#ozQXg#WKuaNosTmdWkPwmdXTG~|hY)*lTP zIz7dpw2$Ci)|R!V#lK$=)fr&MngG!G;N3Z)DYhM5`Jx>gR(>v}TNnpvMrAYIG6 z>7WOoe_c>zN%wIlplth_A-N|HpQ@fLPbaRQ+Ks4|To2w^VKBHfe-yaQndTy$^ z5)DvL1eq0Uu$+a;bP5Auh)4B%{1qb0!;~jI2vG_&sp2vz3L5CoaxBqk$S87O#w%+s z6-*_M31^dvbG$6CEw-$hGXPMopS4)^+8rX&lSeFaqf?tJ^ZUZYCrtk zmJd@g6hA zn^C4Z?Y?jU0f-$hcGWQUF!y?ySak*{_c?i=h-h)=9u?B+H$x5D!}TwckQ57;f0Hv1 zB6oPCwIIskTR_7K?uxI=Y6cGEC^{-J_Vm2cpaJ@&sF6f6t;E45h?69;k|^0?U`|AR z;OqBIhfTM{D#(8r>Fj6qSq+>r>~#-ile+XDhV#e5Sh^3v$oz46WlH|+{_d5TISdR5G=b~MBbd9!aLGq7JvlM+}ORgbtdt- z(4W*3`ksdY@r5GuJf+Co=0gf1v>nBAI;rs?a)pY?ntok2sb`@(-HPP)1j{}>(OTJu+E6kuGML_ z8i@en^U#n&4upU*c|ro|s!eUWY>X9XN`Io7GeDkVZ(J-B-zf@i(uthlFsXCUGiO?J z%2m8TK5-{rq4g*UX%yAeFFmqZdy1i@U^aax=|L(Vh7x(mPE6u(#p$0C3~D&D_jOOf zFeL3q$uuS0&?nD}S~jQ0ozlQ=O=ksI^Q(0$H-7=8t;9RCFx{DiK5?mzqi> z>1!sxng$h+5r7lV6G4J0sa8fu^UXLvsv+f2g$HIRjc^K?1faiLb5M}ft&-G`qr_JGd2n>004acuRrrv zddJg<(^-vg-y4<1aFxRY{+UNc;w(ibzOVdul7y}AOKA2i8o$|NEpA~kzUpB z*Nw0ZA-k_10m64%GMo1i5ug^&R`&Y?QH>5U99v3$9lpFbiBnD+KSU&~C1BL22Y^HV zw(9k4JjBJJQ(gnfZw@s~M_M%_qWj9$R53UJ z0@VbJo9g3b#TfgZs*@6sAxI*1zKoD24aMCMjLjiLrbc6qA6nHE{#OK&*mMX8S!L_wZpvb&hF5+cSEi98lI*K<>q=o{rk&K-!l4L1z z&=PA2kME<1fz2f~WK9JNWN@Sr+btO_vhHq?Jj%K-B~blZl)yLwwU{ zncvY(k0Woha!*~kt_m~KKqRvs^$}X-ssuwco_YaNQQ?VZk79PvxvAWnR*Mm7bl02Q z6a*kR-d~#3lWx0fCJ6C#FU*=e$=mX>CMOjJv%HtX#Z(s=FiTUc8cpU?ineg34quca zk|xyfuoRZ&iIJlOc8SgA6Em_$6U!AE=iibHfOA9&jSI2>hxc+s zN!1y1GWs(1km_7okFZrKTuZIIr02hMq=@~d!k(!NGqT~|0U0tCa<28Q9bZj$ zhJsn%B!WSPA%J_09R*)%hD*yy>!#^o3z7nvdMKL0?5K&TSklfK*fHfvh{nrC z$eXH?Bxl2r5tB;@ft9Jm{_6RW6^v~*8+T2!)D$@*4sVW^=h{W&J$KB2XMLW~bgihF zb*IFB6-w#OmSz$d4Vz|#Q9pR>NG^iFVy+ID%D^k`5NMfH(x0dxOI3U!H3(@bF~@3W zF)_%K@=vdm%T*ibUI>xdgiz|CXob<#rS}OnD_`TPQSz^9S7lJb_5|^?$_WyFB!-x^ zCFpOTiXEx&sxq<0vhsrXl$3&1UU)2CFf`gumQ0x^Gr@oDX>X`$)|w1?p$D;Ph2`nVbiUTnf}8` zUj6*x`+qyAR7A$x2#7qE3IIgpoRgEDDI7QgfP4TmPh%nyPz(|4ns|c+ipC@&hptx9 zN3ArLgPI_i6n%UN5P=hQiWZy|+%^yZQ6D4wgUS$lkC-h!cm$WtMvbHwqt-D%1q8ps z6Jt{VKybXjL`1z#H(1Z;{Ce9^^ZOE?0YsoPRH!k{m;(%(GE4RL3KR9JG86#f@PRn) z`{gnymdEU)_5^!^6v_$A9P!xrSD#e_NEuaI#3V5KsHi2xGgMn$GW%}KbEZ60Mba6F zyh`F>!EGbSk#AgaD2EJTXl?_q0nq$W(>#^S>H~Mwm4*j3PYD z0g+J42l*Ef1y~nnJJe*`i^PLCF{%{5qfRBz?SOH{r6F+#wT;i9F&kjOloVXB(9?s* z4ht#irdT~5A{8d+&;0!OZt!r~u~svkm_!+Tc^mW}(bdFd}b*^N#nICUxCwchi{#P~fkSVC5=NWhwZA>W8fAiLQKtoaVSnI`0Z0hw&~l zX$`Fui<=rO$fBVH4I(QBY%V3Zw@8ofY6C7qssh6w%1X%ya(N9X)(#(7fCl;d% zt5H*)$jVX?E&h_GG<(V)LkaiI{9}8Os&`D^SF=cWn+f3YO_`sK8l<$9L_o5da3~$s zOG>0SV^@@LqQVT}ccNfpTsH)^r;vz&e%^pTqbiu_2-|hj_f*)d(ufaYZ5Ul6>1Gr> zqmHyKv)zf0%xO9e;G-RMJt`J5r%X%R@lvtIWc7PL@<##V66j69EtYPlBQ}OpA-ESROKgMP(Mb?MSa$D>1+o@3 zxH3dgQyrD8_LhOaXc0jOY)CY@)Mq|Ha<6>p#I+3Oge|>zI;4%Ao#&x8ZtT?RDFmFI znH9Sw{ec+cSQmlaIMN0>vNE)j9ulBZUHe1B{Z}<$^Q?LrN+$LmK)Z%22-NXR>^4OX zM$d_2ecS{26CfbbIoU*!rz*wGQIr+(_0~y7AjB|7*GiHlZ%U3zU_PIB+s^}I2ghZ?7%n^fbXti3vfEGPr zf|wPPlAwA*OGwSIrtl9C`~qOo10*EW0x>}R0_v5Ob$HBuueLIhrbqjv3D zp(xcTCB&*#)QCNQ`TQP_?>~7Y_wmZ>-q$^^d(QKm^StM9D0a2??s1}iSNfE$?r~r(Wl>^`JKZ? zrgfUWdF)UI`yxU!FC6k7m{I{c_A~9*%b(unaFBJXAePsjSviCLGWJV|Q_hm0i|?u8 ztl=mBX{~>ExmB(sVP+pMGyNwhJML+MpF2r@#nX`r=l9c;W7IkrTtOM9{sZ~6P53qs z<$Je+Q)>GNhw7U;sF6p3NQJS<>$3^UFzovq@4UwST1*b5bB9(EN^%^2Ai11t&0f6J z)T*sYyW6^;@iE)yB86X|=yXr3i{{ZR?%&^evhj5jyZ1H2jun2v|Gl0OSx)o2^XLuk zyXkE{0M1s%^!_V?5_&24BFiCjnbGa?g$wsZ5Yip>`$;eW0QeK4vaBuM8}d^n!qGG{ zAbKS)>)TD=4Ug=p+4AoaM*AU_u(_9UPY)g3NxOGuSG2{=m8$xb9%yh$Y-jRM2e9u7 znx=g<3oeu%-nHOKgF8BJ3JB-aYm^RXY;;J(WiP+IwXLFm<~pC_H9l1%#;@d~#HD+c zeI|>>lm<)pF75G0Ir;=!DJ0F;Ab*?eiqW#y`F&^i2L3#|$H@2aiYx#mpsW3JRYke( z=izZuiNM>i`tfa0x!#MYno54t^}aK$A%^VTF_&>?Kc>IqNQ)ftpE|UAna|z%^f~NN zr==ODiKvNz?e4?1SDcM=GWPMPLkBTw&cTCBRwo0e^FGzVw?j5TwWP;2Y^Pg}mu_6) zA7)B?LN@*h^Il&5%d=;*68YdASF2oczCG2hGTEn2s82}J$fy|Cj8slQ%X&<9d|WLv zPn!t}>JuzmE932jqy9#{W3z93?|Xe@+W*Ei+ice3u^CbOOF^3Wt)G<-uAAj1CN%B5 zOD+=4|ET1fn;37pBe+YGcJrS!{|(XLh4&wLe^nGO6;>S_9{T+E7}H{wwKm63c<-}i z6T%f^-u`-0261m-%*x==imk+TLmV>o;8)H4w=|BThMiRYpG?K)l-R#QGAou7trIp( zuQwOa@PEANIZ%}vlN2B*Kr-=PeZBH{CRp2ZyG2pl{dQzlkG=lGf%rLW$3@O1zGJ`M zoiO{dgS1}dBX+TxaFHW<{@2O#e1|`#>g=HE-w6+-QS}`xkonUj=}hx-vSYAW*L7ZH zlc{pm=^|W7mfI@IPB7MS_K5KKOsv%B%+$jF7Pc01;2$)^C_!EH#lDX=wyk;*O7%-) zYx>5Yl!Jo|Rz4$o%%R0Cvrqw7)$6&jkp8;O2hmVE{E0%)(zeUx-eKm3?$kR37mdLW z9150M>zSxY`@j=vtShy7dhRh$EqMAh(yH(NWQ*a*UdGQ}^Q#Ay;wh%qI$o3P#tgGI zVh0nYU?ZiQ0-pmgZ^l57iKDS^KT4=&6^7yOKjSvvb_G37xEFNsB)fGeOhj&rhEnKj z;}$S2BSo<)#A^tsGBG%}^DDa{FQqgu9LnYB!PN9c>{lR7dI;ZHMr?G?cF@8-j}Lzv zZ~qPbOas^+D5IWJ_wkzK>}=;_iz|<8KRYs(eP(?Ucpjp9nqqB5rD|ofo{Do z&G>Thhd^2eS$ydmYUByM@$vUGVKoO|HdV1AWXN9KLTS54seyiDcw#$fXcFfW4(E}s?+9hS>qo^xm7VE1slDuRda)fR2+M;jxX0tQZ_yI5M$M+E3)rqp5C6HObj}s@X050e@(PR z?VT+IXeb@-*bI`c2v&r&8}Xc%h1uU88(-()54R7o|;w<>6p%) zwJ6w?GXj1u>JEIgT`JuOT1I=*_RYz8;GTcUW2ANEe(XXxmlq<;N>TmWan2i6-Bgd5w^eE*%{pFXLfUsLJw%om7Jy!0>th2+h|L!Vkrm%|GZ`e3_J6|G0$QN%MatX7F-hMYSK4X)>u>Mw5g0sW5qvJGJ^RRw;*u}>y% zR_!W2-;qB)Tnh|xV;O)vmxr%DGfAm5=W`paROg?ZB*ryooW$hX9)nC;q#1WI_2mBfC*F*gf4>ElC{>%r9S8aI&2!y2T@8k;Iy9(S+PvJ2iO%<*gqHE0 z&IMDkv9|rQay?@!n39iM7yZt_7*gaCvadtX9_b)Gs zY5p;r@d)7_Sx;_v?>A{8CgjIei{+QxPT0VX8o}o)WKUI-)`imXL#MRod~&NFlglep zHG)c{pVzHwBKhVrwBcut&mPvT<;3%DZdBdBy5_T1c3+eMCU0Z=&N_hlpSZQD-d9P> z{v?(jbFLsg#`H$gV(u3HqCAky!|%^I8@wdbUN~LwttcRS~un*X~l zqsw0zs>skdfP=jV2YD|q4m?|Mr}45(OY7P{{>N>DVIF^F@ke|A1*%fzH3=EkUw67( z#PDrYFhbn_-M&Kdt16TL0FcQOh(!LC>R_sb$oFJy68=3K(=#Hpp- zT=DF`%gvC|D4&U@v;zs#gfxh4-jV0fZS}VSdxn$6UouX(9_eL8pC^b7efumBqR@V% zo~o$G;@M#s7)br~=EEPP7xTJN@BbYwa?Pv<31?~dS!`0t@RpmLplduBJ~2){5opL} zN8SE#p>fqVpgDm1mt@&PkwvpM@=m>aMc->NeSZpppWXYi!VVGSYW?8GNBsir_|f^M z1?E2^M*kSbZ{DmqG^Ymii0Gfctz(PPvk01V@;_yKT6#16{4gz1>48_~+G6<76DYsY zsT=5E&-p|?y$nQq_DFq6JtE=dFZQ%EJa}sjR`%GWjOWj(1=W=T*HnA|)kXczVhvW) z!XwF5RX`aU~PD`0cMjixUq ziz5?e?&tjyQZ#(v7+^_Xqh0z%$K-8WM$*Cszfh0OH+N41%_j^}pPMbTZAXXwjMHwH zVI(;xmX9YxQ|Ikf_+M5Q9sZn3IVQ!J?)ZqLlxYmi5yr-le6t++H<|q}z8hZScMm(B zi29%}p_)?)_mJug9l^!@wP*WKiC|Szsa1TMy8QD~P4A+m zZ8eh*_@oIA;>zj%=;)wjCoP#9u_iBU{<}7SO?i>@=V1x&OsPv-GS^G~&{M3gwery3 zvrSzk)u%kTT@k+gS=DF5K_R2Cqk}MI`CtS;>2kqr_G_1d`iqX!JC`HMXGPN6FF6dc z*bZ0aJ_&5X;bL)Xz`t{&0N%cxp+4UiXCu@=i{*)V72bcXADDfEUhQ1T|5g$a(3g2j zazsG{b^Kw(sSWh?F*PsGV^tAbZLd$iewRAmS^|sFxvpMrJDLpJ0@BPClC$o$eVG-l zyY}JXY2yY}A#KSc^(SF2y^LjMic@EtGF;D=`U_*f+;K7}?JB09)x601J@e&h2+nOo zm8&iz|8Wh@|6l15*YTSFDnC$$+IV(W?A)k5K8jL(_TsgM+YrG_O=_1!wrL=SPfU~y4pYaLK7^AlS&M#Ubg#(w|gNk;0f>=wCF za#*PP9|9rF{-4uzYf;j*BGH3L;DAU}D665?lfnVYCi>)c8<3=Da)qmR;T!vg*(F51 z*`(>2_CfNh4rHP>A~{CDm94H&Oy*H}`Qm(cUt;y01;wGCZ`AXrcXydUd_`w7>8|c~ zn?%(560CmJM?NStzWQE1dtiAdbNv%!Mr3O{wC-sXy@5R6lWBKXq~+zQU$No{Q?hx# zV(fN`?18bMrhXEu9os_NrQcp$K>^f-ze;2`pGR3{zkS<=%}(X-VbB42vP8Avsb+8% zP6XvN!ZK^LyR`mRdBj|``aEdO>Ui^%L8Y}F_4i0ZTD5H-d-8pE@7dTw2Jq+r`D^ct zYFitf!J2Osen`tt`#CvTDBU!FP~pC0$ztN)wCCZ+s9x8&?e)^~3^bHR-t%RG(B&sn zo}<0(j8}vKAr%?lvzb>z4>HO=zt^}Ak?d8_@}>UUG|~+EbEN*rm@Z&UCo4bZ?ES~o zC<7*br|`k=2=8kS8*($JL)12IDx%T5aEJHJWJ96n7mA6-4*S;dTgpaeS|^!puDNn$ zQ_*F|-7OYBsZMq3X{*r^LCa4PV{&`Xw9b;oBIfwjf1J1Z5RiS4kgtF2^~g3hj!X$% z+oQBW+Ax(0_*4e^7D@l)cEYM(WZK2o-8)ZHJ2>`t7r4q_)w{B$Uli83TlXm$dJDWC zHyk-|E|KAx5vlvf{N?@J)`+7zEWoH$B z=;}>3i?wmCT&%t9GW6c$uOCTvz9?^gxw?+f{wkJDW@($g)77Be`}MD|3m{?cC5?~4 ze|7RN-7>e694krTR;*&v$=3fB!wz_t0%h;By?+-gMYVO!*)gS! zsfg-vo}|wAgq@Svm~Gu>q&>~2otfc?eKkC_e2l7$3ryZGICliplyR^lbgy!J(V10S3- z(cqHd;1H7zZ1CIc3(iV+UZqrdy<_n()u0gd)0s8F>_%+V>t;JMnXn?>DX*8}zpvL& z5=n|SanCtJqN_J?PC(0?XM6(G4eHDQ?@42)20uD#f~<43K{l?fH!#xaz=%D&a()~s zIc-6BF#T1=MQ`@EEe(M1z_^9_)WKpJK z0_e?FQa;tbeh{xUR0lkn3srK`1rG{S)djVDH&d)zuiHhG6T0Gs)D%7M`08p98!1y6 z98WS*!eyy{709S>C!$!qfs?by7dAC~5(qB(L zmqv!Fe)93z7gf3sYu;DG2L&6d*qLIi87+&FUvp|IUHs!mxG?I@4 z16tge4;xR9-J9EHMlw8WU#zSjANX723##l9fAB6U%^*+z&w|NJ>8Y7k+?G%2_fb`_8_cMQ(Rrw!V;bDX_>IzIq&Z0ZB5A&@2B_ zCCMe=YpcPF2Ue-J2Cw#a1U9z^1-G=m$ja~e@kX;!lB>w`^2FWmyAeL9Ehuz^+e$N{ZKAAgIAi|)sndg84S?v44NsL{pE6x`&87ob*Ve2n_@f|tfkv%f7a-84MT?=RC_ay@>RqKiA^q3Ot~ZuXb)Q_L zo4dH)Sm1Mdd!>{|pb+cZOL>+Aj-P&y9p@XZ5@_F&YN0T{wMK zk$-NqWB;U|tYl(+d^YoM!Yvu{g~I)4LhW8R_=#T%3vy4~civ zABD9!5wg*f;E!U~lYSZO7Tyz$enMilZ-4K_o0qS#0DjvNDqc16*I1ZSr`o;tWtL&D z)}-{7a8T$*P^(ci63Nqk;|;s~&EI7)Q~=!qjqj1{1}R$r_M+F(*C`dN9|U!W@x<^9 zS`mX2ib;cf)G|S}yTXDpK~5{zw(lLx6sRuKWYP=%jb^2DCXDZ08Khya?$$@F8Bt2Q z%8W~Y=6EUi-FtQ1Z!e#K`+77V`?$lBtK9yBI=~ktUkASx}Zjp^9IPWdE};|`m*owk(R1=0#Q0YdJR^aWaE~%#c}@$@XuU0 zhVQVHzj+PDw)k0>Z5+Vp*FWf|bcJF+DE3J=b>uq5$^;Jq`VakfIQz^C<;))sQr>;S zw^~xDZhtz?IxY@Fwhu4Wj~bXm$YlbTxR)Icve* z=)@FEB)8nseN!k;iu~Y=10d}m4(}ry^HNStrp{9kwIL@8 z4`P2Pwz&~2YP}cm=dBd}>vaV@c(HOJSrh(^F?C9`lsn7ES*Q!=pzaz9*XDj(oQQcY zXtRu9p^5^Mv`e`S5eNvjHYe5J+zx6>Wb-y-H-s*=Sr?iBsX`Gj&Fg}+D{aq^0WR!O zC{eb}Ue2VrbVN%_4jR6|sJZ>WILLlAL> zNNA)d2@iViDfDQ1!YkdXx@7~g#;qnyoxe~geXpW^PXu6~An+E`bNA)*ND;-~4p{~sdFQi=|zYFpK~e$DhFxRkg||3VC$Dc zJr=CxUQ#0gNr?-KSHt=Xx;RkyIjj^+PAmD_I4vC$=T(3e3yl709BqGBuCaJ8nA(OI z1HVcI-(9ti`&#s0f5GX87ZYC-YIhToKt@g7d`q@eb^)EeLXX5UwXFW@?uJZVLS5M+ zI6|(j+#;sF@DQS9PWVG+RCh%>LMR2U(N?b0<$v_UR?<*IFsoz^J8CoS3SA&;6bod- z;^vTPBG+#IVyF2iBjo3e7*Acf9IbahKpr2{I3Bcw&qS;XAD_L+whF@veY`6O>ib!T z;zc8A5zr_#?r;W90B5+pNMeQ~Cd}e3M8K+83kFbQfgvQLIN<=M*svO-Cr1W#O97u* z37se5&`}(_XfdiY00FS>lIjDC+I(d_e6c^wXckRs>vnBUCM5VtVh?5 zZ#F+he0#1{8rshw8N~wobA0vN){q8rJsKcNe{dZW4!UaiIkQ^)5v=AGH3DM1{TRcU z&B>5T$2lM5W(wL~TW}yl-R7G&Y{Ktjy(%+V& zfk29KdMIXO;15A;zr%z#0@Ok`<{(U4=BA%pL`=_a4b6%@{;$Dww0!C?_2u}xfW$7b z=*2H!A*Z#QziYnXt{PtrOGauzi^m3z=IY0L6?cc7iCv^rnau_Xj1seGq*c6dBui%$ zNS9FKWi_c2eP3Up7i=vY3m0X*j=^;2Hf)OW%g`cVHBNuT(=bUzPp1Mzu%5$Etlu>n zrmZ_n5NtWXug>`cC{5-Yk?|q2>29rz29P_Pta=|9iQ87P|og*>-TJOyuWla8gGl zUhahKo?iaqzaZP(>o`7%4=1+=p38kaJK1-#+fSHFG3cO_#z0R<4mys{{(m!>aB<$@ zR3Q|LfFa=h`@1*85TehK^k5@qxLRCd8*l$@Xd|0E;+tvBYt@$%q06c{yr4~34S-0DTD^vKJ5W6fzbW0G%!8)`YucYBEf@!5AALPsiTvKw zBz=Cl4=boS*cb(^um+-_E$DHyxvZSi#8PGIJDBK9HBAhr(`Bj-@n;5?qe8El6gdVM zZP63sXtftMMt<|)d@HJfO=Zikw&bfy6r&$0>b24}@&51GZCcS8_t%_^#gvJ+o?^4X zxyDlg;#ex$LZGN9iX|PGD$}Wm<*|Q;jXz8md{H^8jB80Q+f<-WzyPBP!Hwv5kW3tz z(h3d)j4ECgG9+GsAy^0VJa7(HKw^XM2&hbasVh$6UCF0I6}l*xj2<&^um)^xg<;7- zLa4qnL?daaD~VGL6*Et_)F;?TCPSF+1__uiB+cik!)D&%J#7aX0LiREvE@5T4En2~ zf>r<#zU=Wi@4CFv4J%=4sC#JoqH+&QBn8T841A=5&L}hr#?F064TU31BW5Z2(TK6c zaT^vDI$=L`_J3{yz%W}H&?biM^-K>qG8G8^kN^XgsslN@mzG2Z&k|93gdng6GMLY# zB?>g4fz4#2V;8(qDECi$Q;RowwjiNPV4;6308Vx7ahj(&mFXdnUrmc6;?euGmt`HT+iM-9K z{lzk%1yN7zW#@wTgCjsc6&tNJMb&|rB^$0{LAE3VVJ*yN)Ht5<$YNNs>^6swa5DJG zcnRL8>6Kt{Y${nr{A;R`mUeqMkZYoSfI$o^&-s?tbS=?!zPKEqCbro2y|i4I1^GYT z464Kr5t}c>hRvA^+GdR^T9vn4)J-6#e>mjbqcboKuxIpzqZvnGnqqHtlHRK)iaiWK zId1U^ilNKRCkqQhD|s5dDifjk!qeX!Ws=I{(|(d#l7IH=08*cZnTQ#EexY*X?c{(5 z3%>d(frY4mz5UVh-8U9wXGxJ9BU zgboDz6XLoXT|D-N)ruv_P=X36OPb6LAMOXT4?a(S_4|GL%`@$+DDN@g@Eo|JNlDEJA;j^5o$v0+*4a?&k z8Cs%O3EC`GDwoXMX~=@&hWt*ffQv%Y4p}i)ET~&g1ZaWp1+m3>#`qSAoaJ(!`_%cV znT_~5&Tq%@6CcJae0VIooQjQg&4%2IQr*0X#BZ)(HFs9-!WH9NMSGCk$M!34;yN>B z%$^lU!Kkrg!*}71kYXPhd}^iLvoc`dH@@)?Y7i;|{OvP zJ>KLH0J#OKSRbMuJjsQ|+)--r>K4YQ{>U&2wi57AtHVZi zIuYlnJIoM9Hba)q|5AUXL3{;4D1$gmp)Oa1(Lf{!AnGewyf?hW)RB6m=UNMLc&)~n z^2{juZ7AFlc#D94#SD+u^LuAS3rYDa3SMhpm1<6X^03C})?yB!x-><(K3OEYOe~RQ zPLK-vi#i;oK(CKz@>Da33uWwNAvw)NTAEbZZLJqFG2KcGB=yibPY1-DPWYlkMbcP1 zugzffMAC3t5IMv{&MYv1{lf=vs&k(CZws5=ccR>x#L>oqqu328oagP9m-2+}z;_$2 z*AqBC+wpFY>24KERK+Alf4llIZCp|K4%C_Q@+kQ#;;P1_^!py4b)uvH?w=<8gBv6wHH z_1J&i9%~GQXjIjiWV{8o`sfk-x9VlQ&3=!( z>Y(%4&r~W>xXg|ql*=Uenq+$-%8@1oaa?KCW^%d(oJB{>Y8L7j_mMYeL7ro`28pD!5qVzl`WO^vU%|O0lf|vv3tq z!g)A9X`XME*=qCg29F@zviKpPAOY-6Jh`D#^j;*$Iu!+YNc0-^3tP>7lHI19h+4kK zCJ(vUs)?w`L7Tz1Vd1cW0Dhs|;gw@Ip2sU|Zp>88m2?2o(bv(@X5G%ddC!*_+Bq`x zBN5WLdT-`V9jG3m7u;rcTzd`kQIDf_k(vV{Y{&`scflINXjf#QS44Eul4(JKuZiB~ zoqK&PWx$^@Bh4eHJ0eT)vo?^VsrksTZS)>;3cr2857Ml7qpsIek%Ld^&%-#J6^Ua!GEjlv|MLJz`Q}3zdk!g9L z=hXPbp=~GyRkN;7Ql!mXc}xC_Fmw=#6c)UD1wi|OC)z5{yon@c8}vaF5++K!5(JXJ zsw2PhMda;zxqCJpHD@T$lm~6vQUUL84Wtgpg_nVpba<)pVD2vBxC!vvn}?hvGkPi^ zcDjXksVI^k_3Np0?rUvVAX>fM!Uz^fojL!96{{#eN`nzN3-u#{H-y%DNJ?Li=(h#c zKuZ^W_TC>xmIBnYvi>TxF?aUOsnY^L)RZ+ef!OAaVl|i^i-8QqZh6>J8Y4eT0)J>D zi}py(<}}QXH}MY>6*vGQ*5FdAP>@mv7)hQlA$jQR7cdQ|D zkYbrD6HJT{br#qWZmrD+Q0&D4mHIM7+XsSg57`jFEtAPpx~OymBS2&&Psv)R5*J)r zk1O1399ofv8lS>#HhSH_Gqe9jv%|Of!a=P*W)lS)4K?PLKeWZ#bP4~gIiFhZ(mER8 z+<87bD6F9O_~^v2es)I6!FzBmQmGmOH89u4#!5jq+_m@h)~D$OT5I9Jl{-xAZJK|8 zvwd19v1Jwttln`Jzc!C3tg}Lb+h5?c#U804#n9Q{4<0$3D6yrD@qHm03`}GAKM)deyhO?N^!I#NnFdh&{L%;(JdtbB=jxj{*@O|-t?Q_P3>h% z>r$m6=kn5!z2G_-$o5^3_NdDz32E>LUu~(z`lsXmNo6;DD*EM>pf+)xb#1k+El=xa z`s>3eZl56qzzPc}v>>q&hbRXC2rk#c-aDtvu$IfG2C<6kbyLpMjOB#l!B`N*_He2L z0)aFDx2y^^cIY-eP^Gj39U!PCzV~7FqjaCbrH&bk^6dxMCervMQFm5oA3x%}BV5}_7EH}&2 zsCsPMV?J*|*`Ybl``;@59d0%q!**s&K( zAZu5mSla3dS!z~~Am1XN<1T_JZC61xJfzM9htW|{393P%wAcss-tzK;!zJSqOzaUZ zQ=}#d`UYxNK(Q32|5^+Zx^?H)htJi$uRIFt-@!m2T-{xRyCCRi-W*D_%;T{GFB}0N zNSC8qiIK*`&Pdr|=P79t`UST{S}e@pz9sm@asV;j+YIxiQ0`jxYr>oLap`RNh%eo# zy_#@OOL?Wmmnd(wGbO-WFTh0TqrD)e0S=4;c6~_v|BbGqR_a8%d6c0 zqm0v8#8(=z+8v5soHfF9IeQJnoLjQ*{1%A`2J{->UHsQknF)&v@DKYd$ z1MofkGoVX80|9%#Ek?^0ik_(b4TPlII%lV2hvmhw*(GMGxsxFc#3JKvJS%D^hN5Ql z`nWSdxef{o$*9te2K;LL8ZcB;p@k8OH6AwoHdoGB%6Hw*BlG#Pw%DY%bzB%PjW=Vi z2eBb;Q64{+yEvm&4#9Gg{2+9F)OT*fF~*n_9Vhu31Jt8f7UmdGF$jV?3b%OW^eNSI z7<=NZ_2k!vSR}~TE7jzHB&*lW>$WMvaQo}6PniwUui8i0V^OC`$!B*{I{&=}=7(9^ zqcJbn?Xv%s5VBGV6Z({+`*%|wx~U~0&o6gWk0md*3obmMwqE(0l5XeM<CQt z>e1c6e?C5`j8@Y$@f8#WX3zA-bOtoChouhG@#b(iP2kqB zoNp`}(DO%$x;|HrC^SXVUb};8h$>6?Qz-9~ulH6=} zuP_ld#W4VK?@kbj>-XZwd#il}g;{j~LB^E3@?mC4jEo(zykCx$S}P6COMhC7B2CWG z2xw8zx2>tm1SmzHARX@^qk%%QkNPSEY{ksi4RN@1bD{2%>+TLNEphaMtp5Bdrnt&) zfDd^%!tFaAI^;ok9yfg$izgnj@G`2w5NOZsu>06M#-alBl|22|ZaqPmZUeP!8%m?C zVzz3vJfh%J-|`2P=mh=wKc6gAk7s|n2D-*HUw#b^LXc{!^t!z~P-2Kl?>0)D&D1FZ zGd$Ti!H*|Iy;?v8{F1InWeRIOOxi)}wk(J?rF=|{<&0oM)fjI_JFy>Dp!@maekw%& zpY7^v6aavPa5^Zq#7ZBE%Q@ade+D}8gVI0WGL9$0A<$FfbO_^e?+_NGd<{M zYT`A(b7T{~_G_w|Wj20FxjZjp)-wf+(KUZCmgibp7=RW%G3!z_EN?9hphVBxQc!*?;0U!aytL{mS(TE#U89!8p zw`nD@`FvF+W#p9@qf6cmRS^Qi*42C}?t~BtcQNqJ34Glb`mlpL;0j}*Y zCTz1-);!y(lMdg7Hh4J@gY#E1GPVSJaSkt4FPD6qf1~HctkK!F%`sq5@6w4wKHs{O z&p9Z(Hb>dKa<~cSFyY0Hp#++4c3&~&f&5xL@K&I-#Wu4?+|y$SB}&!L6xNZ(C*_$F z3(@uy!E)rkIIxgg_zwp(JdRV@`X9Fc-LsD<|E!Wq{?^9fX7Umpz?mY_1`ep`|QjbKL6z&LLX^d3G=xh~ac zuFtvE*obq8**W71xe%FpjnyUY4@%LD-pg-52Thakgr(pBMf1DBFLzUZYKX-HRKECS z({`<$E#%q`M5TJ0HDA;Xu>oGUu=|^ve$Yb06+9L7^)jfv zVJN!pCqPXNLTfKuamA^jl0Pyrw~H!tE+`JBG2#Fh+$Cwh|JO*ocR*4UTy5?Q&;+1~ z@+%+#)SK*a#l|}XinRTwO_=Gn>YT^D>{7lD5GE_or?!5ehpKTbfa*0W015CfcYpYx z`)zODrx%+IjL=Xm2O8RQx#0u`foal9$&7U+eWVkX#b6AV#phG>d;df2r$k5d+XT-I zWHq^)vHuT?QJHA5jgPM+*_jTMq7GK)L;K*AKp0U?NtL9n2U12|^^Z|wO48k0HMk)SYVYdJx&vq(|-pawRjovYLaZ8`2IBbrZM& z&F$pQ!}GaZGU>{>1M#}rb6gY8iC4a!b*j|h*=k=c@hOf9uCC0N$s+aC5j7+Uo@{ij#j31nT6(2Uu zi8EGwPQjcdr)@S5DJfhgdvjG5vNV-FZQc{4ctulsIHoyxdl=@2o~Rlu*NadaREjTI zKR+1w#-}pyc2RiwnxHhA;Jvu$w%*JK$n}6@{QN1}?95j&uZrNw0Du-tT@eaZv(fEt z>1=+LSyH-w;(Omr zj@=Z^RSe^Z4X#=XdCa7%U)T0_eW#}ymdry3l@5cdDDFXYD9OyJVmv!u1t5yft)Bu5 z{zO)-Qv*89IBU?Se$9>N09w-Iyyp%{|8N4gxha!_OF?}nm^;v@jVmC2n8twM7*nUa z;?6A>ZY_{-8N4acD+u_WUqdBJI8p#xmu~+^%)V;xN2`}7Z2i7hz-$u+}Yc#-XVA9s3!q5eSGF%!iRIP2AZqh z9ektP{iKS-&|ttV5&vf5Ncx(tB72i%n9u09Ihq7hUk9Qhx2w2BE<2f7<5=;2NY1p)f-Y&lV}!laM3*OQHq${iXH3(A*24 z)>-=c1paT;SDeKe9pJ2!|E#uy+A6<_!nK2*EqCKC#mbjkm*nyFhIb)rfUtyBMHp*=d7N927p(h@oH4&(?hRrX#ufZcE7gL^! zTLnLDvvKPub7YyenhSFZbqfg_AeAze#L0hNcv;OvHZ<^099RtfU)$=k!E?_`@e2O=p`!|m+$ zXNMR!B}Qltjy&9erWpAK^!dNjSwke-qG%KXdbBNo9aB)93Km%4_|fBed8arQCW_7T zfY8&%atdr&5UcAwUBT4FwT+=8I!M7pTB)}`+UeY7`9-e^Kf9**?~!s0zbHRnzHrXv z!<&{RLm?-M)GpT-c>{rG^Pb^A1g1-I;^0H7*cXYOxf#4jx+7YSf4FJgO$myo&>Vgo z3JEQa-|cL%ZPtP2&7_XQf!Hhj5npr@UMW>LDQx6&j0Rfe&B#>n0ODYk{5XD^vQkHRJO%<=89%;e0SE$BIB$IXD3laz zS;7PorLT;}2ieO~B`%uErw&)X_}kxSD}yd6{u|R7&{4@u@zFOLf=@0=$ez|iQ3)Bl zq{j)TYwpTFzj(D5t zXM6%J1fNbfwDmtagkvgs-iD(b!6Td>WGHC^-p`?)3Ko+PzG%YUmmL`xtw2$nH#R7p zqOTWCkWE7z8M$BrXms&HA-^&sLqFj(=kTt^R(T5Y0bUC#IiXKF23yav9iEBF6BNK`KaLJky+anlzmA)1s9!%JFZBk@HlQ(`lX_w*oL4D9%Zr1P8U0|N z{C|T|%weK`l=L&!eY$w<%84S5vfQg zj@ANP0HMxYwR}*xSk6LaXSjW?0_B)5Vr^Oo4le;0|HL^|%$&^cQ6yhVOK|r)W-PFp zK8)}n-ph3lfA2&b4(PbcA)uSklIm_gZkrzuLzPu-DL>=a{5dr}t5P5-8K-<@vH#TJ z=mi%x)uZ*ToFk!7O{J)LJ;tw@av2oI@aD7zfUp?fc;Z+QwxBedgEK->c14o|g$4(B zm5&3pCZcle%=uvWhRuxQ`8J_g*a`WV(D2<{6$mLe&#Om5SEiNpW-tHv)T@dC^x@5v9)r{XWjZj^*f=BUmsceO0MH#n+r`OL-JA3$^_ql^TA5~ z@)_SKBzAdC587SGmqC?{+dO?`#)UN|cn7{{Ic#62C*gvcUl@LE7+&;!Wxwx#zISk- zPTWs8-8{TF=upvbTpv7K8DyRa@-ml9OGas9a~dA?G_30pJkMQKES8T|@`t_6(opBF zQ{zpWC+qtye!;*}{0<9%)I?Zn9wL`)1q&8)q9Ab9+~^(Q$Umspklva*s5#1UO(eIF zVO+Ny69iA#_iPu%g4`&?E0{}Yuv2f^mqh6Y;>Fk`tNDMfO!Qv)hlzf{)ks0FT{@5`ivQK` zTg}AH->w^Vfqcpp4P1|wGw~bSAGtLX0UG-HbJhNv1K2)dLM@|C?Bn%z6;5CmfQimL zvY*4UV~c8PDeHT^w7s$>?-nWH1;ob2s6=!PdlCy<7$UC!28MT zlyXQRMLtPkdHG>({j#)dAk&vdsE*n6UVT-nu(dBI4CVanOnAl5`r?mG#}isf)xo5& z=9Qfoo)~@05{z7b@fCqZ4ORDEG;ej<9F-QNr4Be5G0pH zHI>2uY#1P|ou1Kz@b-}}qopm(Z!qY>C}vh&w6AEgJFz)VFa0OJlHZVIvepDYwgld9 z%!83lQAXNwm=1qRaS;oqWz4biaZYt^a1P}i7sebpE}^P5o;(~n!Ws~+hYj4*7bR85 z<>z(+ikT-DZGNeymIG(xDk@d=YU_^qrj%7vL2Qz$znL`v^wS7ojZ|i(0b$iNW*uWU z2&Bv)m02~{9pkHZ02l>epXV0Fq&)Pg;Pz4kJ&o!$w_YTtD#?_PN-D^~DPaS`4*SjQ z1%ehr3fN(bB%}2SbSl3in0982z8R@irtH50XEHEqaj23e^-tCVGj`)+E=mBXFoV== zNc#^RQVi>_y5BPH*h&MT(ns4md0!))Q6oq%`wzG4h@%=?mw=zY>7`cU_`hzP8r)*o<0Z*9XGuls68a>lZIPT4qNKu98P+-;+rDh zB9S?yI00fi%JOKrmi;}s-K_8(BjLQ)F$N(1Ga6_C0cN?M_nCL&Pebd4)Z-4JjD(Wy zf7QMzz^cLh+KrVI(8><-`Y#{t5Y-e#+?ahbat(*0il}p9^warFrmxAJeNM+q(J2&*xwrx9g{x z$T7yr$0-YT;;rE3i?$qzt9PoMTB@(9a037e%IA&KUPH|otGXSk{IYf;RU6zoGp?MQ z({!;bmI(R*AqHKe@q*L1sKTsy<=8}{p@ynDe-P64;GYZ;wp}8uvy~`eZmp~LNh7vO z5{a|*k;GWNlEr{vJXy6K88o_HqV8j2&f4R3i=7@8gG|z-xztRorVgexn3Kav6;D^LetS{ht-V$ElC}5&e7~j7e?Qv0+II(YYqa2^;_XU%E5gp=;^*n$QXU!C|fIWe|2sK&U0D z+7_j_<~3x4kVe@!7F}M-oU?0?Qr{9!_)h?wT54R;4_h!UFf&Kqa)hc!RaHygYUsyv zM^S%N;T*T^@-JGaj;Yzu>p>u*lY1PW7*Zfuebg~z1I^trXxgDH6P|3pZeOBJ;+uxA zzCGOjpY`g4ozm4r;fipRYQJjF)LeD8cU-phB~E$$TY9vSMlYbWFt3=AFFnQ; zS>u&eKCI*BX0p4`C9gz*!JUAyctH((`dn@;-TyrM?gppYI%^s=t3OwJ_5CnSqMsgz z!{EoXi{$P3T58qxf3KW8e0}Bc#H`&x8CeEvBKZ}aOSDrJ)?lX!$jT{Q`fp%h=hDe| z6)UQ>pnh)tDnDMu!a_++)JJm{Z-g){ZBe>r0!Nf3`xq7R zsLomoRL7;+A4clHL+sovRZu#=JbBHJCzExy^-YW3-`AJ$TREgg6wW+Z&uye8vJgKy z&OM&?#|F9qv;~hgZTqSzfu|Ar>C}wF^RkSRnBc-9GxPB(L$!~GslpDm_SS-Bdiprp zuXl!Uzx>&Twk^>_!JR1mu1!2*ORAPjA)*8=ReyJt<{Bx=F1=qCP52P)#rpz*uFuKl{hg2UC8V>`#5ou`~xParE%GyS8Q4u}Kr_%um9}hmdcYF5ca` z{%GFE+Z+D0;V0w4#hFIY&yUvv_rCe%eY~;p1;Cr7*R)j5>QFmeva)U4RoOBtoU|p5 z*ChZ=&Gzfys@Bk%2WT&REc>%_nVZc${vI}4!X9m@lK8K^nfvf*gT`oSJRZN~bME_8 zo1-ht1E*{gNSQH{5 z4Xi1os?BW7FFq#kI2@h(6Kr}9*Po&761kB3Z#H3**T0$<_rmbJ{|D{2j3{^%Vp zsn`;VAX*omY(4LNK3f#g$fN)lq80xhmDUPe$S`<1JM-+gf||J-#C3Prf!!nuuu>er zHsJE~ZWGPQQ52xW*o6XzOoC!2vKjWyj`&~Eye@&IVZt>uR5mbtNsz<+Bl8}+s$P=+ zT<+{|Qr;n}`o{oif~|oa&x;b|X!~kz2WMSnbYz%-R+4qhB+M^Ycz~Pgo z+;ZL0Msu1DlWlrRO%h!}egQXgS7Yyf@oUUFzxc{_?LtvP0yI~A@KKI#l1-$%sb4%O8@Ny$7>1dkdh9* z4{8a<`jX0l36rvK=p3mJi^)A4bnhN@9k18rz%RZi(N^;)IEUnhxvE#Vsp~Z?om3zT zxB~u8*fVQ7TDsV>GotqO4YR_#PcJ%w!vd3<`{#hlxyM<8g%Ut#bBA zpUKt&hq1q(T2hXj3e{}X<#goI=#3Uu0nJN*2D|WyW+aE_zGGVy+VO(r9se;ga<1?A+~ULRVca2G-d%_wt+bO=_&8N$NZ8@QQJBNv;U zGHqw2-72%V$3s6+Mo-u{!70;nxVMHXL7s;XliuUYP>-&97u*o>ckgt0TK&tLlKRPq z+(y*BWs90S0@s>s4G3F4nr-VUy!8uoPa2ih0B7QFrP$v&+9$DnUM^=JgTwZK?7TCd z3V6ojsH66oH&~Un#3v9YWP>DPD8Ia&Qkh%2*N$%j7d%P=Q%e`dRXJ8g*?7x`R5n1= zSX0~yjEY9Q#ve83tp>BHj59c)t4{K;9@k{s(&hy`d9&UigmG z+0xQ;7|N301KeAZPQy&+Rl)TsXtG3yF(t*=EGHo&sS%S)`A|4I#xH_WuGpzo{wgS zPk;Xr-#hU0m+e6X?74U&CkM+ybs8s|st(+M)m55EOUQd-6eAlm1OzI3na<iM zzP=n(o09+@EQc+9U#`UHf^?gn5gOrccxMencm6lj8=+>Fa z<;t!{PC8!;S+zTAgMpb?^1J_6a({8UAnW_z=|U$(azQs|CtR?Mrm5x1sum?3#?61X zx#j=(@$x3;B@goz2M%{GFE6hU2uymFM3mmuXV-whO0m4z^9<6Z1c9S`WQqlJ28_7A z|J~?N_wclJ7l1@ILvHjX^;KHK3w{kb6{9w90IaGPkLUiVNLbhcN3)tW5xcU>EuTFf zv{~|Qkt<5F1ru%o07w2iHVZ|8fLwPySUS?jk}A3=ToT_qUcUa#+_l(ZfB@SLQa*^SOnd z{PG`8dB@&j8rExG(a}CmaDA@=)_=lUlUGQ9kjm=h0jrusMpt*?H-HoG2T1Oan{VJ< zt6ZnYluYpB@z(5uq-v8c13Sr(CGzjLb7DRBXR9sATIMKj-x&z{6IWMEm|g>PtwTNm zhfBDRrLzpYWQ#}&KEa|lnwO5I_GZNiyt+`#sZk{KM8@k+gCFwz8n*Z+x*aY`)JRsF zcu+EI=bCtoz?BE>PPMb*va*3*5=8*^aU2KOsoic2b+QduHmTpW1EpkCkaxtRW3`^U z?%;lYt)`&4BfKH=Jvq@&@0K1j%^{n>H97)9}?^bBpG{|o|l6> z#J`c)NBD8!Tq(0^-GWDMc0D(|mgl16)ITi;x*IepoP-uy_pMiQP?!K|(c|O8b=}W9 zTT z+>E?bJ#zV)bz9;|iZ1n=|DG1I5D=itO4;X^vqjOwx?vv~xlVuc%$++=&T3ALNoft$ zTyInVLx0Wpbx7*cBtsV=O!CvYML81G8$m2+^Qk4+_xTbfBw=(3&0kVhPP%*VVsff& z*avAX#&ce9Vf7*)o>``d^?_#q#@UWI;4MOU{{!;Y$2 zq`&%@^uAyZo9Hzs@0C=dV%Jiptq7hX+^lUhVYD8^wucZ9X*2wsKh*x0zE^mfuKQ*3 zp)SZxvipRPa7+B!v|*Ljxh1Ie>dI~$mhYJ=j649U!u~@9X4;NwDr+oCF&tKRh%Xkk z*<7vRF=LXvxLjZLoB8STpQ6E>$zv6JRdd%x59BkJJVVgUvH8=#q9^D=(-D35s^rvH zQPv`)Ke|VnBAx>%;Q8{&Xzg)SI?)O4y8c}N3>Vh$5CDs6ZP_&}#5T`gndVU_c}V1O zSBrJPVW-Q7!USN$Bf@07lB%jUJ3kK@9B3q@N8j-x6 zw`=UuJS$!f8QaK#>eRmzAQ03440MJcKO=*wScil^DR_@oUu zmAObZ=;iqd8TNvZK~1dZZqoeu!YJ5Vg04wZ*ZRITZ*L~sv19e4!}OkB;U1DGr$nZV zhE2$fT#fNFyu7Lkxw_o)lO{f_%qX>A)-m}#)G zBdPKt31(Pz_5 zKn?i9RPc#$y&U(kPRu-PW|MI?H-_j-9(F^H=%i3ES^uQ_xw!+lY1bLq^t=sd@=fR6 zHW}xc%xeHr@$PtBuBre!5;8Es6`4UyblKBT^zK};I>3JCFKfkMflRWIi3EN96bGnG z#0Z4%z>rjoVIDj|p)>atl~(x_LF2%K+9@6EnAfmqo;qLdg+w!XFB|`RAs?rkw{LgX z3;4RQ7RvD~yPyR);A?FDM;BNww{#VaAwn#nycT$q_i{n^q9`@J5~UlUMMTrb$8r6W z=e3n|R_W?(^ijs1J0u%vTu1-p!{P8f>6cTR2qZGBBh@CN@BElNP5b6YMWBnsszHgyugU z3^?@F(1_>;P~;6}l#7tF_DwXVHSEuZ?*Ak-m9>{iGsUq*UdbKFt> zP1G1-9)p=dc3=l(qLE7+joPr=Q?1~LV#Lc=m}>j0#_`-Qk;lxcVz}97HZSQuZ>U*41O@Rv^9=-4QrfK?R#ZC;ZF0>O#?^wgr9eDK${CO_4DA<4sBvA^E;V$G%6>Z zci*p@-}ePct4aU(#Tp z1u}(a8Vq^`+}7lId1`*;8B0ACdV_Sc&6Cb8H>7U?A+=7`?cPb^q481{Q-~FxW9MLt zcA88v{`N{2NJ^ zgSco!#jgS}0T86Cj0ZUCoY68co_Kpn(zJQ@1_jfXKnuUT_d(H^ZCpLc_gFY&5qk4L z)2K=G)d}8S)lthcR&{EO${AOG+Mbf>FjYZ{LaYNe?EJh3tW)3+N&4?5q;$p6PYnrq zq1bzf*n+7enf!7A4LyK3jX8>I!>XIhukoGy@_*8k@YUK=$!4#mSPyY&BAo>bI6l^i3I(r!)>m>T|uS?9x&Nd~?bfLZ@AQyT4dH3{kb4zO4Yi;`> z!M+wwUO;8@YfE4=e09g2cRcRtA-cc+&QnceEPV)K2=J7pL2+$aE7GcX+UTv-{i~gY zaO;2c|hwtilBN(#9X;S;{PlTtMR>10;9^W`M{4)0%Xe| z#+ex&o*l?7vEt=QDm}I1?49?Z3!yU7kG`K{7;1@YST` zHxXG>*2~RG5mi-4Pn(M*9dcN69>?nQD>y`60irBb^!_6&Od39RPR7EMft;M@<8Wl^Z z?7q8fs7s}Amcif|AJVp^9QGLbTHBC-VoMzP4wq_!^z1-nN+O&zD~uvgcdybR@7Q^oEf`o@M@Gz;^F`o)tK!*y?#)f6;Ajy ze%H8kLYap=j+S8gh+cI9bvW=tA{IBW?NZceKo4iSf(hW*?0=1znr(!icGSL~Ep=M{ zQ)*z)fM1(V75(+l7P#HfUO;P$WW$ZPP?+6n{Tgy$wyooum6`9 z^2V+6xXKoZ8(XxjHQa>0S-w8pB#t@Di46?Hj4 z2mqO7^&;@+>Bg!y*IT=9F2Zbm7Mh1Bc`y7Wkjwy0^bN_~H3%W?W7@HL(&iboAR5!U zN^{>0tD*u>{&RvKd1LaWE;rYFW~@h)rbT4LA-7f(kP@krOJ%K2LPWE;`$bHAys7ws z0dQXMAz5%Jp`Tw<@AV~DHwW{iF(zZZ2R;goj!wGig1!M#+C=vTz^wY)=h$w1Z!GS| z^c-z`$#$A1qq%;&!$N|~XYN{+Ov{DjUX)mDj8DX| zux34MdstO|=G5>ihqSNWAA3(%jo*24SLcTQ@^UK7>NMxwn{^I$P5RJk1NN4rxp_bX zh${yaOk zifN^Rq>l)rYn|Fsgqe6X@S~i+1upC;g}zlrLaw)8k(pRGe}2bQnoI+Scf&GNGtn~4 z-au&F{e<36qNtVZL0SvG8ai@iI&6&IpC;C1WH*Hj0(=#pehSm01BXJJvJS5%sEiE^ zybC4p(o7S9XmC+`SR19xwi2>5;gn7t@uyh>oW3Rex>G(x{_p$oa(bKCy{K=ulaBkA z`&R?BN>kpL00&c&&*+2YIB3e30(7qrhj%p1)tZIuHvlLLAJ@GN0PFdu(v|7;nX3gO z86O!2OwWsf{saFVYBwbJ?5^EYl_z1zm!t<|r)$56jT<-k>&c?K07kNBq&7{4VGOWiD~g_o=9 zd_H&O1z1WW$`jiAI~O_afPzXu_2zRdZ6a`!>_q4@fc$2Eb28yEJK#=!i$C{N88{On ze#yaG`DTzF7vH0rk)^AYD8ynnj;&E!_FV+Cl-1H(;@7IGII&8R@=Bc(=1*O6YmUtb zX}7m@hKDG<#BNJosAug2GvbLuHdy=`q>L-~-Bn_Bb<@%POz18;26JD0Min!UKVwnF z>K^z8ES=J!vOoHjL)AP{0Tr`{8)qX?BV+_|{{#6L!~}$=s0DrENlqTCa?(??BTscT z9=~_q0EXPufB#v!bC;Ft_IIB;r!o~+QZ5UTwvwpNka?bmVtpsTiHSiznizCRbaihl z{lqv02+SBSj|*}xZK~;pW8u7xw1%dN7RMa5DWaE=PD+5(+>Ru~T#1@U&?G@EqC>!B zzK4FMU<_GZB&uIwVwde#1`dZ}E%F)!-2P-i0L%*IWTm^a+`H5&k@~WSr~g_npgg8< zS0fzJhkj8u5c3}8%&f566?+sK-Q)KYxbQ-Kl|(&d#%2*R?{pmhft!)nz+kl?s$?Kk z`(;D5H_?32_{>jwIQ*kqZ2b>oL}`;D`g+aI2H^bmzH`YjN17cta84(g-*Xk1M==LG z9jhmCbYI}WI>i4&6-GuBO00$s!1)2G-4d6Um4)7h)ZK1C`lEUII}pP3d8ot>-CPQ2 zyvRC10S%#MQK-c$CgKil2hrr|imm>wj_-BYffWa~eZRT93oDS3B-pnid`1DFV1zt1 zuP&$D@r{jD;BAO`$7X;SAO!BZRZ^>vw%@Pl%VTkzU%GpHG4VA9Rc4BGz%_9;dp|;q zduw^P8J(TsPV|QvRl>*0x1X{R1+Vv;NnX?m7}R0s>4Fn39cULgs}SN9kHTzzeL$w0J| zvwxZws{WQj##SS;pPHS*VLs={}Xiy;mt8<|0T`%aoXoYmhi^@@gGbIU?~Uf5`;RfYH2h-1!6V|0)d zwUU1G{0jPVmc%jOLWfT88wd^Z4N$IkP*~gRMSrX;FR&-**Gd&;H+_DQXw80j;YV?| zm)mnpr6LCkrk!PUZ zUZWJB8Z&Z^;h;1P9bU!7Oh73qkmrrGdO*IJc`I`4i^^vDjfQxzN-_XR-&=lSbY9%- z6jUF8^z^ApOR*@FWv|Av?gjWe%a_@iN4~;d4+uEl$0|v*oP2Pj!qj9TZdU-wdfF%1 z?Zx{4lqjifo6qA(d-I^EG!PTv6>9Eg42Wo-;62MxdO0sgkDDkso*+VjjP}x)`X29t5mn_=`~?z@cmySs2%xF z&0a{M!t99JbuMl?H&6HnF>T3zx#cy7wz6vHthOnF{n4(kCi0SUUL6wZD7j3lg!AsX z?I%)Y1YG;M zKI?qIkWw3!z`19zj#{esk$5#)X!`~utl=%3Vsym6GjZ_<$?N<29z7(5=aLq9ntoiM z{>wVNu!pHr5<_`Z!yqILog$Jxn#iqb0u(pyV#g4SI=(51x17RfHfZ$mED03D1=+|n z*Oa^ESNy0FJ12j5esD3D3p{lS81y&ffW6ec?Bz2D`xbFL(zxdJ(aBWw>|L?6YrO0W zJwa;e2&Zbo0&Z4YUao?#=-yk%-F@?SkwO7vDPw<*dttz+NY!FORgl-Qfi5$I<`TUn zNI}bE($VhRJbs~Q12OR#SbR5=ZeDEY?S1jxBZb-0^J`1U?udmMmToU^=+K2|P*l7$eF$6M;J?waLK_B#h$-3t zsKVg)mK;&kGuzRlKkNU%hcTIYC@F6jxU4vJ)jETo;mkTFW7s9H2V**BJ5OsVeH4^_ zwlkS4Nrun`aHFAfo_S=-ho7a>iR2H{Q!6n9gaF8^*0-W9*up&uO~v;tS%#Up zi|4G|#oe(uErx@+s?*ATOj16k;ku2*Wv>tZ+}I;3*vC5NcZ6fSRgIUp3it`DH75_DJvj`;Z@SjO=B<6Wp~sQF8i(# zfUXCkz>@zm|D!jwDZ2yXfSJYqRQptV$odu&g{+nFZi|!IePd;&PCt_)WK#m2gUR9s z*N32y05x~vdur)(o6-aWo#U%|LSBCIBqHf`$v+y#>B(oLJ+u9z5uY;9BW4^-^@D~L z*>c+^=t_(Y>P0@yh_n%x-7IU@;EgweVvWZK0GL)A+X_(jq*^No38D+*Q(Pp?$U`gl ze;xy|?|{M-h?4BmKrr)6=zmUJLJ2m!vr)=rS

$$#40Ian62LAMWQ5(17~D^(fOG zc`daAw;3mce7egp`iY?(>}x zeU>3L&a0~ZQc7Hzo&fcf9I+!jJ z0FU=EQ7udpm#M%n`qnN!++NyTyl`<()Vq;Fqz29?hxU z`Gb%-KIsiUO6M;E%r$8dbI(Q64C}rywLBDD&?3mnUZUXXfS#_|X+7t$ru@g;#VOBW@MK=K5U9`r@O+OfoIs&<`QUG)@EZF&pGVagt^jiptTQ%*vpjJ44&U{3NvfWKoM}H^P^#2%xpCzNrD#1YDb^dN^_p&ytr9m@vkainG&(d^}_%f!^@O zcP<(8JgZ%*ge&0h77DfKdAZ2iXO~VyFi>sZW`4^R&M}Ahl{ALM^%?ISXd( z$p41$Vq^I8DN?oZp$pb{B_kC_eDCN0#R>rJm3pRSBV3OUKa#SuV(jVq+31L)zWCsM zaKgI4-DF??&Q0_Mecb!Q?Ym;gs~GK?i7l8#l{?IoAjtrU4#QkLNcxv!A ziw2I2SYLgSWN-GBU!*Z-akKhLPs9=9|03x2X;At;X@VKbSVM(uG|Ww+%Ee3RBv@Q5 z%%mq?OG`@N%{Iu0_wIgg6S6gTfg5fPdIrdYD4q>1xv<%7q|$2i_t*T5`rDZ%njvgc98f3mTDDey3U*qS?^hLW^)zG|Bc$5~Ft zk<4Rf#?6Thi)|IXVE=oDJ9D>ITm$L)`n0!X98-hB+q|owFBpA2UiJ4ReoiyQmLUF4 ze*Hrx!#(SzS{bB@jFD6Sn2W8gQ#Wl3A#nwq_7qbv*UPr;r^F|92|1ghq?t#ES`S`~vmIy2qL2H^gm>aA~dluV>!RUo3a7My6BeskOPM5p?rY z^Y>f7x=+Q;ha`NcotZIH85QTp^s+_cLYb{^pJT_DRUD3M6eDv+Kd`(tzn1z^O5F`= zWn{#){i);Fd<+t{oxgu2I4cZ+!5Vtwbok+nuj##~7@==ZO|i*PH>^mr zb}2YrR-#W+%mz~V;O0LI)#ekVeA4w!j~yN!s27gz0bdq|BiZU5LiSJe(ukoF4d~Hl5-#)sm%owQEp>Y z#-Bjo;c7|%-eR+d$#x9=q`ENkGE-#o1JKtHGdd(#)%E6X6xS4mC~#ovbbm=iC)mc` z1uYWyws%nSKXaSj74?6-&#LUNj~@IZPe=W_o22i{w@@Y3K_UUFzbFEezVs`IHv-dL z=EDzpi~KRMIi2Gc8w~YW61bMx5p%x%9|@?p|BLp^_EX7JzWCvdYrLRxQ}d3BDFuCU zh+B$%3UxnQlbt&)97Ob%(*s-j*UKR`NtjRsPn-NQ%5CeS@1_VnIH;I~*6`LwdOABl zOXk274L3P)ZImv3UVZ?2)A)xKvG*BI?W;`;N<9&wtC@XBX^YdqL82{IROgg@V`D%< zCCBCgnd+~|A{<%95HtXF*+z6P%}{>ByabMp4iRd)N;VA-KR60uwoJ5!UL3HJmNNQO zOE|z?!)@0Fybb5Q_<;RG>CCj$(qd+OH{p!3Sy5iR4)wq9RK8nmSd}$n62Vi<PjzfM058ygV#VF<-Bhwy)1_1X>*jdcLPd5|e{K%6PvHv13|~)^;u) zBT>)eZ&?{F9iCUwLx|9c)kL`>)Ho<_f2y6PeA%`oUcg7?i#XtUe@4w|XTqr0RwP2Y z$N0UqAtMDusXb;UFl)UXmh#=cE#4iO>&ah&_9=ElZPA#SZKs#L8d|0}Om-7uIHc1O;hZZhSOC>c_^ zM5Sj$2-oTwlSMkdkxG^n0Bs*7#Hc~86*OlDu1To%`UIqBwc~89YS2>`MDaJcxFN@>K_YjUBOdJQ*ni$uV>D#~ki7=E_5SQu$2Vd1Kyqvho+h`n%W_ z>`|4Fmv#5MNXNAwXkP-$EyYJYQ-ARL!HS)7Vn(*FRL(Cm>lQC?Amwo}H3bX`1Jct# z1a;jFOeJGyKrHQ-NA?Xy%RLAk(rie^Li~fZH<5_Z>SNEt^OpVTV`v@SyA=xez<-E` zr#d>qKjxY6%VE}~qU(Ei3WQLf#O8Rs#_Jkw>`+i`M!%QYqQgNxOBfu+R>D2*(!FzE z!c-sT&z9FO{y5{pOwN#BWDA?DHvt)mA5jqaPup*mJ;I%X-WfM=?&Mn+aYTiHGr2m4 zS{96Jxed4PCogwhbOhTz<0Wow=g&#z>@7)1;h_OswJ3vF9HJ>v6>UVwmNppPS^n4w z`wJ)uYA6YbffkMrr0bd(M9;l-iwU?UiBDRf9z7eO3~sOqih@9z8}JjUVn_TKctCEL zRxXFSBV2E>7ZVf{Ep)!H+hkk*k{tvPb?`@=c$ z%#1_C`PptgEv}{(Bi8vqc?)Xs*Fd21Ov$Gl>}1~g)(;Lp;aiM{@}4LASsTd~p1!YB zG$?4S`fYg{3as%d!narjwUz#v-?1|!@V-J*Inwo=F45?tP87Tl#H1g$#^nvuAW7K% zfSX{*-Fg+0n2p)593~jX!pSEcs1d7VdZL)oIN!*m z%vl06SWV+zMkj$;W`xOdS*}cJTk8a7fBnf$#w>^6i!87 zZ%v_r+(}N?@y02`W+L5SYCTH3W!i2r_CMFLaQ}4GD-9H5%f}`$qS|faGyjc_QMwq3 z*HT0M$v%W|k6v@o6@p7l3trf#@lOl6s;8x(fc~g3)7glluwuwZ){$K) z2YAN1Zhd@CW2Bw&m_@%adl6??@q}rJ=Zqep^L83mN%HPruj8kg65mq*AS zG-53EI=c;fj9%d+PlWgKO$d3ktN-r%@zER`Mt?|~d*wh0Ie84k{QZ{zBkFmx8(XFytt6u4Ao_lIUdJMnF5)lgXLD=Zd_2b8?GVc~XUFkHjxJ-l9{uv1Ej70%I3!?^$bjIDa#C82HMa#=N<5Z6`5t|m*3i*zNX5oB@ZLMq}d7X%JIhk+im)6A+QqOa;Fh8Q|>D|D|+g?n@bm&=Rz84>^YVCa@=H`Xl1=Ak7X+9U2GC!7lwRYv4YR?kRRC ze%{#GbL5nWm3lm(V`jVhYIyFF-9%J>xTrif@&+il6D;;PkH*6)j~A|TO!0ceZ5@9> zW<3E}2zDY#ffn=cd&#=ei@8-tUq5=tTQ{5_I`2H>lWI34r)t}t^i9qP$BdbO&;Wv^8u`hP;|<_WDGjVmK5S^U-}jtggX3Y8Af({}t6 zvq^GAb|OFopvWQ$MF$v07Mg_C+cYm7!=yl9fvqHS7i@`EM@$hyZ}v}qU^5@JP8G8S za{Cuv(0CNG?q%BHC~adX>O@~7(j|``bPkbMKR~B<3j;8mK+e&kbOx-j`;|B^`!217 zWD8#bK(ty>cX5xX0ankkKYIGnq80M6o|%uZd8l=!u^2}6T9k{}t~24BlQBv5_O@)O7;r!!FZ2_mpu5ec&pAX!3Byk6M! zK6`86fYPu@SvXs}@=oEMAm#v33AKinmDd&veMU^i-v0F2&Oj6~nscRU$LT2No4Mk!vUh7yO6IJA@XvLWfvuh zAbx~kOkl+@4ssZ$6AmQBc<`LaMaN@hpC4LkIMLIaHcu7wk;#AO{>-Aixt7ZO7&W8F zbMy%;usN?d1ZVhbMOFYf-|9Qa>S_}YFm%iW0FAN}^_g`H)-}4rxWVa|({!7wWEmh6 zESV$brH^A`QK%QZI&QeHSjh^>n}ODuw>R4Xw^TXmCpjF_BZ8HnXwsik_z~0 zA`o>o4ctM7+r&hy#+ko4XJQ4)4~?w_0pF*P0~S8-#$o>YLhY=`-Gpi!Bu~f+h)D0i zozg4ZQKtqfvHOYe#cknKK(_b&XmEmggnEoL0TKZW^pPFbZ%Z+h+EI&aONu&c-Uig0 z)uHJK#;6pN%^si*eed!rDY%-_fQbxa$KhstjXn%I6i6{-bPo(3Tq-ei)Rm=FkY{i4 zK~_cr{+2(%hD;v^L&NzTLk9>_tx2G&nYKsW+pQzg(>W@PI!x8EPy{0zB*S5UjRx^Y z3Y*))=JUo3hgvxvmGh%m57m60)(1cNb>n|A#Yz)lzJzKjDNO{u%Cgw^B^#y6Bkp_A zt~dZSWT9d~0|Y6P+9|g&b}-}i$znu8n_cJ>0;rJhg_m%1X3OK>DDF0>*om~thqZ@WLc@==#VxGFFU1ID1C<#L)D zb}Et^UB8y6|J3&8&-3~rE@?8*`wQgzEy0sM1t~ARgUF}i%)v$kR@^lUxo2Z_B6-C}MT;;iGFVVsF&A^zFPAi&q z>JV>$3-*56@njFqdwiZjrYfxOCY<*en3J35$yr1rgbEZ#kw+**nfdqM<_i@rchj^| z@zWys)z!Z_Xg0c=`SuaLYyWUtc**j4alEv5n25fy=L0*|3A6TP+NasO%Bac1yQ1OOUjrr(KyU&2hVV?Afk-mtAZ1wjQ%8w5>xX_EX(K$8)xTE_7i(`@S@a_L z846)*;qQMYW_&Uu@=;#DkZ-J{aA{qShoXY26MXWueTgIh7rTRcq^FlMqE@v= zZAGcQYd6&1wD!BU;+N0k@%!FC^5?ye+{b;LbDrls&-3XIJQ~)(sMU#j>Gaw>qU1f< zNAct5;`GHQtMR{>R4Vs=W!&cCLOxbwVtZ7tm-znRWls51;J?bU*;;uWk^0NQLphkdK4co5#Hlrhva6faR>{}O_ylvA?FR>p|ea*RRrg*+ZNB=GC^B;qV>@P6|3BY+2j zG^XHepkdG}@1-Jgb(oyNG%!&f(XSGj?n{4ut=8pKG9onNpd*5@|>G@>ya zqx4PD80|P|T$E7cXk?Ngca{5=Pex<{_+c-wsjt&J%#kMECiHpEo35Wln1^P%5@7MX zB7V>ZA?=L%elS@Ey;qu^*e$Wi$Ybq`(b6X$nR^fSLa6_w_uMu!^JX1uB$t#S*F(f0=6;G_?cKV z1Jm8C^y4JL8?~E`$Z`!He-sq@&_oSVE5E(!{;SqM6KTi_HTCpo9Qdy9d~&2pBJ^)r zilKfs*C2tBl>4OKJVUl}usXxD`SbX9RxE*UEf0+G<+8@f$+sR+6PI)(O;1?)bw~Q- zky`K9SD=T+_=v$Z^6U5I+O@^;?HOw(eI-VO zymt7fBk}Xnby7*}L1l-VcO{p4DEnT0DM13Qrz6cc7xx@k^vy<7>z7*OwImiTRBrOcd^} z%y6Y}DQt46FtfKuZ1%C4L(6)(MegeaQezTR9avZF4trO22k&!|v#IiVX;|Yh(6VlX zi!c3>*oF?jM|)F2iw^Pu3PGAhsM&SH>FDzLDPF$*)Yn$G9uD-20rGjheQvw#Qi=Y?kM%@})#PBZSSz*m)!FzG(m#Ji&LP`65dWp_W;xUnWL=B5S zvSjY@hvqVL?AW!}dE0;T<)8i^2fv%Lim?R2}GS5*z(4=3KgG~JhEP>n%x zmeFFK2A5*;4@PZGUrhtKvbl$Fk`wRY`M~UWz^QbNXjmv2N|23<DZCylC}Orq)r+U zUOG}%ebM$azZOX`oEcgtJkA69XKD}Z@P8(|+;NXvbyd{(XFkg$-}3$EnQiA)f=BLM z<;;z(`OCw=5I_BuA<+(Verp9?E|ACcM;tZ84aaV`#`Wn0+@_r#D2{}}ymCW~{r+S7 zgkxPhaGIX&i>&juOd4YccdTIc&o0tNPo_}5VH}ouBqsq-B6Cjt*pxFeuATR zD|M%Q>}%gX*|_?!H)fTjILy&e;>7(Sl_Fhb^Y9e>p8&N8)Oeq7jUX0a{1m&A6eGu-x}KTRhI%a{oWS<9Tg-{oqPP-d^{iwfXIH5bFp2 zNe=mq>M!kr`J@xOFLx#qrOWL$ux{#K7jkfpmFd+?Vc88z@7DW}W-gpS1S7!=S9c*UPU z%s%ljNLN3>%Lam}36RHBhBfy*Fd`_oYFF`aRO5#9GQYs104-7dX**r?pQgz5>HE#d zsYVgBL42(ASMvlfL6=<_O-dd@wCN@;Qw`|SAneQHVP872Wg1-OZT1vNF9RCsQL{8sNET9%0wml z7y^oEv*~wr-?B%@e{Z(air|F1*xQm2Mg5@9;1%wcayAjJUxx=jqA8U6XB2^N`w6JZ zUKJHRs&0~aY!t8M*BcbY*V%Kj_;r;gby_C7%=3*n-ec4J9kOEQ`C6X@FbHo^T9dGi zOeeh{Ieh{m$EsOM`~mTF1P>uFIS`Gn*83eOjC5OXG^cUzcOyI&FQ^ynG|J& z?S2Yp!Tg0Y$o8?ejN08+y^PKthyrs$z^O+Br(hR>%BY5^e|BkQez;vAoG~G6M}sd% zTavD;_k)L)_!+mRm@Xa2^wqon280zi^(c=i_>21vm3n`TnK`|oeJ3L3w_{@d@AYI~ zxwV)nnLK@E)l9vFZcDu&zVseS14b{Ith{a{b(GAY7~h=@kFV&Hsoth>`?lt^W6C#l;J2~; zw7&7LoburN6ye%cd9x+w`qo{4ytrCcjV8$^1(;Vtw$Ab5geYu1i=5)}t!N9johPS{kzg6I#_L zLq+GzPtyH6`tA$b{VlxNS{jMMzotHQYumB7!QZ~w#=m~{@#d?amYun%A|oCN&sMdJ zADe93z`o!6MOsxgP9VT)O|q(dIbu(YNs=e6dc4*tf97k3QoBwku+w|gFZuiFxA&H# z>)Ak&g0G)FolE=2eW0HmgnxYZN{P07jR@+KuxbJK&4!zIj?;A%*2BmDI?D;K{+xeL z9~?TM6MBD3_pES!?0lQZ?V6iUI5f?dDaeaNUR+QIihq%b_9*euO;Tund2LgcTbB)wsa~6w z4t_Wwq246nojo}GkmVJfOW9?^+AeqWkcn+c`bYG(ULD1&%@)vcx07_)vk9_}<_7e) zzn?8GHx{4Bu!A6v)n7GTcwTo_i#%W)zbrH16W7CE>WE2CvHI`ufY*AH2l%EYbYJjXF28mb!xCfJ{4_KzbIXBKg^)lljU#?)gF)3iIM{>eN^;r z)VmCP@MHg<&%BPE?7e(fn!+@lXfACT&-!!`CmrT9ZlfJI@8BvG90OLfj~2JIVW_ zsJL^2awnwslgXQ!&tGyrCKH_}*fnjn>qnN^_Vrz9nt90&D7z+p<%2*(8Wmx9b`1p_ zZsT@U*gyfuGy>5L={=LXsn+vBokdBF|K6R7ABr$l{U+2H9Q4dtmqXm}Z|O{$s|ri& zisbb0Si+m0KO@!=ANDJ=E~rasYAW-+gR4g+Ea8T(7DsgR1vsls zcfU6}zg68KC^)J+v)aaOiUK{44mLJySCSW8RsIWqE}E-$sMm^~`?w&G6Qk}mTH|k6fR%--FhwG&+pwN?H_%;GncvFvz`u> z-njcU`}qC%_q;JCd;O=;jLcFMKyK922TB$N1&XTWSQSE5B(i_w1OVB;xqI?{I^jzC z$L8-q`3!wqdfFBF=f>n7pS>i1{eG#Vf1jr)A$~qZBG3=`$0UCkdZBc!XL;?-#}?+) zn@u~^adgvBPhzV;vy_YvCN;{Vz7@l4WQ-Lh$~>vP*g6+v9v9|gqSfnRgv3L1c<6#Dzt?l$RnA__@6f&46Lnk`ot6GUFI$^C zLSAr``!eYL?A02v(%PF|g{(a;)onh@Y)45EUn$F#uw7a&#K%%U=Eey@gQd~@TO`iz2Bx29{zz{}}gY>4&c z5FfE0%Kop~wh z8U7VJ+DY{3n0c0J#1;I02qyl8sD;`RX%pY>D@Wv-FmXW237cQsP!)eIqvCYh$Ni<| zx287AhWC%H=wCZjYOJZ%U0Lb$))5&kj}g+i<1KeiHBOg*5<*|zcif$5 z+oiyiY;GAR!rQU7D;z*i>CCdJw~&5KZf4i57@KCpE79RotZ!BMXaa9a9v-FgM#-nSr~{~vZQ6Y|7vhSkw;0B z7OE58eJFy@MYFc()KT@%yI_pJ&GW1PL(c=jU{=G8lfw-uL#>M&i<^7epJpGv2ADqM zxZL~3>z%nzql-iwk9D|Mi7ldy`*^Q+C)b`1^pB3h%Mv69(s??2M`64Uh!wx~t{+sq zc|Cu>*yz0O&AtzfAG*(aw4qsHAH?<%b4q8!o8-rQqNywQJd7Ag*hhijCxP<=<{4sKFYa2pT`Nl@#nQ4Pua~~9Yr)e= zrJ1IpHSV-H(r=?)g>Q3Q=Vdq2jB1WnOrl=((FgxZ+qO1{lB&D!1OM9M6OX(9qmxan z>#Db~c>72P`P8c=D@I3$-iA93Ob|DMg84k%B87XRQnLu_NiOvkMxQJ8X?)xo?`k5` z*iAHgWqb15OO}MUHO{HYekOfYnq0Nv0T&6YZQYJO3ctR1X9Y;s2OiAVC=xNKH`G8O zC~blaG!T?XBJ3kt2;*q!J407?F7eorlbvZv(xp=d*^h4o#Nlwlu^uKwNz_l-Ay>Mb z{rG8f%+PO5S{ohslg;>EdVRZ;C+rvMrCD;z>B2z|q5IMNyxhVhwv<85ETxjgz>RMJ zsgJg(otVekz@GEz*HG%Ks9W>nmeq93RC?d`jO3Mnh)h9qM_~k8c&FVu4340v4(~EV z+xnz-iAyLUb?~1eVma9Cx?lJ_<;!s(unHugE5&3*)`mwvxrr%=Vo$}QKxkNYiocTq(o*)3hl;_$6PTYdA-;^Fw%d5OT{jNML;9)kPe-O;psNdRp-r??P~W zjjv?4LaXTPS&2A#o(ro@9`|PsCwiNl;<=~O8=0gzfrXSCGrTvUe$kGtrLO1ciu>7j z(;s&VSYb(vCvxm!|BbNM z?nwYnnXDgREdGFLYU7yfbQHfGyLJeS<=S z39rMLbM~)Olb}%^)pJ`;;tqjH#zbtnZGe_Y;8Dao(oqa=;`+hayZ5r~N!f1}nhZ0* zMz93ZXAt3MNE^yg^y???&;HBMyxS_@5{Wzc(%x}2c$c(Sptkd}eP=vg^0r^+?}f#R zkHSj$Sbdvb*$7Aia(UHh(_%AcmcGVHOZDqknqpd zK9Y#_kJNc#Q=S5?=Edtc+Pich*L)l>cTHeQU8F^c4f4TU%#qsafqSo4-VbM#EoHd} zO-vkIi9yNRP}Ydp*IgChd#g$mCkZvwYFRn5Q$1ZXewJk-UwzG>21XDiGaEmF^qVDv zZ8+ph<@$Hq-^*C|!o_mU z{!Vjorg`8In+4KtDsgU^$GC@IbuOj&0?RV`PJyIu%|nl4>0`2bqJr0$x;%=%bnyjG zQ67bYr{pLP$G``yJ0*;lf&sFEG}E;8ftqDB{+v$~3b4?6v%+CT$@g^F37u*q^9O|U zJDe$A4<9@^DY(G4r!n2}x1c9q94)k(_K`War|WYpby1rbjjgo{f>2JU__^d9GNx9k ziOZ1}&w2VH^CeeXn6glgFbZtoa7Z>iRV{=_hc2%U*^fW|x4%_4OwLot3;bKwVFNGjbW!0wJ zxdHILaMivCsjd!~+EvS~GS^$JF=9EFLlYVCnzmuBX*xUU_V`lED5H{z+!GZZcMaaF zD|&I!p{T+3Sckhk+1$&WZItXEd`Ad+QyUou=?5YzsB$IxrLKX@@Y2Z-foDJ{fnw$U%HGproq%Eh- zLjIJi(S~sLy3E((w!ad)N75lCT(|1h?W(}G z0CoAN-t^B}`@!6G)q?Rs1Zc|)ds8hJD)`5+b38y9hVx`MFq`JL<_)Ke>-YuJlX5db z@g4Ilvcx8RlG$4}(a?VIsCCo(xLq0cY)a>_svajn#3-7uwDHf`^kAi&)qDw0n_Yb zHtb69t*OtQYQyg@tZetU-|tuMFWbHoe#c>5|GX*x;`)KgImPHvfx~t#a>C0`gPoHE zBd(^argc#J2X$Rg!{I423JAyv&q-^yKWoP2GxJ4Y{A$Sqhp&j=vD7nWT>L5@@MUb68VR#gMpx3p}7 z1X2m1(oSRds?TxSM1^?`$M;~{%Ds8B7qichz;4_f%1cc4uq=0-w}o$~3>tw@%I#7i z+tW@tyOJykYQWE3q<*=e2j0_?+UJnM*{+lm&l~<(Qs&gBuYI;` zrWytW7=B8n9i@n3r(~AisS{e&{Kll+Qt6_uNwiL1Yy~ldx^t$`ii?zyzrPz?eAC4fP(wS*YsUTasb#rn;Ydy`1OYyC|ShQUZhP(#o5V zWEhzf$6Tq9$*sM`iz*mb6LfHQ!EYh?wrR<^0-y?jY^F_mDKlG=kVOL{TS-@Sr8Df= z#59Pg!wj|Jole6adKCVtVgCy6%`yZSsL@OgnlMsN@@sNO0Hl^|VAiV9B^X*8?o`a* zrsaNcRU<}xrVXR5LuL+&B*A`II;g8I8*u>Pw6$;U^yduhOrI0I6dEbw*BOR8Wex2H zFEr&*#BqX27f~<6(b78@iu60WG~rTA zdL%+WXZZz8+pvWw7}JQet)DkkiOJxjuvR>;6GE*aW>#7&dmDtb$4WOTvBU#S%QZ~E z(;7^4Rz_XJyrB+4%udu(r(8vMuMQD|qTH@=TiE^KYI`ab4TSL5 z+BTHpYuZlW5Z~l%71s&lp^kq^#Lxb}-dqg6gNc*gNwXp7B{AlE`p~xjebuANCxCDy zf)XBMF1CMVZ#rvF*qF_{-|Ci&4u6N>);G-n+YV=Im{oBymF3wGEOhduYKPlfW*`s% zt?SE6h>F+PUXyiw!?O3ok=AT?E6z2a@Hq3~j-#+9>v?M@0@8-#e&cu;^Q-<&NK}@0 z7k(_4SSdY%s25LCsaO?-gpffPsj>{$zF~-K3T0r@9$`5CTpD$c@y zCK=JvLL+7`bm7YDaMILTAwlXxtCj|!%SdaQ1|XI2%SiktJ4fy=tg+MCjXWMeEGSTz_HW&GkTy z24T4B+LxqetdOeoi!kMY4@dZ2W;rIcD&BTYgxNP$GfY5S4c}0@?r0-R=^syYUTy3X zG1Q#2H^E*j2?-v$A8354Dbtj)x<0U)J_@|el{or>Gb6q$_|kFxC0h4h&kpM@Q|hkr zXGiM(%4T~*O8-l6^`O%nqflk|-4S(lTq9Vlm+HUZk`mwI$gM62c`>+KHD?RNN*Ucu zj`|p>04ZN0Hl^`*0kDo2*7OG z;Vm7UEB{%u1Diq#uZ_@g(lcg8@sM8Jx%OP~$HNCa?TXVxO25iFgx0yeSlvZQYcxM( ziN(`lRrw*^2Ml!Q5z((CBOA-mqC8H>3Ub-23wwh*(q_eTDFOY z5M`a<)fA58%szKjbvUfrGQ{D#K|Z%8_HQQ?oLk}yM~ul5r%S-|z{G3JhVUklZK!;EE?xL$yfwNrb) z)vX@V%2;>=F=|4Sc`WKeD!z+l5ooeD2cN8 zY`{WOaOmqj5>k>+(+X?@2Ez!Qno*D^Nws5DoQWf)CIH_>0;n!2^MFxhRO~PAL{1yq z6%cxzG##iR8`(SQY|)M)9T@wzrKAlFQ*Q&KVX>HVRVstxM4LsdE1rU=s?^Tg{bb-q ztDDDPt1BBcE0}l771t5B{?$a~p*P%uiJ*l-`t$x8l3Rfu8EHE;daZ6qVeOxFXldd= zqoTqcEy5(@tb710-$!p74~xi04Z})_wt+nd8|qcQZNb2yRyVv`_hy=>9&Jl*jn7cV zQ0GSBz3$!~QK`|9TkBEE3H;YOI@+e=K5vVBO^wFRq@<3UmJsOGSfCA>>|9!G2}oTp zRH5eFsU6i>3+$Scwhw@7!=#jJ%fbn*PBBKNj1A``PpdjD$L5kf*vKXgjpr0$_SELX zMeEH%A-s2&Cz)v!v<9G$T->PW6IGFs2=+r5FWzt?yEKndbqhTSG?|2}U6rtHjd;?A zK!-KpG(1sGEg4ptXA7{)7bv9NYg#%g*lSH(USn}=yl$mrCNpSNP%|gUzL~<{v)S^t4e8tO8k1l4H+dLLpGn`GKNlBcxU5;daQ`^k|Zy?80XVy zA_az~X~v|6g#mT>bKK7uu>>EjECErUpVzRy9cL$@T-k#pdM_;?Y@{{AoResEG)!Kv z*CTDsks>hOJ}NW9ZLjsmb9T!NM3D?}=rC5QkGi|i~wOIu~c<+HN z`KY}q+1(xHdRI)1gNx|yYp{}-O484b&Ny_jhbiM=h3irc6{wk_kThw0a-hzQQ%WN& z4`MeC^o}<`X4r?1(|naol7nc%HgVn@|EzOkfx~s3_faOjrSwRT zqk)i{OE7e=70L(eGx1t095z9d<>Ro1bSCzuwHk(~E6W4Yg2Ftu%sJV;VIIKsJT3S& zr#%{2-2G{Ep*4OGH%DP)WrN4SWqfj7m!cdkjHy2~H&yPny0%{!y8s}(j@w9`k-C^p z4h}^GrOIm2SXHy@!XHmgi*3IflZ;YqJk6{ubT0Jn%n}Uj73GS*c)c zQ=kY88a7jF2mEs)w>qOAk8@EKE=6E#_)EVDv9yXN!kb)K+Ubm3gmKlN%{Jz5axw>8 zO-jrfp>VE_{vb30G|ebIBgsJ+q{1@{t&$hrI6SnJQ^%w?=AcDbnRN@!+AfDup+3T)gK&@4bd*)0%L%>>^(AD+Ve#k#_i;=N&{^K<2o0%u7sj4zh!K_(KdUC* zv!Rr#F)xUUrgi~QU<}n#=(rg?UiePA1mh?qZ%hOln08(S5J8Fyr`l%4onz4qcij8K zm^#2|tleZKe^jXl0p_Q@DV1Qs&C4GqznjB!zxROe?mhkokBMJjzsxPU4}})L9e@SX z$$Yolr8Di*n{+fPe@o~qfG>QGQ#g`JS{kXtsh0&PaZ`7Gam*&hfAd9ix(y0q8=(H% znFt?O@bF1P;T_1c``e*O>Q9hS8hE*Zy+DOz(D`$vBF247ka7)~`2G39idD1%`vqnS zTzAyAV+aYQ^R$x|@tE$)*vq(4R2wkgzE{)s+WcfrG1Zmw^I=XP_R;TLRQ5m$*6hf4 z<)T0_^x?>Lz+&@(A8VVRkg8iFpcAS3+`b|H!nh=}dM~Ew`(UMVw}B3*{6`8zC4mrV zaOAq+mOQ{V9tGur+WLHrw$`~DzrVUC;8l@;n>{>V#-24D`R_)QVm9XD98IzJm&x~? zYu5|zq3QKj=7eIXb0cVXc-*Duy?Jw0qp{bgMyQ_}67QGj-;jL(4>-6Nb3V}S;-6Go zy#OW@u&Q8qWXOTDF5HNWa<0(OqJm?K-4+~vdlAhLp6IHOytYFYT3vh4bQa2=bCvdn zs~_$5kz1a9V*nx_(K5rMim@2l8P5Rp#V!8hJ)kD+=f<(pYi@F7zP&1l{?^<@I4TA# z$H6?z5gQhJG3h;=d>h_L1$rZQI7czMcK7wm-f*vmzAlVQaNy@kG5e}XZ!(IKQZ{BH zP^6`hHN@pnW+^*qNKy`&G!x`gW8f|Rr54^qv$3qRL1U8}3z_weRc>fVh?iB=AJ&^E z#!BQb0u=oKTtMg3q_nphl|2q*@WW*FCe&mxHmyFyggkB|nDBx~SRmg}sA8BqSA^%H2DCFi?&Ep=I|lV={Hgsjm#55dPp-xXmc&i|z8odqNR= zRgc)MH9v4Vycf$-$7CQy?3skOyGE(BYRK_kdR5TG*aU^M!gw+CfQs}-5ap+{&d>6P z{>Y!~1D0C9ZBMbQG${Dt4YfhoI!y{0DvQ@8mjb}Pemdv>?zwcPdCCdiN~ZzM#hS4F z?{-25`J`Z&HV0uxDR!au2xW(XXpqEyRckVXl{PUFhZ)F-Z|^zfObSG{I}7-Q z-sa!Ge`MYdCf}gaRbN%>X2 z!%MRQk5Fx~GYnMq@i(D9Z7rL1{vk~$s}18g8-zg? z(ZAM4uTM@gZ*IkV1NLP*g9Fr4HX*i~=FS!TOL!lssF9Lts1vF4ypaw?1vka4 ztCO#!x)ts*%hVdQvia8rG`c(F<*n0@m5jR6$>doASiiD2CWkDzX*h8NH!NfA zmuTd>)sC#RS6^P-d_>`L-0ojGMA#Y8Q)i3E<<`aiP^T)DY<0F|?B2jZY`+IknW1+w z!|3kn?_+2;h-4-xR1WyhB$ql4Ij1DC+mM3OB|>4nCcBHd?E+3aj&PRuH-}F^Qo?os zA16T{p!Y-m)<v+TaPj~l4hvfzTF@<>{tUN0p81b@#M5FHqoqYw zCfI-U;mdH8ZGfD96@z>+uxz95p$R^eCR&-6-Ow4&9ziWi*OYwy*Q>g5&2F*D6^&0D z?xxS?IY^KlJ;K*dbW4}#VES%;l$lWZ%ut{Gd!QUUG71SrGT@|>sk9@Ov=|fOKb3y^ zL-0@!)5|Q@A2vz##jj3|fuHsIJ1M69<~wn8A;cXssjHgxPPdHRY;^ZGuz z=J&m#U)g~ z_5z=Seo8`Pati;MC$vghy21HPnjKpuvF49w0rdkI4py z4c^{mYvVhIy-Zvl0CHzQmw}2=**-J27RFU1{1I^+HPKNDW+T$c3SL9SG{0Whmnzt6rbS_LVyRfj@Pl9KY85VKmH@0d%Z{x~+08V|oe=B=V@zY90Re-dnB#d4XL=%9IZxAh7dU|z5XXfR?fTdseiw=A2 zoWH$ud*^w>S@9c*dWQwB7hnt=E?c*N=I za^Bw#Mb<#Z)HoB=L$B~9aMf;>Z<_TuSByP%fLT}Oq!GF^pHmOD zTh5?%*`cVEQOw?a5YtT`X5Y9d5G9Ay0#r5jE!wIt5yskEBnQ*L2_AkrQ;M=Uay4ZH zJrJ|1BiQ?L28IGXOND9}_B@qH%JO0vzNt}Z+aOSnBVJHN4e!1M{AKSyZgrF1y4j$! zl%aKQe`wEET+hxJG)DYvt%em>+4_`~9xlge>7SdO&7+G!Jpv^dXO|()eUy!# zSZ6jioSa=j6W(a7`8PHq=B#fnki$5X)i=(p3dj^jxhhq87RL12#Nc@$yT*>hs6NO~ zRMO@z{#JeFEE4d~Q>m`@zc*kp&eyhD$v13+1PwWuDIDj)!gMin7uwg+AJ# z9-#kJjXDFzUviFAF_1bvE71T!K|H3aG|S=qW1SkQ?7@^Xu3%^%5;?T>_wTEQV|W;P zuQf>_DX=gKBp}kmL;3C}TYL+q;QMVAhIm;vzz3)o58bvs-H=LoL)ggi2WrZn-CRVV zL~^q0K_he-!G42d+BF(}>CfX);HRocpp6FXf87ti$an$>;WHx6AV8PPk7i?|u_{SSX879} zUxKQ*?04u<8!dMih8%`6;4Irz1a%P(Ib(hsfAIFU+1*=*lwD;DDc zM$H{NO=hpJLT%CZ_;R|(Bwa#{1$pmQtjyE|-{I-LkRZ3TB`B=mqUDgGnQ>REWuhG% zGBj?;rV)jb{eRHF~W)~{-=_#bUQSd>l+hNCyL95!39W)TwfEIn60T>l@7 zv7n>lcg@}5-U=@JwR9|DIv7%4S{aGKL;CalDk4HzV>#U0{Xwm`>?4E7je0{OTwnz> zD>ay^Z^}JXNMV2C7l2nA@n2%>s(X6*pDgll>pwuJHzIlX>|e+eC&nqGf>42hgwWZd zcWGTGze(*}s9>~%9ZY*pfIuJNYi877trZ%0Xhy^6eKSUjWFA$<5&j$I1#Q6yndhCL;ypH@j}ycN+H@-$~5nQekypWTK)qU`r`c-r8tr~g|I+`ye!sfuLn z0p*Sv^&Q?I0@;Xlu=wcFy29b_UvRDf?26KeqBoMyEk8EcGh_shD=LOU+vF3PfHNg-)NN$%2JF?3`; z<^_ZQC~=}q3O%#UB8R`-AM4N`T6FXNg)gBytLVuhkA%1x<(1BSufapK9L0k1X2alT9L41g@#H1vS6d0y`C(@fogWRf&mxA_`Js4hTNHknK+V+3yg6?xKbwQreuazEa&}Hq<&Hb*Hcb zI_kV6>e4M?>OFd44k9%n_y@J;&KjeYV7Pa@CrWaKOK^M6tYVkRjRE1MrZHF{ls;keA@q0SwAV*LHT?wM`LdRO3@)dggduD-O6- zM0r&dnL109x0UhNZ0X&84izNfv<|WXyGnZ+LAF`OyJ$h>s);ZXx8$1b^vx**ZPXqC zf=K6M%6p6jhXLmb6L@w@lFWauRC46<64aL(2Q;)k$|{#MCdIu1S4%4bC6|MAU@;S< zcAtz<%NIDf6B7bXEukg2|93Slxs1q9SJBrJhP>N>5-^w|H?wgI4!G=36z4h6l(;PlPt|`LNyYKHsy2sk-7!pNk}z4pCjMr_ z`s_>Jnns<{cZdqtUX==A)BgjqKuy0-h|zZw_!Ft$AUia@pPxUOXxnc1{5W5FL$MH|^F?SOURoRKfuS^lXzC$rEyTdgP;R|>)Ub}bfa+Rn z4&X9re1?bfYy3MAPEsO5OiM~R!~lo-d18)%Qw(+RJqSaz+`pEog&6PFXaT2*Z^JRh z?REnXV|dV-qQyAlW=maa_{qAi$FU>)Q$&vA7}lqB-}e|}Ddjk-rXAGEthGmxf&i0H zWWezzS4HtS_H|i@x$&a)FY<0t;7?4U^@bWdMC4`1P&}%&wryKb;dWLegNPbh8yW#6 z!-G$q$2445Ox7#UZS`Q)@Pegl zAOv-cUdmEyRj-?Q8W8ji!D!o4$$~B8K>!A2o&d4i_0TObTC6O_jJabSS=(bks~JCj z{D8{i0lYBJ@z^k>>XV;^-{$(3$mw?+(?59qgLM8Ez^31dP5->>+s*1*(OD)wbwP|H z+0&iSv=pNG^Yb&QUD3N*s^RhYgwovAo+nGvM~#&RbI8qm7z`ec>M0)cJ!6cNBFuY} z*Fsv3Gav{Kfv#|`g{fko=K#XJCTE!B@COo+ySSlP2kS>qCyoZoQ=N^-$i^Wzc@)`4 zj$_ZJk2G!7dXaU)3?oaB&>BJzL0&)g-uHd)y`!oCY)j@d8j;gjE3JDi|CIZh75$ z8n3NdyFfJu!h0M?>kSGezC~P&XvS1NY+Z&MT&X|#z3ekY&P+59t!|D>S0|J7*Hm* z4-uXASWv?fMj&)eLeF#?2?P)JPH#=4pX!0=U8h)iOgYW@_rbJt+ z001BWNkl5f(Q1Fnw_bOtL0kq9ImfFttp*L6kw8WG8m7y}#_`|&_{BUwZS zBC|B~kF*6G#3t4Sdl?3d??JGTN?@X+R>TP|%VISUHRKI=6A{7T0kj>_wPOrK_Q8-Q zE0>JE8HP6za#1H#H(>R#<#EI#heJe&w2w0YAR^+)YVw0j&W07#d(*lcy?a`y--F<+ zeSg4THDp8pb|w$iOe6-JhgfEfY6$^cig23{0y?lG&RQ(IS~D(XI7{@_MTUD9P&1*F zVq0~D7y#5lu*0w%+P1E`o6YBfYUU!+yo0uCDLN5kQ?^zSCA}`2t380Z9SwxcgzFa- z#!>zSoWsOpbQp|KTtFN+Z?Hh%aHLD44Uwp)SzM}%NX`Wf?%*+U7Y>AHw+e|6N*1E1 zWqd$<8Ny6p1MvCKI^CK^BSJAn5<*NjvKfHEVM;1+0?*o=@)rdiiM*GW&pnIUGJ!Xf{ zZ}gkeceREPW|Sv_i1Teu>b(*sR=!e?T}?TI!O(|Fb!iKzM90fv`Uz|)pqvQBRqr6N z46Tj=4#(nJJg@rI3|uSd{|0I1oz0=cH1yz_7zX>=kzxdG;O&sEfVs&Si>wkolqKlT zBFKj*fOJjTleQhRkZKD-)zC}0JwPt6B(AWo)f9%hjw2FBtuq^fI(-T_GSmf*6N<<~ zp5g1lNQOmfAaMceBJ06?;z@|)g%8r?0M}YmPP)x|N8SM9=ru5fB=D*A4Xtwmok5$F zVinlpEwpM1ktuHjb%$9`9N2~(b&8?yEz9zFJg{&;20c3)hlQwoz!H;9dKd`U&#foY ztsoMlhuyg)v~mC_56&*fhV;)lrIXssd09nnz8lC=EG_XwrOx7ryO%r+m)q4y4Z5Mu^F-?f&`;tiuPHh|H1 z5t;zQoJXSA6m2>WCpc>T}9=j?e>LS)gSV2`E>!0ZQ1Q2A578KJW1)}D?_r1Zx zTdS>ksde)RTFc>!aS#oc(_SdVsr)ug<~gz!)zLSiXQ4!~_} z)usXmq;!!1I_S<6&B(E}&~!8K1j0!ajRH&PnlkJ+&))L=2%~6b3^V*R_yrKKhtJ>! zg}_=vuA;C0IWWWP8{!C*)fG(xh!9hPu?@WDX>7W17GeXW;H+0QIy2YfSeDg|G}yY< ztPFz494$6xUmBOd!)+w1C+KenaKk}6L;+(WHAlsB>aBYWA;}$RW-y3WNvhVRMs|CU zj!Vd41P2@I*6`_AWoKa4z#7Q*41^eY1U@D{J&+8YoE;t}D#I9q^^s}@TcR<|KRkJW zWLOaxR)@hLL!dl(QzP0)9X4PXNNE_HDyB$GOjGYIXstSS5l1HSFaTI(AgKpug}|+K zY`}zIe1!KhM@u*W5~r{`IR$lH*eE6>t+rCoK0yD^$0Iyi3Sg`Ar{!RR zLpm}fL;*NmN+Cfi03yQh%hr1~=1170j~qeMppuH%p;1mizRc}J&fXX#TY3t{iCu$W zK(HtdQ~b(dNCnyySv{+(qtq#LP%jJdDTnFe4gr|^f(?J$;i-H5C9v@OJQiKkUHc}d1jCJhvB7NRLRuv zG`VbqD@`EMu}?uF_jsA|=7N&N1Q%XJ9(S)USU$Pb)>=OUCZ;)Fq6Cl?>y1y--7jWAMC(@yZSIX+%7+Omz;13YicPM00Zw)CabjFvRQizFN-w5GU z>{Z|!=p1aQul(sO2M6BFZ)APUr^lYct9$U^>A&pr>dcPhIn?%d)~>3&HL%oVsn)pQHlQOEHl5jSvxco!aqzVHhRv^oh+# z4Ixqs7Zdz-1A^4Yahmdx?3N~~{&mHZnv{pVc&2%Y~8oBk=+x0}^>qq9s*3J4EQFg8yw zuH)E62!3qzM6#rK{`~yu0HvR3Z(nu&=N}>jpOB8aRNev{pp5Xc9ww0%yg1s3S;zI8>VK})`!D$Br?YbK?f9d zQObFn5p)beQ7yn>4yKs)f8yifs?~&>M{V+>%^#2BK+zw74!r}x(c60OUNvuC2z>i8 z{gWWB5l#!Bs0e1KK1{y+%>H6CLC5(D(@OEr;jcJUZ2Y=EH}$gqA%3}t4` zf;Z3^^wjx!#-(E7C{1;SOQ?lEFP!5Y=K!w2$CJJ1_s;auA-j>U)-Rts)24JHESDX6 z(ueIoGB1h4LnPzEz=JG?4&jGlh?{QF4ck?_3$0T_J`tCl4H{vY2v>^=H88M$M?j&=WPek6%MG;2##szf)%$W7eRNxIfbLK2xCU>0pu9?aH*d zQVge<4~x@{WEmtg|FidaIYqs=GXumiDF3{kh)mNC^1tn$d_e_zC-4c-zsl&T>B{*K0_vwLzrJzuXG&VE$_eX(XDIE7Eu z)IOg5mqY}x5`RBAY@F!lZC_hlJ`wP&<%)?B`OF@335EOL4f5sLlPbYR5iyT4X=07$ zjTuMq<+pa7?r^sy3{zu^GqAIedAiN-JXOt^{*#_8q|Pu~n#*NT;o67{xUGk{;-2$S zA~_et+2DhYO8uh;RIP2*bJylULXseY8O&48rZKtA(rchb3sQ zcw^XpHR_jH=v)l^eN`GxUIYA%)XxykNK&s0+$kZ8U4`~42bDJpr1KWVmC zo?oZeyR+x%{`bUkJ>>eF%TM5K!!zwLezPw`#;a`p1(5pkqCYa=nY^S5zg?}hxyY%= zXst(U2yi}@ukZ619~fGC*O{kd|0KYs=gB-l1^Dw4_+;aI!W_xq)Te1$j>i1eGYW5` zJMGXndqDjA^MkL{BcJlKMy^1}Np3dW`T33SxbW$=i>CbcntrI%oY~UMLOdiGb}1r= zcQ!9Kk{>Tmg0=u-cnZqU;e5qm6Z~o)UB~}qOP}*RF^yB*^!N)QiWZQ<8i-*8WTr3B zx+~&)+H4+`^KR3f)BJ@oW)GisgUlaJpS(Q$&6MY7UiCnx$(Z@|4_~j@>i+`192O$| zEJ&^^=vyDwBu|F@+ne8CS3Z6UIrMSP@T47`9tz4GCKZJmvU!l=?fgzUJJQnBbJDr_#E-P?-oA~{Zzh_)6K5#7W zx}C+2uyA=U`MEpI<#q4-AZDUHX#iG%hpvlea{J=dxWKRH7WBaXX-#GdUmPLq(6Gt| z(dG7c`A&cFG)(W{>sw67{z~TaxgIkUURGSSg6kHza@|Q2gJPd1_(Y$}L%v$3QwW?g zVH)IlF8$j3@}wg^GvXIQdwSgo&dC!)Dt+|sSq~%irl%7nC-a8V^$qmQ7}GfUs$84| zd737X#VTIicyeVoUFxTtt=BDl9i;76cPqZx%VHhsFAs2UipS@YVWV%=t#juxxwa$M zA^BHyu8gH-p9L$BQRM#hle%+4)%DHu6L9CL;>sx#cv^txBW`-+shpF`D}C=!hXK>+ zMmi6x>4=r5hmA1@SH8WNh@zDSny**0@kLRb*KH11nML*WetzMGcN4+8fN1BOks}{9 z&Okzl6B>=bUWfD~+USiF^bcHbdG2XD%>3m)nO)I-J;%tuzb5hjvXOsx7FU`uGh43_ zMi)K|z#2km?<^;>>JYg3N*?5 zUp>@`kjYNOy|>o7$UrZ`;T<7DM9(EtUFPTg{xu_4f8@4#S)WLnQflp$|1UPj7jJnz zbI+TfM)=i$zgpi}8xV1m3PkR$b(HWs4((r$0yNXFUgNrc_4?~5)JmAYy6TH`6}!)8 zRnGZ1>hI2Re&lsfeCiQTfcJ~M|Kt0^b!)Rs&T(BLI^R9>D=YO^*H;`sZ}`d4PyfnG zE+A!T3}L2PD_r-_I*aOg&y>bYqZT}Re^$PJ#%`Eh^>{kb5WQ`B$Qc|Y=tyI9ehQhn zJn#ya({%)vd&$+Es^-sdM18L#`u?ZB5< zp_kXs1L3C|u1MgUSJTV7*gy3@&(Hu(C@20kuj#xjx~8w2OyA-l+GILhAjMzpu}LgF z4fypHN@O$d=M{aO-Q@vv!D{&_PWEN*&X0A(mM?>xxcZ7jh{d{InN7hdx}Jy=)_DPK zuTS>1xgyT|GV+({yj`p}ciKNspxjvN@`<_&{=$y&*z1I);b$j|WMNn#Hc0o>$xNcQlM}x7P0cID%1WyyGg?x^b&LK~c ztzYdcpW_5mUbf`)!1>AVjIK^q}P4==7 zLr-jQef#_bex}bCqWaw+zc_&3fA}(zd3H|0SAtwN+hvYd{|QgeeBKnMWYC4?fDZ8+ z&r^XF@bIT;Z2;vq_LO>%L#Sr4;PR1Gacu5{Jzrvl?<5_KL=#- zPanetpfvCA)8su9B3j$PVeNJ=uIRt(dSy~3a<`K_#SL8XHh%u;m#@J8uAuGH%Z-*V zJNPNI;>)+#YkY9mq5g89=Wk!6&6^jAFWD^7Sj!0XZCjtBAYF<-88L6Xz3*JWfB5?S zUm^r`&X}YrffD^W=Hd8Xa|y3M%x*8o^V>oDo>meuGozvoB2TAiQ}*cH7{grC24N)* zp3;jB^G&Yr2b_2e0ontM5tVuV7O@)WsS&dSO!{78z)Ih;NAq z)eo(-$|d5Eh^}k#R*U>kUvE*=KXAQR2iFf?w75U=z~3E!OfM1(%T@A@FW%jJ0_)9O59F8;j9?s;0)=?7m~t8cFtDRi;p&QV|QyO84gIDWqE z`qdPDBySLhFMj0@yoCGl;ri!%lQoiSbnE)?vo3GTo;?r|p3P*I)aKWROw9N0`LnpYoXj%4{VVD5#Njgk+D^=CJ^@>YpA)dY z{_LF5tX)0rnTfn_Ljc|)w)0{372m%3>P`ZjN8z|FP==SrnURL8hSNP55qrI@IKIL>?zPap6 z->d!*dhz?dfAu>7Dz8)#2Sqi9N;t zt84e=bx)I&Q+<8?726x|#`9;BV7$KK)Q?JPr3dMI{%Yk)9=ce|M>O2CiZRVe98DAa!?PQWJEN* z9qHtyEXQ#mB_A*!+|ei`>YYrl5B`&{fB3|DrxyImBm4ZxS0B?O_G9l!%XG zcR$^0=oQTHZ$KnaI%A*fBtL%zQokL{nh;Qr*B_PP@TjtpYw}r0t@T` zZpz9tqknN-VzsU#c^jWd&nOJjGikmsES|@HHnaL-One3rUq|=^1-=gTZ7k9SO?bjG zUN^%u7=Of96PX4ePk)|2ynO%5=uZ2mMFoD5gFKu6_W1<8$+U{E%OTgv&!YVe^O*Jf zZi7tNpP@YZ%l9sUYx3iKL^wQ$QU4CCJguP6B|_8riupp_x4ioH^K-uTwOk+c=Bt-c zIq@%ac)EF-kw?AF`007y^sCd0cU=hL%bWcXvxCGbLvtwxfBTh7FX#9)!gKC6p7Xco zuqJBKyNO>{kj{77?|7o^+hcpW`Hkyn9?A5>Hv;o|#QeTU;ol$6udY`%ei7qeKyiQU zy7Hb^DV<;U^u}L3=j{cuoz4Wi{d%mEkSl3gg_Z+bty}+l40$65{~!TOd3cg>7|cI{ zjJWK5d9v6ZbgAT(5PYXUZFT(ebZE%bzstV71eU(tOm8Iz!sv(yt#3Sa2gTyM)j6e; zFWzj6%dEfn_UVvh8}d31X^iREJKkbthbh&LF=qU=nye?;& zf2b>;QY7LKIOlxr@IFVI&M*Gb81%1xeZ59vd~yByj(7UUf7!~+3_ge7dbBC1hY|tH zvS90^l$a^TRIH^c;z{ru|6?NYw*^kW8*=)+M)PM~|AtNf`PVm^*moxKJJ?jAQ-Gnh zR)obJ$4?~liQS=Ptn9zvX3Liw}l>*M6_K4x&^AD-V=*NYbTUwOUK_)O9n z1DM*rKj7y-p|STU?DRiZDJCsR{oBlQ9LyJ^I&H2=ar=dC^7dQiukcA5*i}XSJmS*8Zr=V8c<#@+{&`#ZKXE-nZ_{-FIDT>2 zYCQpYYSCR^_WUdV`;T3)HlD+>{y+BKHNlY;R~MB85q8z?8JzhK?T+A_-Q|n`bbkm5 zK@hg{v1(;jrFwOi9VU1P5YmSbU7pS+Ihgl0%g?}|-ZSgCP*~oF+P9(`A3Ny88@DIp zVBnY4Wa={3*9_ZV=Z@XpQXACsdh9IVUP_PEfGUc*Xr3MDG4OsYvB`R7*OueFIN1HQ zP{;DIL0+6`QvgnoxM7?OzYdLop;yn6I4k$S!~P|8*Tvz`_pyv7)ed8^F?vJ>^@Dd* zY)JIL?R#rx{}UcQPg{EA)k7_2@+;4)%0r_5UaUIZeEoWE1N00(t;V!dhK-iFPvY2& zF*xrqk^AYX`9MFO519{`?6|dXclbR|w(o)cuF0uuKa0lsGU_v+;tNm4K+U#C zQD?tu{&Qod_N?44Acylz<_HumzP(@_bk_CLaSL@jq&dk}&bV)C3v|`i5A4#LYiBKJ z5Hi5-SBJI^z-#y8Yv&1C_JUM4!SV_%<}uCJB4z-LvBox4cgRJenw}lff45zKCcEF6 z@2h3gn?b; zVAD@KDBn$=s9~R($(^TL51ZPRQ1a`(Zz*M2=J$P*ySX(@5*6#HT}Z|sQPbbg#KDLe zo=<lIzdDE(Tt8`Z1qmDM%j_mcu(}dHp zjon4AF2&3>U`k$XI~_e!MRs^{m;SjS<)?wf3yUF^QbLTtT*I^@=5)9-6af13`1LbF zkjs<+(#cyNR(WTCn2$z>PmMP3;e9yKnU4lP^vUi#xkn#i$R6?>jjbtQ@sJEkFxHJ$ zFh(2i8H5P&=JJnkH}nE?pNPfecUjt-Z|89W#DYB z1GshwXDJ6(Ra$o4g0RycfLxl7Y4UMn`wj5 zKYFANoNm3`@zZn6_kuOhHMSKv^z{+bpNfv71U&lSb{%{q?>dHVz8_j$^GAT*-`u7T z;xLBs)ciTfd9*QU&;1A=s)2`0Tw2%!Md)w$X!@0h7}RzQi40BH!ciM za@QEh40_zEUmN|1>-H!%=u5LMsRcqksahh3}g zGOtO)PA~?N_?sXRD#Txs^@P4hP92jTmh`w2{{ec3XnG6zNiNL67~`@m;!iD*=(?`5 zFC&=g<#H8gEJ0Lr&LIroDZn^pKNo#60Jm3w$aNf4$ z9_&NOsxy>KnVWIQncQ7`s}Ldp7v@mGaURn5UDh3u7Q9u$V1*FU7+?Rxr<_x~o2ome z@C8r5`pQl9+at4Q_W!f=yq5Y}efEG{JoWknYEd-gZjb2;-Q3n+ET75fW|)C2ALa8q z*xSc!`?97?8m+k*a@4g2dfVvewfefA#KF0;l`<4`QuDs0ez0~zv1_0!-1n&2p87@8 z%)I9q?6M$t(%-!K2kg(XZBCcojmS&P?&d4k6_UDhww!Qd0F;M;J9blVUO(a9>mNGg z+__4P;=*O^OL;UYy!hc+{h@z%oW29_J$=RIW_5FOuW;+@mP}r?Rw!`8p3le1Oh7#c zpxgMf+`3m<|Bab=3>RE4kJ}a4CH9Yfg7(Vzuw#NNy%&IOXm?y5QxDVA6*o+o$@0?; zpu>JoSL{6jYYPCj&mB$tYF#Q@gB_4MESfyN^Ni+)-OG}{T-yRrVI#qbn2W-uDlqQR zVK5#9cn6i;Hx-=k=|LQH41uxVc+{nQw(fM1v7yImVNz`^cX-YxMf;T!>py}=V<}ng zfglRZrJXl=r{Uxr|Hk9<4V9j!y>-h+>b-T!@7cGhhW0FPQ=CA;(oQ{o_dCl3#~ z99|cQxn9Ux*GIjr2#7+AL10q_{y=?TpTtZ*3B6K58pY-PWL-)Rn}Xt@5}NGg<>kkZ zA0lCxA%qZPh;d12zg~a%2ndHz2%i}^{cKpr=c>&|(W{tz2R4l#P?tZMKG8h;%yYh< zX0qOKN=c5BARWYVP{lpUhi>%7-XJ!D%B`+~@&N9W!pR{#i-xg8aUbdGIV%AGA<;7!N zkH*SiZye06c`JLv_N0yHuTRrH^YEE`jx?xv&v*T62g$&NCtt6|d1I)Jhez)niq`4R zu7|j*m;N%~L>(43H6@?XkWp*G0BBNR1c?sjaeH6uGore#dT!jQH~ra^Q`}QI(8C-P z_S!?%R#+viU9P8EhEsd9UPg6bsS}E=)46pA+sW=G;91z{LD<2~(B497@D0{l_r2^e zp;jSHDn?(2g6dC(t2Jamer8)-pmX@O!`ZI=Z8$2oM`)Y7pC)({Y8^(n76AaMIpX=Q z#^y_kR&n6UIw_?e_+z>DCc7A{M`%^&7r-GyW2b{A+vw5fwraT*%<;jBGdB9OK+;1V zk+cce%K+;?MFz2Ts*VrGR(*^uC)OvO^Dn}X&(J|l-|*v>_0sC;J_`aedYsf^xehG_ zlcjA&7~^eF4R>d`4r_$2%Z{t&Y)0FzgBRxZ?)?SQOUOZ54vD}W#c5k5ppEX+&N94T z4HG8dCXh}SM8o9~QT!w%j*y(J1uodl=t{FSWXA5x!p3;!8uqh=GT!7s;A0Kx74Rcj3EBLt-SyX2vp zgbrh=DidmD^}oY|u1Q+3zF4c&{dcs6n)goM;`SDdnRFR`+(eZO2b@LQCr+kpAx#0G z^$`y*e} z51j;^eP{-oq6avnH|PhdDaZ{8J1U=NBVgLnt_i2_IA5f}SFAN;hMx3tN~%8~8bf`2 zP41+uPwx6iRVGzV31HT;9V-UDiCuBrvO3nP`A}2?R%Ia~A=R#QsEsu22z;ABw&9@y z7&KosWpS*Pt`3zYCrnd}ap0u6QO)E_T@_r_yCLldsBT;@#bcn4I0PG2FU>on5i@O!4@Xhx_**6NOD-921a22Q_nQ{D==28x)f~{n@mG& z$=q}^J{ULHWr6^Jy?|(#k*?sCoyt!{O=Du{$OMGC^D(F}20o%e*lj#?BgP30E6aCH z9dz}7mdR9{+T`Vas(LYal!uzqhDXyUo|m5wNhdx}rO!tcVVJ3nq+t+=CMt2a5K*FU z$t5jZ${c`x%^G6$uouA%9srwJHp|>Vu2WqG)T~sGyIErB(hQT#Slf$FKZa|nK^WER z^3-G1jicijs-FW@`$!I&p|BJY07@zPChNfWD(m#gp%CDDc&!Jr(Rd;4(ll;dX`yv8 z0*_JF*rn;`Nv}4y1+JM4n!-uW>Ve_a_(8hp`yVo~&gjt3?L*F4_(K%r+KGR%B7Zm` zQc62folGWMhzOC0h`E$f1VE@;Q(wyE>XRWnpQ|>XjXnT2{d4Ji=)93cUWp*H2&enL z=Un!E-?kU|6#yg($+}(uVBh!L9~u5qa_@JOF&O}eFy~zAIgtvb>6sl#Z5pmB5+nS< z&5*Gay0`rNKAmcfrp9_ZrG99YsK_8Ap`obOwq_6wn&cbIjAlStb9wlEc#al-%Gv`%&n0S7U(9zVPa7JJRr#h2@P>R6TT7iOP+c-`gcs;UqnniOZP zsAGWnF`2|d6yw~>T)>p>x}u z!ZCri+L#6GMX0Y$lkgS4uw>m@qfB?JljecOXc<`YGr4>a^=qr;$WWiH!5R%^&^NRW zjg2i!FRc7et?jO9u4H>+BkRE7R!RNa_mPKSTBdDkbo)9ULq(Uchpf9aGB-7SlUIXS z17*!HN5_VEsQRFx*#GX$;$e6kx%wcnB6gL!!`l7ee>)vkjgN$A*@0%t@lJY>iYMlM z-(;J1-}jWW#5{d+?Rcsx{lZEp^*ede24zDttB7%1?}L32{6 z2KrJ=R?|>Vc8fD9BFZI2h`6q+dEEo>zVE+&{rB6?^m+2qznXji06>H>E<|BnS4%62 zRBv;D$5E@8`d4r$HAFv>qYlLKIM!F9c2v_0W`!yoL)olQ zX(k_Wxs%+7&l0H3+p>D+~+BC`m32)&ohgEsoF?L{vPDmcm-+(S)GO{`Ey2Rq&= z`B3QaUK=o$vVTR61#M2fZAd1>_+YkTNCxg3IES)06@ zvt;s@drokl7oJVF=kQaETQNw#sOh?P05= z#~@fiSAEn3fO%SVh*v*|(G3t~ljkthXtdBV*<;y~vQsBKi0l5^n&H5XCKKH7Xke6Q zC6CKt^IIRZ2Kyk6x=4fsZM0#Rp=+r!+U4MC-q2h9+5v0_!Q|1#uG|lz<|peo?m#`I zBY&`ecwPV;R}GzmHMh5smhJ*d*e^}C093crmu(XhfZeUIA45&@w7or4ab>+NRpT}s zbPn|!rNaDHcPGYl+P8`45mLZbsESqds5h}zhmu>-dw~bshGUz>^Il?Cd0T#-85HL< zyow0e7B)HOTv>5k6Z=d|V7V?hRgtDO?$z(chb9ogzIh{JkP%K&JT9{T%FpxCiL`m< z`@yRa8Nw~%;gPCYRnv7g*r{c3cvzvm?&xk;24KfDg#w#7fWs_# zj>)aKHl_IN#XB6(HsR2=ZqRbikOOnL|42lFsSyzvsD;?4Y!!ANCfWDg8=f?~l#qOh zI(=%pd=2@)s~Oj7n@5LS^?=aQ!SQKoe_H9?7*Ju@VHMu8WS6_ce>?6Vpn}VmQiJE! zLDqnTo^{SDWFUzKFj&N9%Cko)86D7dAqe}VD~WoMuEv)H+G$(|Dt=X zjovmzuzv#-M`#RTLu-05Xw%i0U{9b&L$G(evmQiWhW_YLD(ou^?iJhD@qWmiSaYML zW2@pn<`CBXWUKXIb`N(PHSEHY`9;q!^L4eglE+R(4Jq4Y?uV}i?Ce-DOsu-3bN3Z= zt%XD4p&M!se%M0Rw%-o&t2K65-w(_EQ$Oi)Hte_Q?!{Z}iX(^J`hwWPD2qsFb6i*0 zH&A}3Z>MemU2BB0O04xO=k2pYyHLO{Y?Hor2kF-2q_3uO3BP@ahm1MM^N{!oU`o0 z<(yw$UI18Po_^CaBai*90{*U_4lK)Exl2r3U-NcdBr1z+(S*uFW{} z)c@+Pi3^9ZNdbGJYu6z(VWR_!$9_@ld1*a2)4<8(LPLUGSyKq^S2o0`D49rN#LzB4w4*&p(XjvCvAR;*zM?|i3nzavh zXhESVLDd2g9ENf8n1UH+UFtS>xHVSAj}xxYAX)AwEC5$H#pTfXP`R}1Gaa}G&aVs+ zyo?>Lc5xHRHN)!W8PUJe4?f++>)-7w$c-Czgu0*L4aE|0)bPa8xN5t0?CQ`rxvk6t z%HEHO6K+_qmNoZAFJ|4{+j`0S{S! zB(;1+&=DbZo_#(gTYYRgA5L^tsbk&=_P3VOXNpeNK&J@E`#7c^2K1BT?VZC?>tC^M zRmu?nfC!n3I7k9i--R!V=>1L_IJ9jqGHsceC=gMplo9cEyXlS7g_%=IouWRhlHc@A z?_P`E4x4_@{og=egvo8i1Xa{ZDFTnixG~SM2a!)`~LEBi-)Ue zzGd7a8g!MCa}FW2!%(cQM1w)EuS{9&%^WqP?&xKvsXVW}c_5V!%6ktEKC?0WJoQK= zs-1%XSPc?fmIa9{AYHRJ-aQo!rm4-IW@wfqw7*u#RulG5c}yS*E}|T4xm+&Qk2^-W zkS*mT7qGsqNo>Z2Gcse008B){Kt$$D@jR~g&r&nubZCoHjJKJgHiU?lWg#TF)Xrju zk>258e;r!Yos@1?y=Ro#aRT0zT(~f)Eh7Gp0DxxK4HE@giN2Hvoyg714lyioK|mxV zLaUsjTQWG2fw{1?mznLdJ|YVBqPY{%!FE|03x_4tovXHC7PaDmg1jj=2No`Z&B?yn z2ww?2!L_Gk)eyjv1B_aX95+f1?hkhPxVV#MHL?lBi z5HSNH#u&7O5w2hWBw~OiM#-N60#P9H4b(B$V|r~4`f=9Fj}h)?LJUM=eh?uM#v1$h zm;(bq;j(YLn65EI5nX|TJMr#N5*UiBy(mKt07z~?_I32lk=Lv_22YHcbDenr01!hE zrc{a*0mM2CVHqEu>K){;vM zv0EdaA(#LBLq8*hXE8*tFn3!r$D&=72KTH9EB~C7z{}M;m7j}TMNWO*+H0LOb5E?G zXLguomGPs!)zC&bNMjkI3V+~!DH~?xklP)DglnC}Qv`H88z+yMjRkW5jMW*oQ-_=2 zsQ2Jhz6C;rdhgcrBk!zUu3r!d35j9|VzNnPVhF=a=-))!c!54pil%Au>Y3WI+dA|c zso4KFZOc%&O=+I}`hGHmSHC0XoMlLC+fIZEI=`Wt{zj4k5<--)emN(JIkxY+{8~!B zTrPq~my&bN-HhwCkuO1?3G?|xRryr(?QJ@x@8R+`YU(T^mcpg*zVC>2IbW8Qh(d_W z972@k=$yVq{=Jl*BLv03u2`<&^e)uV-vu>7-yLKPZ+VT6>5A31f`8WGkAG zmUXS_%O?R(opow8^0kuM#u#(TNGL#|7$@txR{UZ*P`P!0F9>Q6_DF~RN=OuFS(jy5 zVvKcE#ApVjpb97Iy?VF+96T(#RHi}35JLdgA;v&dVc9m$D`F&90E@ps2o=~B2yCK5Dq2c` zN-k1R4ACrMZ}xXAXAnOHk&pt)7zQyNo=c3D)w-@B#3e=sxL&VBB)=Xud>9a9z(|1U z5Ml@+hL~~|#`>)eA%RMl7)vP(ur6ziQGnDe)>qZ zCC11A7Hyn{4pN6o3KS>+A`!*7h!ri_8TQZvTP!1o2$67ICFu4d;aFk}F@}EcMe}G+ z&^JLp$hfPOtLPua?tBR;t~S|1PByF?gz!u*wxSjrmVnnr5Xmc%5zZv;=1b)XkFKolgxLS zN4B+dwwKd0O4->axAL3;u*x(U3hTPY7zMzR5(ja2T2MP3ZDA1_WNhn>#RRnC9?qzDPYA7i{+ucc(&!ue2xlo<(2DRSj(h;dz3@lXTf9)3WHGGCBz zS=J>kvUbbE5JFY_Vl>%%6b)Too!Z#Ow}^-+`~@z@)^!zEA!gY3ecv}C66H3f zRAfE24M@KYz;=$_`^vN{5$bIq_>{^%5&L#6DX z5+T*Y#cv)S{php`rIe~+8sp`1t!Sy*4`QDX4x!ENR>JME>jSP{Ld@&3nh9ivid;2Y zN=EhR15ktMggP8fEia;~#m2aZzAiSK;3FZ3f*qu>0I)3U;J9|5q)LP&PU0a1B#I%5 zNxUu>L9fJ4U^RiNQ_PtO_1mdaR+VpNvz1boWf2Tc!Y<2%T9;KWvNJQXzFsYRHTaJk z77j8dM*y%ctH5^Z?G*_rgmArFn*rJEguV$dyU%w>ga9nzq>BvkWmyC&Ev1+b@)8%{ z3YlYa+^J%h2#6>klwg`x2q6_NCUDGcR_tLJ-BmCuJ*k82uI}WP_3~}E#;jQou>Nba$s!cdb_%lMvrL60^#JH|20tN~(#*&LL z^|D^{#oAkK69v3P80D!S>_n(m_5ujYx{CkHy3{KKr7c83onlY`dIlyWaR&x<9*i+M ztFiuH4D5AX*Y%Q1Cc<@He_Ve^kEE1jkW1#ygr1tOwgMd`Aqzu9VlOdXFPESWUxxU| zI9w_&oO^yuiI1OU>;tG;G7mGQ_@x4t&%`YBUlV7*(#uu&!&#<#JhN-{N|`qJm1k5BQKjyXUM!4gmc? z0>6!Ef#MQ@K}M`750}do5#u5&RJ8T?4jF1`HK>@W$m&p$Q`;PpJZlPCRX9wAwoc^LB>6CX40BYJyfvpf z=i=OqaZoQKz>gpQvuG(c{;duV*f+}@w3`6na=CCRm+O@fw|xsiwv(}xa=l(l$w(Lu zXWd>&Od-U$6s}rajPZK82tQ*8m&=u~?oym)VV$qulr2Jq@^D=i0Qk@U{Kxvim?m=> zOe|yFDtnzPip-i2gmqWlg_3Q4A}poI`VIi4l+@qxHI~!{MQxRh?lZ$+P9F1wlLcXt z-x-8@W(U2+y6A3rY8lH$8^~WG+_p{kYelzuLs5S(g%AMb3}t29%gc*wn97Qoa{*w| zGj;};Dt)v2&GaWDGH-^P?!EW_{eSZ)lYct4^(mV7RhVomfIDIa7H7mhY}+O#aYcx# zJtHKV<5E124#3MiuH&CEf9WKbw1cg`7Ory$#J9ZE=0K^#gltg2`UM{zn z+s_|Az2k<2ZUAiyyDQqXEpQ)@wzA9>F_(P3T(@nLMp;{Gt5ZS>IVU38w$0jGxO_mg z(T6%$rfIOGA-Sjzog8aXl1PEUdXVBLDy(07*naRCBWx5iyrsa)~j% zyxfo|r{vlMMC9C>T#M9T@<=-BrC95_`fdy%yu7>!f41!f0QQs?WkU5^G5O5Lfjv$V zL3m^}AfjbiQ%Z=Ca~&Qs&Pyps#C52nEFV%&IVN6DYa(!AW@kAw6NOxIAX?WorF6ai z5VRc;N+~hK!W?7V_Z<;pT>kI>`@hm6xJTGcq>B0lsZoqUq)?2D0HYg_2lf6B-1mfa1i9ne2o!t-}ewG=MtB=y=;h>(q5}H z1+WjSw1Q0L00RXgq+!}_`xb)$&=CN(eVg8Z{7j>Op>UBMFOd?q9)Yf>w$zGf0st>s zkL1F1YC(YrY2WuLs7FM%+ihJ}k=rrG+sn;X#%a^AyX*^PrLbz-nbSdeu%!gtNV5-C z;$+tiwIHZ_BB4U_`19w_+wDefPq!#pakikV1H_>@lj8y7hA9zUuUA`4B{$tvW68ks zm*s<;8|*VggtED7r>`2{a$c83pCfbGwoRDCuN-@#2oY6Ov6KRc>$1oSYwZ&56JHSW-;uDM$ynl&UkvkZc52oS7xW) zM615N++tjIQLNzsa%yukgjl!)3d^!sC9QQH~!+z>46r zc3?l3Tj5H?Ttp`@UOaUtVsC%4KmY6<=@3yp)VcDeXu#ut10b)lfIz zx8rOTQ@P{@d<#k|jq(<$3TM_DJ0}>rxF6P?Ie?OjIV9E)mK651FEK8Q7qc~vu-`XQWSr~vz+qVhJ$eMvdB_9wH?c#h7h$UB1Qxbmi;r63@&UE*ztL&klZF)N51Xb}o)H}G9m|umQO3+VmDv za&=0|@>M*4wrvv|Bj;qRta+izXK}*s^ZO=GBW#*;L4=gDzzwpxyu93?+y6Ahzt08x z^Ec|1o`eMvA&a<*lA)=C6IEX;vO!hwrkSW3yIm<9eUX=CfTbuqrx(mo^6 zZ*tXct9_DkZm{MghOG7tg83kTMl@Fiv{1bzroF`6&>zuy)ittLK$ShfT%kQ8`z+pt zlIGYnXUoa%1#J6fC$gbBO9HsEsxGLk4zgj6HOQK%*HiOAOJpK6KZ~4mhx+}aWL(o> z!u|p%B|BCr=SB;&TvlTpmi_zyq=ZPrZ!gq*U( zZ%ru)roJxKXUCw-hb77|h#~&>*MAWp#_GxxLhvws;ZotcDpYGXnU~Q078x8P_)AX7 zWNWwGKq3kM|3vH0QMDF~c& z6;O%PNvafnsH2O2y+nW=TI81o#jJ|}kuNW|qFZi{1W*$nAw)!2mJzqQ(=Lf39b(+J z?RiNj!P;!pJyTp=EcVSH_y?^*67=f0A8Y@W{DUD8|Sd zc)HdB>u##24AA7oSNb9oPflB+OR_18+=qI9-^BEkBeUHi9S(e4Haziuma0)-?B-`_*MF1iZ z-hpTVs=f_!-4jT_93poHYf7bF{0k|ilru2L7)Q6$_641;1AR~tP4Fb$!%W9q56{nV z)pr@3BPOiqTn$J~Bn||Z%PP}LFjMt~glV<^a^%#r#oR_OmurksX71&3k!V7KL5tg| z@HWPUbZ?ldW3T4=mC%)cy9Sj$OFjMxdIN0wx{xkkXuy44`XiXk5_SZtQ%3dj6P+88 zN~wqUb@~6sOh-CDqfwb-KQWgWmz>kf%SP2F2y#v^!Q8rR#s|}NyBYpd%yf8o&|1#Q zzoWn)AfS090dNRZPX+_{2=ougR5SoY6rI?%=ra_e)u$fxcv0@fNC5L_v&nganSz<4 zDAx)_J?jnr*8^l-ol``mjxlcgE`|#$pox7!42oM}^G^}HZ%T@p3cM8oAmvnYp%6f# zG*(RWK!P~ONQgin;aTA>V5%jep=sN`6OlNz-GA$2sK-q8S&wFlE~{QGphFAwGNLg{ z22k%aa87fD%Ye`Na?F5V*ELr390Ab`D)&KTrk0ZPj~_n)xD+OHZWXl8j~u(x1F3L5 zNUo;u{8|YA(7Lh$78Me!o)Y5dlyV{@l!N>Xg$wJMWslF^?+}B=Dpt6xDW@O{0T(Dh zfkb4boDi|(B0#mo(mjKKrv-rCHRn;C_NkL>M6CQ@#< z+Y+OIi2wiyIj2xhiGl!&vdO{BazGxQm_*RSTQ%sx%MI%MVgPib)kY9VKNArjE_*qn zDur@U!Ic2y=9wku0&?4XbtqzzYaW^9+`Ztc5~T9UMwL2kRW7#9TX8l1RiFYYNx zgxO>7c)dZxuo3|Qz~yoUfdBp9|3?~$;+$k7ip9rS0{lHQ_Jo89)q_&E#Lh?%C2EH^ zYa5>#c=ADF0%Q%XFmDe40u(OS_39Ub$8B*@J_-~mR0^7jSYRjdL_}CV56dbVuLb}j zJPh5SuZTCR?n3#XF?UJ z;SuAh3mq1WQbj~UA}k=_>{?0|^`qCg4w$JPawm$i>ys$$+kS`V#`aJHaXy?x3_3FF zY$~NZcT(R#VNq<(%JNICAUma$%jGH<_qr_GzDRpixm&nN(+l{HBtpRY1%f9dHTBns9 z*v~mh7;Aa!$z|@-(qGu=zn|W~%l5>*1XgRaC?|Z2yVKY=gh8D9) z)K&?<_$?qj@$9mmJ~|KpusF(r3t^damfNn)Wpr4U>;C5Gz0j%F*#88obm))RCp`W= z6p8)UNin`a7E1$(pg9TRsER!#^%xWlQY>dgT9$QL)~-#X_l(rI9`_rP{af2^cAK7)*P3!+iS!En0q|qT9xDcFaK>2gU7fYayw(_$ zf$OruYsi`tLjd4)y|BWUA3xWJ0p<$e?w?w*>PlC#ZeI@}z>XEHYBv!r%i?`|$I^SU zD0pH(It^X{aK%hPyN?-=f>_1T?sPgjv$#r{H>iOE(_t{(j}le@z<>VdKOsbB00!|6 z&L!7?)Lg`cLcpL^9T=YhM2w=lH6>6L_~N+Ag$r}ZS$0M0HE=}rYMR&JR^Y~YjIop~ zk;MRjt4ABBoN~^4+GTEW;SeKW+ZA{SGWvpwB3}|Im9=xzzKi3z-L31>+njx}X{3(5 zQThnLqxB}G5lr5qcw5wHv36fw@r21A2pnKI}#J4~M&+Y>gtD^3Qsp`I6F5t%5Q|JZX zX~Z4+((*a_?;oXqzlC3v$ClYECdBWgxl?A z%i^zJ|0P0ZD24aE?t+Rk@i)_bz-u7URD{)_ho?-B(Hy}-VuRC6Pl~rc{kE<-cXo&^ z4=L_pruNcn#X0CT$>}LK1ZEftE-kOm*y#n;Oyt;IMOvrPNr9oU0UFq!wkS7M!7=w4d|6o zDMdgWU>==kUrWa~(TzTwj>JiP*m^-QHb*0~VfrJA$Aaq>Mz`B9ff6PaL&>l?`jH+K zvh!JT>KPnn>I?Ppm@3bQZ4DoemLbL{ZgKw7mDc{2?S%29Hzu14q%eR`+~pVv1*Vs? zoDy;GZG*wg<`9B8ju$R+R2J3$v{J6d`5Ou{=3g#Xu5LRTK}I4Q$Psg>SU^rmP#FLE zlLbYYBM29XC?^*o%6u&)Rd81E*%0FrUu)Pya}_5dT9yNH3Si@$h=4gR@p`S6^Q#K7 z;t&AsyfXs>@B1Do2yiM)6Idt4XoB%o?Gk4?>87l{a%YKapT5TX*uN{+tpEJ^KbPy( zoOodT07N0i7>Jl(hs;_*(wrs6yQ8-bJc+$)FP>#Pow?!$N4xLX${ipmhwXB@r#8&PkoH#O4L-_HxbxC)vV#I?KzhW5wj zxg{6$hzm>Xju0d?p)cr;B2a%KqU-f4LVNUVJy48M4(GmM+8Q^+jpP1^s!%c_^?~yH zG;=>DQom6EC?($!&ZQIy2O(~BC#jlRFmtmgBA@Htt2*n3 zy1*%ei$_yIDr+o9-Q#iRg=BrU2kq zAXy}A-;x>BnY6~ixm>P#=UtHd8T;E0{%QgD)hatwi>DrRB{$<@19r9$C zvir@&nDKCFpMz5uaHd*}y4C}dMNbrEB9gmA_jYyI!}n`V5i#nPFEm5K>n*1hGR&D3 z$%!#GjmBan=-#rpZwLYf^$tyc3S07Q|M{Bg=VJI*v`N7La_UEumQr%P-UQ!bU577dfhDKXcWkB6gP&k8I94WFfHTCcj>lVi$0!8EFAt5`jZhC2LZX0YPvs3E8T zbUYwJj4^~DS7!*KG_AZ@+SW(L1hanq#s=tA8?0{mE9cf*7}LRFf*Xi%Z06Hag(win zaq{Z^rhe-c2*zcJ+^zt6BZe;4sm1q{Cghy!iRK!KlxGmbD@GC3d&v?J$sJSD5C)JK z7kX(PGO&33J=Y@NUuZ1?fUIb#_o=ZK$Vm$d6ezr5+LCi8XMmumYpopC6UFHE0EU}| zo}}td731!q3I>3Bg$~!o)Niae|3l*2^8Vh=>;1 z_j+rX6shMiWWj(&Sfl(|_}3Oxqj}tgG65hiakeTwOGQJLlLT))@1||vq(u{#|3~+6 zq3&LrYo`xv-RD)03oPsE-}U3u!vRA%01!eH=hoUsb9}YVSs9tzwuRv59UMM$Um1`+ z)DQwuuuH3bE9zen;=lqhS|)w|JT<YB2yT%OZPChgP>z>mi0?eI`vieOU4OyTX}> zLX1RVb>UMdS@%o1JtQLe3jph~65dncN{w`1x8aAZoMJvcH_y}NJzCH9&j+h*a>xaq z2P;5wm<)o)G~KH?V!M;^%ft643h473zs|Ki93~q#fn92- z;${MmW&hPC?~_!e!M^Wu_C>wmVO=jd7ow1JPAN$|1kmj!I7ovp$L-I73w?@8{B!gw zCO@mwe2$*`N9oUR;qM_as#8h;xNVyr@yiAiq?DPlPAnhuj}~(sB(`7(-nkmUaS}1Qn`FF-I6$RF!$WfCD4TU^2}~se z{`up_x-2oC843LhGa{mW-$Dp>`y_ZvMce3HyGV}Cc@E7A8b1>SKqL(~#ym!i7^{5t z^HAC|YA{2M{@F8GKJ;!%XsD=u5C|1K_FeO4m(TfVuLqfZSH7M|-KnnEE1TP_a;Y{A zn=9fQ9Bn++@mS$E)UAQq$UUW;b^qY`EPE1{tGGl!hciM&1EGdfmb0n#SbEFr6{~aw z&awpS)Y02zz(64o;Sv|t$gof3>oRb9xd2j^_2Or&m$?=T;{M)`hx}~OwCpM#+7Kl( zXd6nw5*h-jFk5Cvhq5F1;=G5LPE2RWoFMOS3iiwY{M>C(^GpotO z^L>+0i8FGG(klWE95XQ_l<*W#F5Fh+NsXUqS;ffKRU&NMhtUBb*Z6(D6tn@@m)psQ zTH#U_*}FZ_)Xc4woU^2tIkMg%dXQ)YPpuWFBZ>hS0)=H=b58PN?}I3!z*J6;mUfk{ zK|-nsoeIw?0ZeesYV+V>-Ot-M(#t&0nifM?mL=W2rD`hUz2;DzTm9Mgo=A@qNzYhi z{Kox5#Z?Bm>~&GiYphv?ZV{&&15#%9Wmc%<9W^}haOmE4q>s6%U2)fJn266_F}AVe z2Q2!!-T{J-SzEr-x28|#lg%Qhy}aB~%82-ksK4XDer8Ji@sxA+n7&4?kPYKfbosMG zQHDAE0raP|;}4;yRH*Mh`wjFpne5g@v#K-<6Y70-@?1hV1AxRfl?`MOa3KV5rTisy zfAPCN9wfwp_=3f_5MhjQ-{p9bSc7apkk1vGW73IQ*Evi1o!xfRx+dXKSLjpRgTS63 z0db3{!mphk&IU;PF7BefHbd)==jgqfBI;==MO@PA9iRq?>S!lKGxNN{ZEmTPHqQE? zx`FI^0Ybwo>WCqt+sn%nUN#Q^>>odVBBIR7qPKilv*j|Lby?tXY<2%CA3DC?71K1E zXR3VEiO&>ZdpKyq^)V0EC>;$0MC-=E`L`t)(i8c>aHlks#e5$zn~?aM06FcZFDl%aLxi8ybW$1^zuMq-*@t%8vu1=vK&H30Pk{ggfHEh+}Z{1 zD=>2i(Yc!TvjPDCO0Hu@kRXNroz!xr%=U6B7861Qz;#`_*e}gaWI{?QOUPryxlgw} zx1*U>6Kg0UIZ)P$X*R7n2CnNWZPC{)8GG;))Z52biP=XQ!7>IXVE{$|B$UJK!|s^R zO<{`$)Eb5h+NaE%Ql5n`ti47=0QG*bLApMJaeHEbCC0PsY^1&KSFvQK2oRGchC`pA zl)NtW?mI7to|bwo84+DC*R!1riQw^!1b#>rDmvFy2+3mayqTn)>$(bLIay2@EPjH& zl@6MU0WjiDZF|q{&Z2Gc-T^elOIJJ@EoaH82jl9}YnzC!Xao)Ze!uPew)u?#Kaxcf z)H-!r_JcBpe&(JP6ha^*qUkjWkCjnU4^Y27FinK9kp=`9sxo$}^U^>#Vb+r+ zpS?Oh$JtkYG%C&z)ssqSJ8kh%{H_M;kmG=^^>D`LDRS!hXHUK+bnrY?j)C5dcDY=c z`Q_yW5j9#JB9dyaIi+;GE2YQqzv-JsdVU^#AJYG(k0SPpk?2PIzK0;bK4IHlmStrI z`6?zlGmHPo-xk~S43Y74{*&T#6jIt{@klAH>jmVjD?l@pyG7y^3&tcV14yjH0h)sT z+j0N(tz=lrE8>~fH(7ArdiV70W;ZR|f9d$ylvE;;QHm9PR+V1>W$i6P7>~IPPt>Pl zUKw((rmEtx0Nyb~i9GNgTTbw}{z3!Rhy#KkAQ7gV?bi6a3>=18(+HWFxm394A*hGW zfI4P;r@)iMcGtX-nDh=bsc019bO6le0fQU}0s%&+CI$ik*fq))9pa=ha~vPkA*hrB zDA$tc{sgw!5o44K(BFfZT58U4`wZ-v0{>YP4p)yu9T3F>_Yz54UZbvgP<; zK!ojsTwS#+Yf3wj#mxZU(E^&tW)3*cbEP5kIgBeaS8{6mivw=~L#TH;_3yI#+a6Az zLzOcD>hWP7drHb8n%$E#;*4yKoDmVFJ^i}f$Sx8*5E91a19qmXH`%Nr(!y=up7v=y z6^0_BQ%bUVGEGB)Vt9MF?Us+kQ3-MCZ7KrFr2RW7X#nLp9*0CUcSbWkVbB&%c4V1- zVA(@wS!{>v^(tF9nhzUDaafO-U9e7*A`g8|5g~@aF5beh^>w2Ebc0<&dE75Z9h|vv zndRAGvS(D4H)ekXXCOI97egR=_HLg$Bnz%xw&{hj{I!91+{lNSN;%X>__l4^?RJYH zmYfg61xv|=S!&`y?FYIF+DM3H;Q#<207*naR9ZS@hg3=dH(Z*2bTE6m_35>|^jaSu zUhl;1^ydS7JSkuzw9k?WKl*vfP)Ft-LRi;j2y8lt`MagKbSJvvpH2(_Aq1Nd+xC*u zt}dvMb6%DRsuh@hq?7b(v*{CnXGW;5l&`b_k_Gm>E_ zrkaFGyywaAR9Cp?cScn60B8fzm=;1zEmW=nA0FH>T*gB@b!HHS&L_wZb*&ZYWkGxh z(l@|ZonI%I#ZeRGoY!@gOPL0qu*%iJWpZjkJtP@g1AKrAWTVUfRd-~cQOYT$gy3dV z&&Kz=k^ulB?kO$H(y|iSrf8-)&ptE4r*^VD6z<7+F#z=i(=-Av$|>E41^MxIMlM2P zmng<1r9Gv5KdR}`8T0t-Ia^q3$3(QO%bjj|23e3UN= zh`=C`K%dC$bYRHqGQ#gm0H;#Ek&4kQ>|iM+Q1~c^(+cgKPY82H^W+zx{vp_4x3_%C zTH(Vm7ySVoZ>JELTO2!a(B7X)GGcXRE-m*m=4 zgo*x0`t~;c_vy<{2GgaQ43g9L^3cRqN_);lmAoP@d!lTu>l#9EuBKm7oV|@+E4q){ z$cPw1D23(5%oZZHM^`+^XUNd~qAIxBXJ)7=cj}VM3f+IlEPrq3N=w&eX)bx~vFjQ9;3CHXx+5zcaFdkvN%}yC3%= zFD1E4w|ofDQ^SIH)kZC7Pbm@M`#pjs*R=1p*?IU8Qp#yh0C1Q@uOK3lC<@!Q9ZEq^ zH1MIR`3xywlwEbZnv3f4%19J*y4`L_v@G*IhFl8hEkN%nMZ7z6PImyK!sT|meZY9I zz$4sNQx!C(dxV|*H)y+>!*VwZ-?-e$pDh`BDKvf&hu0TU1aowI+l z@CUGr-9tm?vESy#?mjNx9SopnU3G1;B?HwTzbr1O6le0 zX3*;3YC2_=`)~XKPBym$#1zc${Q(VQjCQoujF8N_V}G>}LsSwlr4(jvwnhDqepi%*C3L7CFBvoBjxZL|C}IA9N<7xI_fl_oIe` z03fdD6zH8>5#lK+@gL6Wyu{_5L0yE1Xx}$3?Mi6R@Aq#B7>QbV3Y#JjQVen1-iw?H zlv%fMWj7jJSybHf+@8`bV~jZ`bI3i^qpMRb;_&2+G(e~iGMj3R?^>Ii9-~?2TyidUE0i9r zEcwwL$bSd$@|cbzV%uJrD|#SDEzLbkmZX%b(kN{A!Q6jq)&Cj#9vGj2?kODKJ@gys z>oWOd%|?ljW8q4fA?H#`HuIQ>Qp&phe(xaRS10rNI&=PdNAK4);BvW$DLAo9^%5uf z(q0n>x`z&@)%D;+!&T&=B_5E;;kDlbm^xyB!qtk?@HeNGm|FE-!4>=~JI@qsdJ==4 z!p=F>_@~%ZTd(7K&k;-JOElx^7|zw0YH5xb+^a?u5#^lo?1(4>mr~47Q4M&SJ08A{ zt|F2{jInUB$OEVO!4m@ppBhv{U{lig1~J6sZY#D6SB9%s>?^vPH{>de2C<#6L@+Y% zS6PUM^Ra13j8Ugjhl6 zcjBiN{?#yWI+xaj#cNvUE^DD}HME@uL>%MQIFe?HD`-DUhLwBrRa@7d zON3cBb4Ox#MO!~)OgDW>4K1ZC>w2~aui252F~)US{`>2{!|)a&L@p&&c<3lU zCm--|{@#9-H81|=VS*8Pb&QnVOVZQ`M6uq*W~QU zn^jV05j6dmL62aHMJ$6;HyYt>{*P{_?ZGA|hgq1V|J@h(tlo^4rTQ z=>8UF{loO-KSY zUJ3&+BBhi@^)LoSSy@#K=>0buh*Tqm3=`;AHwQj%{r_)wMKskq!H# z^3U1fCZ}`;09axyT!fS6Z7P{axHkas7Z&5`9k`Q+LS|-XhwFK=*ogEX^5cUJ5U+4? zygJ%E2uMT+=yvLfIanieuyV?& ziUHRLpyY(z8JV~l~Qmk;x7rxj>$078g<(d?!~DH#AS8YI+? zr#akXQnZ01cJ+{M7wyn;AJK=DG6335!CojTgwZOmXeRiAYz>j4zPH=2DIQTuS=WmL zn>>i4NQ{F@nZd(7E++6Q(J(Uh zqARXz?OCr`&x8=Ulzu!QsJC^Gv%is;feUZ@mTN&BA;6yYrMV}&pXP)7IHA!0NJK+q z25E39B?Ky5-tUbr#{%v|945V#??VDQw=3h0HQ_=yzh&soCd!e-14|+-PsN(tmojXC zxvAP^dzeA>p}q2OP=yfmPN$|VT28)b8@dh`_66RHsU2dJ*-<7VbC{K5YlhFlnGmP= zHE4o)qQ&Pb@h3tD&y(!L ztWfd2>aS{Rm0|heY&3D2gddYb2SLOP1 zy{v%myupS+&{Ae;mlcA5B2bWMT~|bW9;Y~S4$V1>vsjE#aD-M120+NAEXyib#vSb)^?dGw)TsLs^&kiNX_Hi}sP^}r%%lTrC5UQXoPyC%Cex#SSy$O_F$OFwqd zxbu;7f_lBoRPjJ*7ZFLGzJDz;BkE0Y!;r8I6-2qwWhe62h<9tL$W<3zXWF8%u>j*Z zltTBEbeS8LUJgXeCB|je-q#T#?E4-laK)D=SdWao=klBP7wFOWlDnNd>qa8V>aPC! zMvN660z(fZ8&~fv1zZG!wU0F+Bm+j!%=hnp33OODM30k+LE>n6pRD$KzvSK7QDz32 zws#mP`;<9n=wgWL)|CQG*seh2L%9gRIoB|(=iz8Lr4&NYQbvu{Nv8>@>Zt;VWj>6> z9VF)@Cvv7WnM$xD5s|PU&ty@~ImB3){mTA~{@`3hn+Is!5Mm~rK(xYqxzZ4OuhpsU zdNweMo0VP_ZU%whnr1dm{SI5<9_zdw+wD2I1o!Lc&i3`JVHPZ}-e@F81MPs|RI~Ww zu)ep`aakA8K)mvo<>C(s*~P!5p4`88Tiw^CZ{+mfrEl2uU!$)-^d}m#h$>M#h(akj z=cMLGLBxIEMdZnjPzb@T8GoRFdk;O>@gP}r6V;QsCBOE@l8G8DS3?jH5@Owpe_}u!QNl6f`^Ap0 zcq>Tm_Js~HXO&bP#M9-ZScy&0z7YdIFZCZ1gE<3Ui&>kn-}8kJH)40*i6ngN&yw{1u0 zu7na3wJo%YT^@5D+9i|LT+l00=Q|+lyu5GZgaP#{+_(C7exUFG^}`cZ(I%m&MhoEszYqBO?4H zi69C@>VxcEtsMA-cl_g?r4XV-VZs@rR7ybrE_^)C{@TeU%(27fH4^{;KnXjbZF#>7 zt=-jjCym(Z@9|x-ZHzLz1dIz8MS_O|SZ5H`l%$3-XG<_mZP+lg0GjflFO(HklNKQWr<6*`(`A0&c5yLNKa4X4 zbilucE!oOF`7#|6vY&^ugY&N?IeNp)F~oTg_O#ocXus`rtX21UI%Z_`Ni#ncVcId) z?XmZd#d-B#qB^bX3JAj`R#SV#gw#K74Wst_+*{NSgR`MBebRm!+=c9~c|L|fHu4_^ zAAe?W{e|diP!h9OJ_sLVwM{9B6_#^)>=*n^Z=t`PrGGhW`nPxghm-Ac3x4WcEyRHW z5b<)km^=OO`l}SgFGO!^)Y)5t_!PZ7bl*2bl!e*tTGyA4>cOk)(r?g@Td|`a$4wO) z^LcH-?&attgAS?)UDHA9MzrqyAH`EC zY-q?kCyV(P)ZmiCuVR-nJb{@$B}FApf}zh-BVnHL^O_I$e+L~H02GBpByo0AgYBps zgR02Qht6DM#IlWX+0!nrf5*CzhI1Y3sK_jIQ)dK~yjfCXO~jB(J`O)3Dts*HjUyva z00!@fcQmNqk5dSOqZndsKiemOJtg3|DgFi$&tILP>Woye&V0RIKcpZ#P#om+w{2YM zP|PJWz?-jw_f~qTR~1XAH|9aLjjNZd`2{=S^NN8XV|Z`ytG6dXTD2@|DP;BRqLzJEVI}Yhj79PiQ;ByN zjU$FyN{ONF?)&FGHI3?n?eOVL;U_goJo)?#se&k07FJtJ{DjtZv3(cjBKHKkYYE>- z_*}rZuTND&wh*z1h$tEti$yF`(j*?~alQ8l?N3X8Vw=7U{pGOfzea!9p!aL7J7`9w zvsBUue_!HLegW)6wm6)b-g!3sq#>Uh1!&q1M zK|iyNLx)O(+Cu8S!Tx;tHeVC^+b#H{Y&0G%Ily(16E zQA&_QsVQqMeK`mK>#|aRvi@zFqK3PT%d#Rs3#BTIB$OS9_v0^SVAlwVhrJy_O8LFF z%7B|Mf-3rtcymN_!%rZ9AS& z#!^a7Dd%){|IhO?OE9vTkEaNM8o*iv#r>?F>AM(WX!&$lgt!CGoL*l|5}3Z&?TCFp z5u$|Jc<8+O46Vy5w7P z_4;EvU&8;--j}Yot?TRpAf>qb-2bJXu2>?8?*|A79HcmLI;F+xlV!^iCvgB?Od)7y zn5k(z%&MOBMQB~u7~@$SB-U(B_z;71MMMNOO39#BDWwcM-UUWxB1XrBg1*^{5D-K} zA(-Z%tD<96uD2sOchuuh-5q8~?a35>S`zdsode!Kfz972-SoH2wkiG1 zu<0**@n30!Gkp$o>HOm)6|z&z;<7B_st*9`y5^ismHJibS}puIc@&koAW{hOF92{g zHO)<86OSN)e&(@FkJUfrIHu}v-_rM2^gJD?X-c{EWcTf9K(TrW?RsbWcbHU^F+wCS$oph?3#zHE|qc^>W$wH7e zkK!oGauWzL%@XCEL7C$oD-}stG`C*dIi~R?#Rhq-$hPHfIopuh6|p0`_X|@tFBC>d zER>08+Jna-T2TT8qQO=dpqkdcz=`$TdkKkRh+zsRF#~WQsu|e22j9bZ6p5IL5QuEE z_s^rIR)1gXXS8iwjB#E1IMmjXWm(pBeLi_ICO_XFQC3iM~ov)r3>X@PyM601xwtXvQ5&=qnr4R(cb*O98r}MAJXE8-D zrD%kWhAM+`bv!-Fj+$%2MVwhN1o;&8fbd$=U057eM(x*#H@1q{-a?tVSYM{GO+odE zrIehLdB9ku?R*&NxLJ;#Zs-_ha=}z;_(Z|n^N?3%ccX!CwPC8gmjTifx)n7fD_YJ) zRvdhWo#{wsdHR(Q21kvFLyXJI%T1P{x&qjKSR^c%JT;5k^A+fezU4oF{$|+pAAKg} zT!e9{!ZwOUeUg33B9Z`z$XA0py8^!E9&qM(`@zDLXA@fl;4JYz8@jH)#o01l3_6ON`}=veSsTgE#T zujy_UjQhA(1vzIXKO#iO&GJtqD$>JV0t84z6lhF~ z`~f28saiC^?g2fc;1mxT$Gu51ff2BxJhlL#N^TO2!gXCY!KwfWxcrS6Pa zvuR1lQ9ryF?vNL4VCE1gh6r5YgVwT@V_affUS3|#x-ek$%|*jURAClp+Yu1azHR%S zma51>!$fpfMziFSU;S1sx2sVM#~AMS*RiGYzir!CZOJ{P=%7;6D%dXAFTv8-0Xd?bJleGMy5jm`Dg=+qN=9>483^!yEMGjub;Q3(%2> z2#IdDn@{!W=D?#Qr*=vy=i(cL`fpj5Wm&|F|M6l+@;gkgX3!5}js7m!_l(wMjUi5r zrkS~L5nkfKv2t)H_zyysR%?8))by1N9tsC@aukbr9Lz&S2!N$zIR~fbf}AF=|9#gj z;({x*ouR=;Dhp9c2tjlV>-sX+;)_kIZ2P@R)|ZdNm8P_HU8m{?BU!LwF!-e8LPSJi zS(bTtXniq0ASt`(Ps5FqD~Ly|+cQ|%&i#}U5m=D8hX~@N6;Q78E4QX|+ z`b~6n^05Cpfdl8|+B{XaK<$3NvVAa4e+=Fdafv zcFsiRuQ?+Bkkem6|6tRfOqzc#V5XJC97Z78xsT*9j>kN8z_*}&Ij7)r%IjZdP(XnNwzHyqNUU?W$Hu;fnI#uu zRjzQg9dl6*Fr|Il?%rk0VPwb7;}%^50h<9@&P9NgDPUAeiE&{TyLdmD(U;t|zlI&x zv8i{9^n1JA##)ThfgrHG2(4(e%IP!{M-xD^W=#Q$Qu4NKF)k@3J>ZTK?0t3GsiV(2 zfI?~vHA1xF@r^MCbCIzJtx{i2=ak^KL<9hFrU^vOtLE59r7mX9O~e(c&itm?quAhH zmdy>KkaN1Wxy6Z9Y5O25pH41TzjRI+twy``hCM+hUysm zas+H09+%FF&cj79V(3p0Db3c7@v!wGQ9z_zvPRFCyydj-hzJJ*<_iS3*m=0pw|Uz) zG4q^a!8L~%GXOs6XD!Xx_6>DfvFzfz4~2j90R6o~`q#pyfA1^*Bx*Ky z;j2sBicZa#KwDS9iK^BAK96SRhm+$1=FcWkKuuj{g$$bLu^)@30?Ijx@@T||7n z-+O0v05E4RKmcfi4}65Sy_zPSSnII2M)B8MKC(kj{RkbOB>w$G;Q5j3U5q4cf|?|0 zf{;yvGsBHm9$+Uea3kCfy`Vs5YWgNlaZS?f7}VvB8$Lhhl2S@J-EOz%jX*`z;lkJo zGKPS~7}e$TsTD0oLx3mgeb*D9X}{KHQ7@Wtky_-V?A2;;dRAQrCwXwW2SDw*@3H`h>nd^O zrueKh0B^S&syC|#PvMwd2XLuBv6=BQa~+wK+GcZGUCud$KwkXrc3p!|-Jl&WNWKPz zc!nv#+G{bx38TaBHF=yJvBoVupL+l`{?aG-&dbn+5T~fhzVCqoV&9Sv;Iq|rU?{39 z@cDoMwxJQxXmDDxMV5T_T$IVMuIsw2g@^4(^JG#BkO6>n_f2C)9TP-^ecz&2>0u9p z*|?RGTlU}rIkKg6tPAE6DBNzh>5Gu{xbOSEZ`M3lp#Olwm4=8YhVcFS_cF_K1pxW* z2l^b&c3svO!Z;u~XH~#72I(W6y)rvltS_l%81IRQifWa{axSinXQG9V@5YDij+4{w zCmEbJRklBzwCkiS9&fPivObOoz@=nMgB(H#f%ZM^`{qmd+^&ByQ5pce+>vu43jh80 zJ?E@5TtWdu2$6_vg^ow3`}z~x{=?`izUbeb{#w}d*U%T9;=)yzk_8nFL82K30bj%) zU$}NLBl^|t{d}6@ai3iUiN@Y+*@C*FyXbN%OxB0Ezw-QX(HNE*irU=JKn_21-m8GV zE=x7IP>&ch>GB{2&%z1VJAM1J)^UlA15ur#;p|$Jia3h zp4&4z(^NciZj>^ynPo5-=&6P?gT4YT^-b0FrkI_$VLmU?mr}Fw zC37hf4cP6CjDW0bc8tnrK%2i}vypj%p$NL2(tc*Ka=YDfP7+l7tuC-Z%wQ&7il643 z?%NhZoGrq-bj7*eFg%Czc33l7g>c;LN1z(dcF3}InTSfx;)SPp>rvE!CA#+eMO{%p z=4Dy8Z4*&;YI+0!Ded?BUFL?X?kL=MLH-)3eO%Y@1u3OzBs;knd;?_nR_4xCPh=(Q|Dsr1wM-+&5 zw}>$cra34ouCU9oAYsP%JPx&R8WQO6l5gaf333L?cg4@S|6Np|voK8iISS<^R< zC!ep7{jLb;@6-booHA0RU|9u3$8umE+xiLg69m$qPktmN-ZT+1mu&RCb!FSRZM6Vv8U-PoR%qks}HdSm;#)xy41W>EpE9a0h*nCGT#G5?Vf`=wZ`*w|2|PQGy!B7ll5i4)(H<43-ET){I-Un> z8)M8lwbt23du*rrEPKi2JY8E#DSSraDWPrK#BzW9iHHz2bJTNWi{-3S0y36T+PG35 zq%+LaS|YWyi}zw~^b=2jMZsq;w(B}8T7ybmq-Mu$Rg2-aUkH`yL&WCvX`3Ux`0u~} za?YY88&--fimN3F5}_#V_xtOVEn#MfIK<2$gojzqN$RZw2tHAK=A2dMH`j1KPSrx3 zd1`GcwC(#C(SGb;_p5iNF|1kq{ikh~fb7$pdv6WqM5f63WFP2`0h1ISuIwE&9nLA1 zI^jb7w0v`C?jO@Hea~KB@8U%+wnW!Q|C7Yr5B66gS?LmXh8bd9M2jq*ZL%pX%Mt>~ z&H&0X|KfK4qd%YiPT2GxJw+EhoE!s^ogn8V)-Ec~RQ@BSYR#k>8@~wsm{ZD7$3uM1 zIq$n24ZGbpz7LCn6VO~oC=G2SFA0eqi3>dFv#kZt_w};Y% zoYLiBu^HLpmP6U^ovi}-L0_hbkrH7UpfMGkRovvbH;Vw&WOs8E7ywj65xia}2D*{~ znyE6%y~h=iNCkJU*LB>a0LjI18Mf?E5*a&$7{qs;j~9dhSxo1icE1&egPc>&+3y?r z%24pxj~T~xR9`oMX!6YB(Qc54d+@aFwip*9LbfbaO7gCVaJz4kzw4Qb5G!D0HWN)f zAeP;{tn0aMM*f~|%zoo3TqJ1bU=MVB?Lf4%oxY2Z=s$}aikxCIXb(%t`?dpMc2d1V zPJI>i;@ByVJKMr^bo{#S+p;WgPKl|;^eB!CR0OsIhU#Bg)S^+Iw)tHYV&rqid zCwTeTrk7HhHg{cDLVD0t{F+iq>$*l&MRc_9`<@a7dOpFu%!C?a2LPbo*HccCisVyb zSF{A7BSFi{j)>Eco#kHC=ad@dA<8oRH7X2N|~*V>*d4Z#}Xudq~v&x);}& zpqdSbD0;VjTi4Zsdbd?!;j*Zc)^YW`i)Y~iLWnU&VHkWSy2(3c?iGzc&b-J9KI8bX zYoZ5Jv3=e%tj%M#GR8P*L>QxF?+eV#T+~OwG^0=TukTZvSL4s6VOWF@M>WH$3YAhy zDO?I$dTM6*T2~e#<|eh;*Fhit^jG|6(LdPqC()Oj)}6zh_;88++Omk)#@lvhF0Zez zIcIa#9|D{H!TZ-w+5UTKBEvCx2xTP{Vkn0{%bA>WeGx%p1y*Z5Z&=WyJu{{Lhjqh}GDQNLx)MwZnxRey zn|meAKAuvF@c>`W4Dn`iuPiABg?d38W8JON5PNrzOWM~ILk&LAj64GX zvVwyT7IgtJi2oEJPSXdo07(q+v zviPc(qIsU`I}#Izl+v=qDYD?pG;G3OF>pkrtXwlILTkoYsgx39ULOuM~$w>RiKv)}I6=k1>kFN;gEZ+(HN;Mtu<7n`-b_ zKd{y$tK3XYV_arHFne3nZcn(L6&4}K+D0U~zj0>Y$}t8*Ls~$2T1oSh_1y-UuqMbj zdv;wH3pwf^Z_A%!aITNl7yB#~PD&{Rf(Jr*bXAR23-)>uCiV7mE4e%mn^yH;Yu0MV z5fLGPi0Gf9$f);Ek6QX!3-))SzYsS41+V#wVWwTUD>WU>V*DtKi=;ylRW>4h z`}V&Wmk{E<@1oouJ@o%v`tj3kA&T?e zqs6t&@n1w9xMm|12$;ULVam-hWeOoy>EgXF|4jCI}8NTpZ8Ci=`BCSI;?tc(Lo?$p8kbPW%rd zr)NTbu3|%d9g1Osri7Bv{v|GPtmp!YJ^SUpZTr4Wa4Q4=jv+2Fs=>Z&5$qd(ZC_?n zLUz=ga*VNXsR03GB5DCC<>1im4(T%h(dM3m63{>jS&?RzJ}eSL{ZKGF4-?o-*L8hN zXj=l}$R_uMK+bo5Bo_EP#>J8vY2&++E1$rc7v8P7`Vf% zmi>!zI$!Uu+#8aAW2x zItbjX(7sm>Tw%R(vV1QGBcYX|qXZD)LVkORI|^zy{39&7_N4BZ})Uyqm}#bOs{=fSxI^EGqy^<01SY`brRlTF0{h4zj&S9zp@@ z0a*N*WOsr=`#uIf`U3QoUh!{Ee<5u8k3NU|juJ;lVg+SE`?|2WW8^Y(LE0Kw(=AR0*0m?`a5(5Mx!!jN$b8=Cw zcv4CLHIWYL#9)9Jmvw#F?i&oi^jt$z0mpe8)^!Cl8`1sGnw8QnCfA|56{Cvl28|u~ zX@^^Bd+K#%(5vKYXM+5Xh++)wka+muz~H(MDlH$PniyFSQA+!Gda6@rR=~ZxVLE^w z;%qhwA`C|&k^VXHI+Vzy+S%#auGon0JU8OB;FZ`#O6}UrT%64szyZ`AUr##QjP$y` z06yqYj$K=xfIgAlOgxV-!3AItgF3=o@t>u zd74oR008odYx8@_&hcKru74SkK1Dkvi}%coQLu03tKc~gQYmHIw(93`GJGkeZn=%{ zD9m^#JUqecdO0HO^JHFB6xeC0TjZj{?y=qJ4~{kG{QCNO<~uJMRp^#R)D*O(`s@k;~2Jii#YlrR0=sFxyfX0BvE(J8G+H00*=o@)e~g)pqXrZEE)H- zw9=p?2L%xbLimvI)+}3b6e_b&0HERr0K^a+$k<5l!$kqc*-OWEv4ZL>uH zuDMT(+4Amm)3&$iq)kB0a`Rx^z1}Zd$eQXc#SY21-ZOVooxT?@gm+}Q2i-JAFUpAG zi8P;^18&$LU8tJ|+r`e`0svCl-S`UvEEOGhO2=EL>^jb^p%B{3HDf@Jl$BC}znNhs z#<&PnXD5Y!kJh_k#5$DW0RDnT9UVrO1<_iT#o51iw;g?PQYAaUPI{C9c-yuSHIPRH z77QUu2s1Z7L6^FXkMA1#_UMblZMzfA6=9OZNpfo0ii1`b)d93wp~H9FR8c~C-8wfW z0JmBMDx!ZtqE_Is676e?h8(zt#6HsH*O zB(^UgQkW<_j!CHf?dPHG#&sjY>H$7&9ueh|KSgOItb+JRHSKY&@=+Q;Ork_QQ$ER| zEXjGEtf(SZ-NtIoz`6rV%+~H#rz`5O`7Kc{l~RpKH|N>o%BrT&ex(f9YBTfgZ@bfq z9X(vcHWeuYDzGv_nW!_ahf~LyE^Ah>zq9ElsC0X{yqnA@Sl+_eFD^`oulKuj%`>qh zr`lZ{ua{Dk6>bi9P;JM$EV6OwW!sk~c-$0Em-}V^tcfu%c&Sb}Ilb?*=|QftpDEW5 z>b#XCka#GF?5P+R0mmiUFNmJ?gN=JP{kd4gIQLS@>$=)eYvBPURkCPUnK^`L@ern) z#@_&^{-e*OzYRA1N1sMsU91N{k!_2Jj16K<*@-ak#^>#A9FQEf7h zmZUw|_h)LfXBV^01ZRlD0KkZtQ{s~x&?8;&qhjU6u3m$_0)~Qu zJRw}c`~^!WQh^wJnOgGEQw4OoW>g1ZK_ApctS6au5-z}O2^ zouGcc5I@bjY0yDe{tBW5HXS!=8NDdFfk(`@FD{l+NaN}{eD(($5S5y(b^Se_{!o~LD6M&zwHkFDb3wG4Fx;3Yk?Gh;Yf1ZszP)bQTEz6q4hEcI#-8~8bmXalb z(lZr-=KD75X?;x$z=)a>O{2#=LnW8n?Hd9R1zQCAuCSCs6atr#A_GrFYTLHE zpcCM>tdFtc|LBtbR1D{1^jE>AKl{~wG0fDH-+FRRMB(M-W#4xI-1p7hR=$1vAJnu( zuY0xQdN)g zbbiydB|fO7th@Ts>tq5V648-}yh+i=blUO?zJLE7W2``dZ!-Y!=eUl73r2Q0>BE8l z%IL)yFD!i?CrJ%lzvw(dLDh+3j4nIS$En)thPWGAr1Q^NO)@NNX02z-XJin@I|&JAoksv9y1m@ahVF3^b=tbFg=-wu zZdu44`za}0OzTNQ90U1v+c!{D?wVL_!#!yPOok(tC5^);9U+aS&V6RE;~r>Viu-(6 zZ;4a<*)E!M4n*Qet0kaoEIvM}g&Bzi?L9F94FIsLtHg?!E%1@fCTGcMKRfSagDoe9 znIE8>a~T+<8uN#!Fpm)=z->N`MQMK0L8!Wux8QgdeVbUi=;ISe)PEgEfcfB@-!LK_ zkGip%&!>6$DQ81YV+c{>O4TP$x8lxjp#G0dDsjiswrx3QL=wzY{K`SKq2M@^5BBUW z`fS1L=TWDiT$ZYXx!>>1MS_`1Y;?qGxkWC;S#{X@C@cO4(O2)^ucyBXHvLDROv0Rn z5OdDVCC0$arc!1G#LCCV7*W&Sc_#(A5q_C|@hR$9md~P@8xAvrm_`ZQAlX!iP=ZFe ztWalVvI+x+c2ylO97p|g-Dvg2ICbfk^}z}Bp6>SO-CW)qcC zZnvBAd|1=Xt09OWG8CRg(u*cEV=g{#^e z%hXCyqKVi}qUAKNrNx46RF4D4kQX8(3c8FY>qKx>!w!72wd3wBH5rV->XomhWTHTG zIIeEyC1>Akdzdv&f{mUHm)276ETydL3)VbBV@15gNNNIKO6~wKtFGSdD)oivyAT-N zlD(YZ0*xSivBN=d3ioLHNJO!QJ< zo(A)MWQtE5riDyc0iB;0xy?HP0P<|pzBOZeMQ+87KONSf(yQ(UQDG8MF4=;U>QBwI zSM~R#Ce!|eu+Vwlc>vh!;|*e%nNw=@4IVt5ti*wD zk@k^neP?H@Ms?^{?uQ7O8TFj)sFXs8>$=)LJPIA)%ZjWXK)9myUzHUkj_viB+t zv=E(x!NWNHE)jjsfu&XrDX4$ zFCg_G7ym%A7y9QyY#MAQef#!5`K-yP0|3VuN+~ghoRh?h#*^}H zr9?=NGxi4bw6#M@Nz>LJTZP>yl3|gITYfGbKB0cmv*Bc&nW0?CIdfqo=iG_bGZDfm zXpqoJV|CVhH`NinLd0cR6b3b*%Y9_t_AO|bOFTASHvDZ+MOe>JZ>ToTL_yM6O(6h5 z;s79}-5&48P;r6dGdS$eePwwax7%%~sQT0^h}oP3&8grJ7&|cZezcwv1#y)2O)^rr z8DCy*IvQ@4YALRdP2q5aCa4#OMDWJ|rj%34ZZum^>Qu_Y=ySgxU z)uG}S%v?eUUXHc-UK-H6o_aVgUW0LSPRtL_in{2nQvb!!@W0BAnbC-6k?%|!e?r0w{ zY!uiHQ_j0objG@b5SC@Fc8*ea<6`Bft7~7+7%fA}Aq4R#ueIpZdR`gxUi#Go{0tpU&pEB@x^4Fmf+kIg!s(@y0k{+n zAqpxjPE=|O@{j(}$La5ZO@H>r^yR6w3)r1kFYo)7bGBQ|4MC5GKTpBa@{Yfx31V7N zg&BdNC7-f3OVLmqRJUrd+Oetf)S>#9V&2s~_IlN}WM6wHvp%pIr}tp{p_l4Zj+76# z+f9wX+CmP|w7F=F^%uoWRih$Qb+9jSUDl#`d*15M8)Oc8NHl8Sh1msWSJ621({brSW7V})Ft)+_TsP71O*_t{o7;9*Q&2Bf)*537*xG#Ab~Tga=Y)u2 zS6JH2W*v!yFz!e#+Bn&`yWu5`c>DeP{~upvDXhU0arkwdV_kLq4Jjqfyf+C0Dle#o zU8?G>E9x=e?8q!X-UyXrVa+OYb`~|gc5tn221rDLIL{z3-nN~Y+ZeUS$!6wb`qmnC zBsRv#m{MBTmy_%wq5#X1#AZ|$npN^}#TRwdzAWpqEKUnPIboM&HD*N1Y1XOsj&X4K zkOc@(lR*K)G7U%#001Qybcw&uHaF9+jMu?A&SN_=-JNeaI6p+yIy+xEFAHSzDdN3! zZ5m2dw5K`evjnX(x2K+LONnYaUGh9n9TB~}+=_;PZ%+pt7Ou@Mh65Hy&Cj`<#TUvs z=e&zN!ljHa+?O`=&QE3p7h8P#-b*P#EYquYc``h+i#^GakxM>YBDzkc1k5Szj|fiZ ztZZ%bwrwE=I|I(A#o-0HAiJ4@fv~jH^@>L(DnxP@4GD z;lvo>@#S;eNkq%CTukNr{ho97!n3XT>q>3J>;i@0rOn5aAB!cC=Zcs)?dc-6z=RZS zsFBE6Vo5#p_?d_ze(|CfLTp^-WjztnzVBu=`DjyTRP%&ZeTjysV;M(2+Vi2n1)jJR zB&uxvarppi1aU?{9ulxj?yszzIMPLAGzk|IuC0Ps8Ck8 zl)`j9@VSb4-@g6t+`>+PMbIc?MklLPs8X@YJ9P+z&Ulwm98jI{oIuC5UG3H zwGo zv-^Ov#H%qzQRKuBY^QB*;o5OoT%Kn(jf=1S40=O60x1~&=!Y1+{X+LD;BqDZESfs$ zgPz)t?3}i}$%ZLG>T=GaJSe5?`v$^3S1Pdb%8S zi;-#0dEa+Le0f=+CMt!&Ams$H=mmr3wqxc#f)INv9QIe6YwQbja?)s_bWL-HdUEOT z!r)Q_#27l51NL zXFSUx>x(+CsNNtjN40OYrykfL_p}EJT+?~8m4Kr$^{i=p{Er#9rli}pX6m1>>NnTB z#P#N!klt^SxS|`c7khCY-G61LpNJueA?6A4$`#3QGmqHc#{V3H5Td>y8btjja?yf|yVHRZ322mp5qM;sD1GdBNVQ@NMu` zjYPCDte}M^@=5 z@rneVb4GyH&zH4FSxgI=d#B@Z?VPAUn)`B24zND%(tk)u6ee5Wcp7#kcVEkpUFv8< zDIr8e+V;(Mzi0R~B4P$EWx~`jOL95ZuocgclrTp{5kTRU#|ylMgW+^d7194qL*EQR z6%G(zXa0fp8;tJaY`Er$@`?}G=%URtp0Y6xU6vI9rWJu2oiT9E=`4)NtZ*3aWB|ac zr1Kt>IqS{3H{TlZ zsYjknF-f5*D&DE3`B$Ys{}unH^q0V<|L9X_Vi(}-4!|<}h9IXqhY%zwt;K`iwmbZ3 z>$!sve?Ek2HHJV$C;)UR<$k|!+g`J5p|H0#(2f~3Tr3cE7PP{h65+b8DJRKL+)WZES2t~(wxr4c z2xrNTCG6>^_z9xMNS&qzuKEuyt@zzBg*aTapAKT?WnHbncg6ijKtM$y#Za^qNr?1D zIS5cQnX?CK3m0(`^aD5=(bU^#{@_N^qix>|t^utM#kdCP`}gmkVw$AJq$aI``mi1? zq4&f#J*x$=RKOwfp~F@$Jas5e*YtdG%!`SX8WNBAT{Vns83 z?kU$PM$N-Bas@pCSPCMn>q>;LudidLtnWu!x!!iNpGY=t-7f;BzrNnh_jW{Sr>kk) zSU+{SZ1sybB%GMO|beM`x6CN;ydmrS}%@Nj5hzZ%3M>pOVnA0QEe2 zrY?}}dO3}(vhCabe&6;<+VnFzJF2V%*}5lBsor7$ult+b#)yc`SE%az+*Rso0=Y*7 zffFOlay6d(*`Wrw%*qo=qptgMnU3ybw*1lc$2(cE@D1C?Xpg(SpUw#c;I_5*p zc`ys{#NC(57wQEMH`|gC?V}}6J}d1<&}gdvX9?y20Ki0n8J6Wm4q0JK>=4X3#kh#R zVN4NRi}?8>{m-JG{zCt}3G@N_2b+E}{ek<=M@TrW8gQ^Gt2?`oQbXskWVaNCDL@lc z`;XkMe%33V06HR;i|LB^B7r-UAK$ZjHu98c()F*g0o3|0h=zML3hO72E-isH z<2*W#rw7X(kP%71LYj!F>pY6F6;*@QJ{rJi#Ck!(ViM9cyDkW0tyt$now=kKg8Cp& zh9;auLsPO>>N|#25Nl0TP`d^I42*AKo~{lSR5u+K>rl8b@Y~7Uv1=Qw%St$=`kmSp zardG7iJ8SlZ0b_S>XR#Ovyb%jj0_Upw(W?1b;Q*`i8=6an20N4-LFfYXG2CqPTxb* znJ<_No=h+XC?&_ZyvzPVk*q;&Q&{>YcezUH6sgM&n`FO=vh~`fS#-oIYzXkj)gFA5 zz-y*NZ#w7p2a|+RQHew1wR#=IDN4#+`_k1#0JyF%#fA2Bkn7Q}&U(>W;+kZk{cxOM znyl6WhfT$GAN_!R)pmn?UDtJ8m)Fa<17s~h8@8(23!BJ7K)-I$2XeI^Pas*vJP#>dI5@NfpS)hShq8bp7b#O6z8 zvhR%BFL+NWi0w{kPr0j1~9|TFBys#|*-_a}9d!0BAdWA8f8aI+&GlMDRdohyMvR?18_6 zvpbp^>tBb&&e)4n>O?g37sYf!q+<2cmow71x?Ze(>-u3u#oPtL8|7fn#9ymz&we5z z23XF`D8$0z(z0eOx^HQX1dy`?P@6U*r{s-^hTBl#1Ahqsyvfxeb(sA8E^E`;S}v9- z`5pGE!#on$$;vWY#3t@M?3{I>#)ePZXx^ii`rSVzQ(we!V)2IRu|i>(40}&3?cx4C7NgVLXVea zH|Cu7eFxy_076AEb^sJ>BWr&LjQXmN&Z$$poPCN|O;91pDhEwi`D02r2(_OW)_EHo z0ND49>&EV_`RYAHvh(*LFP$}9zot5Ji@HesMa5kDnaSb0x}_d(L|8UzSawPoGU zLll$1C+h-($uy2Z*D>*HesD{_*d8TN%(iW399axCJKwuv0(4a)q9}Av8;nr6Z2N|Y zrjFG|Z9X6Ukd;-RY!)@TX-4{cL&9u`uCeL@kDb$R;IdxXjD`V5_ zJdPfnmKC2xETv5S^u_4J0(v|`o(uJM{Q{K75G*9RSF=zETzG4flAU3uquIN^*(}pz z2;*jpfPm<$Zf!N&*ET!qegwT|A>g@2OwTj>R*$P%MF=5P+VzkXdt!irYx>dR=e4x* z+fqt7gdjFsIj3#A%Y2i3m|_XQTm+QZ_g$^04+ZdF0-pYfFZVa6zX3M=dGxg>XlwgW zKZtRG1sBgb31%vLR*f{oU~Gpoko=SOtY5OhdY=xg>0+yeuUYSUCfCk*L7XjecMDzd<3I6bEJ-HVjrG_uQEY= zZej6G5-Wh5)0sQxzVAf=yZ0A=G`^G~pg=J;ZMa#00~g2%x_7ATatpj4l5k~%MSzhE z>>t2PYlFF%dFk7Brq-x-en^b1=lv^-MsSWxoCfa`lVQV)pRX>LEa4IR84p-;5d$bO z#e1eAK@IYW=v#%$8rW`9kw+MnydVm2JPvRI!x@DbiO_a7)}Wsw&Z5e>(TouVoE3R~ zaQ!yfJJg^t8Whj<7)^RNfX`4xl{AiR(g3MRN+_k2vTS(vtbFir6=?Il%+>sW5JD7G zbecU0svT%PX@>3Y2WH_qa)4z}tdd(-~&OI>KXv>&@mJc``oLW^I_IjqRuJS_|49!yDtWEX&2(BqDiP-tM0b={+hP zH#HcqEXyLJG*%=jumlvqYRB4xR{A=cnXmml`WS*4^mRs{as!o;6-*~Bwid2HIqGTK z#PhXfg;i-m43JXdV_))&2?Fr4tccB-*g-t{*@M4!rG9qZncEf0Y&ugZb;VxaAS9tV z4*}lwO1v51X$JzK+wCSPfTkqJ$63m<$4L@DigD}o1R<;exOo8MM%GK`|LIsB<1J#UrSi%(q@m2vRu)K0m7++DfXixOuD zP5m505R86ZSF<*C1p~~M>U8mnlx{eqDP`+ls{WN?k1%=uJ;coGx|&Vfc*D1E-$?0Q z1$Uz*Am)W_h;-KG7)-R^Y?eu0-0Ki600<$F#k`kJ{e(@j7Xknz5p9UCudh!cr}p@n zG$q$%ke{CwB1EwP$6mGs1_UwtdjG7L(6((k&2fQ4_&bTri#W=S6VS>eSaXS;l*JH8 zaOa(m8*IKc)65pj7?ZR<1puo27;7?or__*z9egQ`^{}RVwiB=dsdB3zmFLS!@;~gk z8bHsrdxzp|1#v37b;FC}tY z__Q1ZfOUP*?QISY#<&2m7#N-x1s=HU6m!;QVN_1A;KN>cc$L0k7j3BhEhXRYcPa1; zcV5?(P`h0x61#(u-QTljpxVE)1aq_~lU-{iZw6d(GHh{3Ofl94En#LcQe#D*$0A}} zwr#U0wC;V`;yu=DH!R0G7L{ZmL#DbuB5`B*&VE)DjqE%fp2CIIm~r;| zyx5UsQpvW1(`C;bLxjon`v{$-P7;!eJ|*lA2;$u1fei`oD*ZA}u+^l`$)WbgupGy0 zJLFy;%$mPwc>8?~t_?N?iMd?7@t~~c8M#W|I2^@C3M-m9*>AZNLR8zjkC+ADJb%M}9lPleXFw0JEiaEMz#;+rKpk|LOE^3IH8J;=X+@eRztnms=|!(bku18!6ot)u7> z0Uu3Jr&U%5z#70xt5Hfhi4)wDh9N~W*CUbo_cTOA|NZyhR7`DYf>m_`zik^|dK#J^ zq7&P?SB~!DxGjJR^H560j{p88YahWPlzj@kxTl&n;jFrC+hTYYGX*uwiE$yM<25n> z9&58Rw)${Iq5wF(gO31qlOKoII<8~IVo<`ioXeusRu_?i3dXuZCzEaP)_eT`03ZNK zL_t*Q(IzN~m>S8IaqVz57kWu4#TcO72iTFTi+wG=YifpkmV`v|L1lTBYIw^A$hOvh zW|k{OA%OSRX8l2GRfh_kAqwP4aij zG!_VZs$oEY+sjRy>mRM)gw#tq1_e*MW^MZxLbymH_8_TE`l&Ze%1QLK&(xwya57Je zarTMY&%CxlXN7k`@MlFg$!)XJ&Ab3yoq9)HAjffP$)ML821aLoQw}2f*v%c~oL_D? zSyQu=K4&yx(ZwFqsR^ zb2L7sOtXu%Kh|A)!eaiOY>^N}1rBuN!=bX~cd}z6RwD(^tBjX?7wqFM* zS4sdZD^ab#OU^|Ahm;a)#2W>s@z;*<&!^x1h5kwO4>tWA`U@uIq=#~}S*(_vO9;fw zDeYn~FYyx_qsU1KzbW~{L~s|Onq%rmOaO$I6` z>sT1JA1AWY1$kM}z*%ryE%Pj3(yS2oweoTHm?#*zy|?Ad+Y$yYFz1qU+V>4=RL!vg zIF|56v(N9TCW@LiZTuAgx}2nsQO~2t7zK8p+L(~W(*%G9rJIxq;|O^33PePtecwf@ z*C&7cf}ho(R>O2{S<^DQ-2PO*icv7(Bnz!I;Ira3fS{rlBjUh{Ue}cYaz5;x6`|E003B)Rlwz`v6Up>gNmP;lU;{4HbA$bZ{EU@ z86-d|RBN^IO<_uc1*3!!Z1KKhh+2^S_{nZFkWtXyarh8P@OqK!+_~3HfCj#_+Z2mr zW)^Q^lL<%jr&4lCJGR!)%*}{F^X^Vb!c)#H-0K7&LV;#-m0;Z+ZNVM>tHV<7B%+j4 z2$4@PGATco{PJ?@hyEbdm?}6|h9SVRtg`ieifyJH5FQnh*H)ORdYeA0cq0w=H}4c8 zRAv0z!3WMrpvF=PbFNPMvs{0Kw5}_+iRm4_W(#;ccKZtL)Aft+zVjAz0^IC!%Y^mL z4oqPHNNGPi%|i(Lwu$Nb11jw|D5ag14;=d^DY#k6kplImUQqCt-W@ak(?q>^d^<^e?5Rq29Wr6O9h_r=;_hd{CuSo=UO; zjwSv~8sF@nK+Ie<3d|fr5Rg;?23Ai&L}uRGh`sXcFKYAGrr&XyejonA^Q6{)gOBi81YYziU2uoo!L!g;nk*$&`=O;v(_B!VpC6HYw zr8dDaV~AZQ?xUu*>N7N0BJYUUmQREMiCg!)%x1u7X@WT(w5}^^AYEzo`J3$|K5lPj z=2FA$St5v^uqPu3j@~pAT|hK#95}?KK8nYcac~4kBBGl7dNwRXB(itsgg%|j*CZlG z&IJW0(lJJ;5i>+ajmwF*`+KWH+V1zW-~%$x&m{22sg#m)K_qTWc+4gd1bIAl;eRJ> z+a9XN>ty1^7`bptX(RKL6BrkNQb`bG)!O*&&fCIl-R$V%jy_oSl2bB%r%7+w5@G;25xMWyj~EQK@3I! zK&>ykPBADj?Tx~CuzNb5aap`{LCXZIR@Em1$dbS7_4T!A8WSH*#@-s#!&Cd<4cm0U ztJbZ?nH_+8tiIvvx;QikbQrCQrh|4)`7R6E!o6+gK^48_(gm(@J#s^EvRBzX`@Rto z(p1PtNpi)kuIQIr{<*_%J<)ScXK50b7)vQjjPSI@eTDNF_LQc*-}ddZ&aRZwx~@5= zl#*?Hc5o6=;Ue0eCo8guF`!_#r>YmJKGgGV|4pi~6o`mqD@Xv7RaHvawha*_QfVsR=+A)Z&hesJ{+3da!|0yRToHe*YKxr_k4iO@9*oebceZ5@sDEX_1*rr*yUakIcL* zlQbZ|!_fWqCj>JW=4xRoenTnk;yB5yT+HKLs2#?NVhx9S!xJ?&r~2s(wtTQZ$EU*x zYPe}u^=UP=O`**hVr(2VVkb^HgASfR1m+@eRkikYU6bK@DtN+fnK~pQ0GIOLfB#`?V)kaI#^1$pUNijAu$!R7Avu!|XCNbv3*3GU zf%bhn86PaAq_m4;X$MxG^bEV(>@#x-6acWC@ZVCG=qfzaX0m->dfkE;U50vjHUtVG zl#-_lMx4<1eJj~){rKpXE5|K@<6^7K!ev?U@M`ys0{}=Vm%<|Tsj{K7s_VD;LsQm@3s9E^bgs>TS`^~FV+t11?U zlGjArEi_{%!feF4By#1Fck7-rP3N-Y1D(Oy9_>S%|W4JnA0Yu~q*msO+Yoz>O& zFY5_-KvY)XI2CP`5z(@)rIdUuVLyTpV}L%?_0j(0{mdr3-6dUEmgU>G|83i@<@>(~ zfb06g3?=95eQ6xYaeSCdj4@ONOMi0=k&rI(%+t>ea@mFAfoK~a)1h%KBHyNY_Di8${RNW#_AYxc?9LWYYA<0 zj`?6aNv+7gdVxemeKOY7sYPe(s(tO=^SsfPENI@B(;S|Uk_|#dmO~hiXmqB~KAeJo zygMd5b$YBA|XWje|C;1e0O|0VBF-F?Edc{(}6VdDI zYbnfJ@QnHY*_~;s?*9FTwC{UX=NpM%5o63bN!$$E*-WSCS)YIO!{{py?-zzmzw&GQ zwPc1`@>OK2`~6jdiO42}NGa`zxGc-Q?;v{lv0RLO+>rHs-GC=_12rK_Q-ciNCBpl(z?Fr{Rqu` zuzx(xH{TL7>^h7=oF*-ikM2jEgv7_{ZB$ z9q*-mmDU*8w@sE+4SL&5F&4K|)exW?o_+%G0h{?=vo1g&!koomE%*VE`${R>cF#F4 z%W7|Sd?WH_YB1<_iHJxle*3|xecSg<{k{!!9y>Ls?w%rv)EK4^tr+H!3j_LLa%;2J z)&1jAP>k+B!`P|)xJn7i5gtw%wO$Odf&p z_z1`|^5zps!tGu5Le1FO)Uoa@HOEzBgFLjkKf~O`@!!QGKZELPXFIlSKLs~LMWUSM zLrXpS0sN{ST#)Cs2hMvwD?XQ|dqoI`;#T}oLI^UaWG;()#Ih`UxByIc*MA15|L7t5 z!m#O2qQ7$@iYu#dAtA&V7fm}}{X0N1nGgX$2w`1cW={IYf#P5n_w#IQ?M{E?Y#%=> z{ypEAX#EE&yhiT+}+5w%uldJO1IU7|>gFnp1O0T=7H`Sz75_ElPG}je> z@f83C#ANknA4|w7J?2R_4o=@83L)owCc21}g*ERjx4=Oo;;LX!88;KQJ)B`WkHTea zny}Q;Wp6bwNTF>H=j#-6&daihfv0n67b*5wtdnQ!Uw=<0kc=0hovF;gcE`GWLNX{Y zX)O>TapAEAfWW1kL7CtL^=p#bn2RJ3C|vA?qy826EKpe2mtj7m?ay|_72BguF~%5| zlyVDY9x@0~5EO~10pr}PKpp*~gC59``!&kJa z5~i0@0JxbiwXVf2ZCfc`x}FMaz4&eY694YWY~{L0bV^)IfPPAk*F|{V_H7d3R4{!C zpvgVnB0l?C_W|Z@-+(7wmPS2q|1MM`_i-s@wl9SmGh_x&e1zIp??{*JV__oZ)5FN+ zmPV!loK48To)it+So15#l><~cH;yL@!hsJOKPXPdB2KFA=wW7K?c;Cs3!mUhq zNLh^*_>ZU4tdz2@FGRF$_hIDG%qEVQn4C+CC+>QU>r)@i@|^=2zp z>nARv4+HRy5Z zyuh@Dq9h<9x%2U^O^c!smbgs)Fr>4rZ}Xy@DJy7$;GbQW)lUq)`BQD4A5!GpwC zR}vt!beucmwtGguZrI0T_v|2k@PO`bIO!T{H2r{x+qSLidN@uZN@9$wa!;Dow^FM2 z!PUB7QN#Ak%AXF+Tf_&4d2p1~Q3qzq`pM|XgAC9JKV7@@3;OG4!@~ejn3rXl3T(pN zit?Z}gz2ku4eX|eYe`j;MmBI~HpJe63lDcugce#de!cB%eWiziM$?*O!HFN@x~@;I zG8rPo3P2+w$bS#f3}bkV)G66;Rpi1VUtK~xXWVadJ*Bko8y8lHZCF5n-@g41#Ta>z zbvr@bK6f&_eHP7=Mzxn#n#~M~vZ{5#J_`3Z=~%m>ob}g~((CJM1M0bMk){^ewtFi_ zHN88r4sXa7X3D9tE7bA|AEu_QnC+}l=2LUB->6ZcKV58l!XeqwS>qq-6cOFN-Eat^ zD$@cOwrvyBr0de&B(t}gZo;I-T+w!ez zQi3x|^8W;C|19N4%89wm_u98p5SDS4Jk2)S@pJZ@~H?cSYY3~Cz2gFu51P#->?sNwJj@+CG65Z1!SOb4Be2*|2md48{uieikW-!-;Gt^`du z&cBx=e!Z*_u`>mLoHHWkTyn0_hf%e>Cl)GS-`e!&(wCi?Ullg}vM=lxk>5}x z!k4gUPzn=~9snfPeiG3J0K~gIgphNRz&%pLa3nr>5}X|yAAa2z1wK;C^vcw4L!R?r~wt z&ysXcYj0YD&6gO$zV9^%V>^yw6}7Hw2yx#xeU-*8cOwVbc%pf(Q1Yl$MxPl%lc)sk zj~Iq{jY6kpc)P25kN7+WqQTx1O#paa;)R;sqPlv07zX_SwJ#C?V2KN}iSeFEHk!L| zcEV~1q;_gUcMyd4z29FuLqCsWUR)f-t;2?;Ej_YHb>qxRgAxD+I2deS^UEk@+LQiWyRMn-v9eCU{Q~5$*eyQv!t- zeMP9z8=gt%;VH&=w#k#GEW6#leXui1rIZkA&arOyHL|24T|1|9;ldj?#z-|5jWmd`bpd!JVP*O9+(*u63Df&YRi@&$*pl zyxndwL{aL<@5TYn1vzd z((HrNjG9)mXO>&eACEWC|9fkE&zd=O?-}Zcrs4bR6^m1Wh!Px}x$JvtZaa~vru^M! z|GVhib-yo)nldc@>$J2tFIEa6$QJ$j`g&~R8bVOgkgC#}jj`8tB%jYa_qFJ=w8DQa zeO1`>XV70W`Fh<9a}nlP7`vPcGq3AQ&T_pbd=~~w{Y*U&^T@!xy_>fO;-?)CcGRA+ zywiX0x~FTu*F{UKgbnsLGqboGsU+US!&nzC`@Xk{9p>EI30cQM zWQm-1NoNH1DnGnZAG_1+P5grr3(}N5`u6oxGfx@;br4q3l2PSDQX3_Mz!9=PpuByTJP z$|)hjx~^@%5C8*FAWC_%tlm>m{lHvaUT$g{+|OO2K!Fxb#Rj@dj8dNeJKiHFDq>A# zuJ$R-3dt#nH?faQchUHZIVc8DIi+ssChBewrkgmqxXD7bL4& zjB(rd>A_vN0AS%_VSLXA&5v8t3?nfm+qZrCI@WbbDJ9`Oj-LB5!;bNro_p4TvvXGV zkY|jzyV7Ee6hh1C*3H9I2%XVABEm3RmBTS+;TTUzeFg~CzhpS_5h>*?%1g}<;NQL< zoMB2eKS3#s>KI`uyy~234rKI?2_Is8JH}wL-wX|SQz|<~^r4sXHevg^y7tXlF3y+W zjEKcwzwKSm+k1iWtd}2dd;9Ekqs6y=n%6LUw)=IgblQ^sDc@#hkl=O8vT&7Rme%!9 z3{DZCk5cvb0H*)wQ|NQzQvIX9iV%sJL+}Pc{QCMIQDarQ?|TSA?B`4A-O%tP61;4M zXB*jgFgU^W&1PTyIu(unkG(g`k{rpgL;=V^s(Dn^e{ZuF@XmSvz0F=gb-J4>DI(~P z3xGnZnz?%n*_9Q}%JNXtfs_#fTwDM@eh&S}H$VB#xflFQQG_O)QUWi)5+g7Z%KOG| zh)y7+PPcyU9lriagI_g~U8#vrr<{T>v)!NAaL+G~mXq}biAwnz^Q#|dIvkbLmvu#q zxgU_1<}Z4G87YftdHNJ-+i*Q zPH!qJD-(zE%`7Y;+rA-_AWhTp5;^^xchg!^Bz$~&wz>^pQ+-+$Kiy^cqEP0TMNk<1 z0ELNqZ!97te-Jzc7NDxN)V4Qo>#=Q;3*9df^@$syXLLj2)H?bCi~5IubkTQy&BLA!cf==bT$_Ckf%>1h5Hq zkS{Rx$+B+7-R7~qOd{gGJssgoQN(D;Wy#G0_(=(!`6vgLBvBIT_-sCXpXf-$=RYq+ zy8VRYW17h83U1prrEi;#XksQBy$dtg5|?L9;~9TNtL6b!l%^a*TkkK$8ndJ%kH@`~ ziVD%w9jw8ZGk1n%V_Jc^~wc0^^9>U%&b;B5Aa(=vUQ>h+O zYf@|up{AeQ)?S#{Rh$aEVmxpohJ?H#*Y&j=p`;#Uid@&h*#CZJtYv1q4htqoc z^tlMdS$X*IYrp&YK$EnWIUC@>%wTK5QYO;gN6u-Co>E4XfV*7{NJTj8bT|FWmfL@= z>m&H(Pk+v*0K>oP_1_XU{b#KEKOzPGrLTFjiSWL6;G`+#ZUIv;iX>;SBU@{&l!^?e zQ9YHODtOG-#~)okaFq75yM6L=^ogEMspl_{+2QF`0ciEc*Emjjy6MTr`glxYzH-j}bH3GNnw}1DJ!=cE`$hUfn|OM{ z`3PRTfY+Z}p{+M2UTSqb?$iV(AWW<}h~#sG<FPPjgzL4BMzAiZX2?uPw4J4eMmN5~Hp(U3& z)#KA#JWtNmsK%o~1|P#xI!Ze6WHEM3l#(FN%=ylzR?%_Fus`bP4nEqpO^Ir)_s9LT zLWzhGDaOo{(#tFd*GGpR;*#}}*=Au36&B@JrRsWfY+^|O03ZNKL_t&&vBKh?jvmi1 zV!h0LSJi!Qs2BqwnsV-~&0mrvDdjN~!U-@2KngPQ0F2RvWzdoS z;ur$qp_+5q_w6ZD#L-|nE$^oxcG@q}wYJt?0r#WUN=lw&{20JXALa~EarwDv;?Sw$ zBvVq+7-k69NFMTC{PY=xB-3j`0$cUA^JFm;Cif-q<(~Y+EuEYPmnH#;tB?Dgh~a^| zHgc#)N|G>o^Udco;`sX_^rYztnSB=HmkB>~1tidz0H$O0 zL2txyw#c*N?Rq`GdC_(-UtdE^vk)tzkj1hV0vy|AHC$lM5Pp9(0&u9BgXlxit#Zf z^Q}Zut%CilZED7O{tr(Y{?g;mbg@t_O5^kO65$Iy!|k@x$T)5sqRH2NU6}+ z#uz!LQmUUB?EgbX=lGLT%8}OzIoj|ANI+&8$j?rBO;mduV-(-eJMW)DvJLH^e zUFPqw*Gu&WF*Bn&5E2p8TH+2lAAK342T2Ma`K@TaZTuN;dJWuYgAD>;J9wC(xP!X# z?b|mzBj+6dB83oc5%1uCWW}=cvaG~ROx_w{wU?VEK3YQww7BLB9AB8|1fEQO&^&$3p1>g3btuPvD8wSIJ9IDS=V)%N}JhOHs}ii%HkoQ zHyDsmHE_peS$reZ7|D3CENjliks4OjoXgwoO-aY_pn0}1ORTqAt8r@lO$(jE?4(Rg z%d%WHG-EaTO~^+cLq|+l6}@+R5OJvm^LS}$)PtWJUs6@ok#kANQ|ayD<3Z9|4^iWof-S&HA7eXAXClXF#E|A(LhKWpFl6R2My(4D z#Fz*)6>DQ2!x)p9ZWfKqIiq4*v9CeiW@5U%y$w~SBa?XC)|0XDd2QHW#^9fyl~i>% z<1M3K=~(diqP)Gmfr2*=< zC+Cb<$aqjF7)&HAI!4abso7?XHO z_Ts3@RlF0?vaECzMak1oa!UE}c+_Pfrq&zHk;E~Me3Y2O&2bYx3){Jy%)G8E0P%TA znC0t)|PI{fJ;8z<%qYA0GAJ2xj2 z7uW@U4wiXiy76W5^SoP+eeEioImL4+&>W6$5!X_4E+v;~ZC~`;}eCT z%$#%D_gzwAB1r-R1oLP!V#f}ZQhgWTNmQWc`~F2EsvnNzLY1fXAtBFUu=v-OWyMIk zsAqDEY1*_d@-QP|4Y|@APrQ6BJ-umbAmu}b`ss!(22~tv2{>$~%AaOSUbGr!f)#}x z;|tSVAF>GbMdl?v@A+x3MU$W8!Yd1UHl?woKly?$_~SnQIKF%b+Xq(6bd%3pW#hdp z3$_&)Gd>ikwqi+RtvZEK@0pp8s)j`Lip~0WxSm$)U-g`S&SUs}ccM653 zl!_crB2r2Lan-!CwYFwXBm|ktbU`JBjtl9TbB^|iNbe&hlx${8DkP#h0HNaG!A-`{ zlyc62C( z##MIMkTsX0L*d~^oT-QmRc0==hPIPLaw%=!b1p1AdatF9F_1}f2@I5oiAt$^+cCQ$ zQYwHmR#H-}wK_AXglQMZOU}8LiUa}}jhr)LIbpWdQqcu~zqJ$=sihzjO-d3v>*zhF zqN)X@SJl#uDF#%PiI!y^gh61!{mxctqX36M8Fs{WEW*-P_ozC?eVzh zoJa3D=iVBuQ)aHEBuTS96HHitDELY?3uE-qZrAk&y`lGBYqdJ9L}VN;Wnyp)vBj`$ zOUbH=?}u`aWrn=Etznf8A_jhkyDiIt-Hm|9-aFDh_7Uhai^#I9+xAdZNuop9Ai{;2 zbIN!hi%OyW40JZtQiqEO&}4|Y)Uvmoh-#_5cS%x9&0fYD8yOjaRY!0$v5TR?`c8!E z-|>ZIS)k0-THp`Iyw_UyeM6ISF8j9Gbfmm23q%J-?=VaFU@DPwSTI2_A*>?PIKUc6 z+^CO~OIA{sUA>f2a;X*DjY_Gl?N~5l3;+iq4AH@5Sv+Bx4|UEMd5-rk64+a5Xj5io|TLMW~4I(lE%6;HSAz#M`-`Vyie=Fa6? z@pM`-4#LEJbY_ArG*n@ALvDnsQo} z1qcOp2lo3I9gl#|Z#wKaXv;WETWhs~bkkFkK8B8Qd%KY$xL9+^w{`V!V_nx9hMJuR zhZSB*6=7yxmSx}fSOzn6f+s$rKiF%hILymXlH7`>FRoL#eo5?dv_C5fk57lK|+P-6`nMG1k)2S>% zlieQ)^$FfAWK_I>V1zs;_eq4REFxgM0e#CDmZ7)X zTW`&fIF@x?L$YDZK*V7IKppZ&a9|unhrW%WMpiCDt|8TRSxr$Kz2qz@{r2TI79mm` zi=icwg)-RaVP)dvO-Y!|I|xM%D*EGb$I3wx8**iJ+a9%6B26i^-fp)WyFZkPRR% z0B-OT2os#1%d$Kk4@}3DPoBj*C2A2DNmi8)le zoKi|TWpmi$co@C=L9ewgy)~Pjl#yenj}Da}=PW5fzs)%l6Wm4XvWTS7d#$C}E;fP- z6X8J2;6vn$KgWQmF>L|bIE)T_$^<{I;H-gSoKr5Qc6NrO8a-jFnvX>1vhVvCno@#>`u6r^^Z|u6=K}qh zm}@CuM3h=U`q=iJiIAuWrV-4}T9=g4=)LvMM5R`klsV^|vVxx?32{~HvcOn^3YDD= znyeZv2 z&3N<vdg8^ z)>`n9-S;c4HK=h!V7wNnTtvi{9B@Rex^?R+xvCB# z#a1e%5>Y7?842$9JMOl(J*T{`xA*t=6+Drgg}IMTZs)XpU)F_9u~TA7V#X~n*3jE( zsY6Fjh9ZIJ!NRrFlyYzFcDrHq3QH;F{r+xiNfKD7v6tP_Gxm^3^7_BniP+HWCfslZ zkzkKK9uI)^Nh~r5N*?e>kpye)cDoVrzBfDq`y!>xOhL1PKIc#=lt@EofPT99%KNqt z9Wb0kL|BwGiGfxi4TW6Yw#^AC3~33q62dc3gNTe~rjm;lS7EB9!dsA25|R7;PNcW> z7NCQOpv2a)tY%mAHbyU{?E4m72%8XVcwN_h-=jz%WGwO?)KYUPs)LAg$)pOT8|Mp_ zALO2R90R`WZWP6X#H&It%gRjm`@NJxq^&nd6(%mV0tDH%jhNSUCDmMt%Tf%~4m%U) z7dv>0o4^dfr^~WJfNa~AastKy*_CqI+g@@3nzJkm>>R`|GjpwLDFzmY=?fzY=K^jO z{xcZH+qRWbw(Wr;frd5Y#Kf()r7m#nsgB$2jfninu=IRmjCH+*g9NMh@puGnt(3eh z3vBG}wL!LhtF;QdL6Qe&IgIh_y~ECg>>9n} zgvuo|ajhk#G~7G;<;!mq{A$Op>llD^acr4Udy3aD2cHFFC`nv+o-mlUVA&iMk;L z3!DVuxWYrjd4TE5Iq&;^yS;7O1NYpv2eA?EO0j;S`}@AbR>zW_Ts0hkDF8c6P4=vg zz^B&rhTVZ^AxUC9%93$1rj&>jz~B9GM;EYuaHs|Rhdqnk6jVy=ZgenuiX-OnxaX4B zWgVl#TLxC0h&gm`x0`!CE&n@qF?KW#KI}nq8l9iEsr!<{DTd90wPbK`Ybm9rE)Ze> z=!fGqkVx*^NC7JVt1YF7q@K zMKy^ad0z@WMBCAd zrMA`)bB4h*G6YT#mMDZEKKnUmz}a|ot!3YLoP&1y9(-&98ike9djmAtdt;V9I?lnI zjbOO#8?u_dy}dy)U@-@hg-tQWczgQ-_yz+AqC;RQI8RF{eGDCTG`K1y&}1Ng?vFbY zBfkeT128Ja2FL-$BGXRxl5~*slZ?vD^6lHVx-3MzZ5um|yew;PEteb$jL{~hz=D0> z-4P-p3AzNVmFOcvuS8_q9&wJ7YyQYcTbBhoAv{kwuVI=6G#rlM+wI02M?As0fu`-F zn%##n_I)2_5{@Kdg>mB)sMzTSJlOEW8>b-BfSxiGmZ#d912n{+^Nrk1> z%9cfBz*qpyF{Q)~(qa62?_!`C0i)da9n{fW3K8X$`WRSOn4@J`SOlN8-jj$msTw(V zj1JRA5-f;JO2M4yy|K|ML+AGLxw`%N-4Lt6LCZ*L5+aOi(Dk3{6S22 zOI8ADsgUE)?46yOp`yVOK%g-Q8UQBHxOH7sY1=j^l*R3HSQHTYy*Hdat#!~Ip|FO@ zr>X#q_I-0TqL)%yGb0D|x^-P~)Tvq!*0w#MH9Br<>_$dl9KBaKenliDQb5LI z+csbf#9ZsrN3W%H%gwI|!8WG6!rhv?C9FBZxcZUp6pRvB!XwrU0y)>|&6nrS*5#jDWCzI^#jglk=} zL7-N`$Vna}k2TB|A1Wdo+%a-QhDBOyNR^eHrcq1X+n&VCTnuT>d2f3y6~7{)K6;Xr zav>tX3NYhKDSO*V3FHKz*f3-2vZ$+2Ip@Gw^h0f(*pap~yy(1co1GhlL4n z=WS(TG;Cd082>;4V1z)Z0({x`1}cPuAcr#y`;l4dQf+>dF--dC9_mO-T_9TVse0~0 z5S%?cje*XD*@+>AjZKzlPvLiIttF9CYHtnBo~15CwBAZA&0c0Hg-S-V^ zxb-d~wNxF$qyHqZKM|1jD6nhqe#qhOUfJ33<%d)_{$4}sLFu6TO$ptG=$9Qa8_G3MeI}8^)PdVtN zAcKRIi3)+3HN5}j%Wo;A$K(Fx%NJzOV_~=`aCnirM;)f&5X z+cqE(xJj)QpcNF(P-axs-Ps9rzhTtW?kF?uZC~m#tV|4z4k2d2*O+uP-qFF!W67!U>scguQW0|{-fk<-+;v@(haIGpz&r(HW_W9B zwUm_e=v^%5PcFGYS|Xqas{-)iwr%jRdWOf;+U_ATAafv`87eWjdqkx0D+u!#qwPCx z0woRJzTP`LRjut1P$}gYJ?ts$2+UWYwAhT`utQ1cW87{xY)@iF^o*i6}`jpSlP4z?q$M7Do(q3}WuBu|s~jl;J7YLc}4a0r4)~ zd>BPUTHE2pY;8yU4HgMAIZjOu?su_hIp`q+TzIeRz`!LDexz3VQX||`%*R81e+CnI zSxlM1Mi6HOvE?cWu11QpJJKk{aRyDy7aOCSYJO<(5kRDc4Ir`>R4@1z##9{iWSN55 zb5$A36_@~d(1!1QB2veWVO(3TGuUN+bJ&#rNpRM`0fs#oq49<(2X(R zJXfU)X6gjN6!rk*vZJnQT3-^!dJtVwQc4h}z&>&g%&X(zw{s}h-otuN+qTWFHnNm_ z)xwWO03Wbl#pp%IU)ds&B|KC_3TObgazN9luWr+3#FJ)=4iLE10suu!IbxZ^mP1rH z^t{L8{`U3-0Y^A^!h$6a$M#z>n6@~I_I-0_rY?)Y1gPrMdlP4`cT|D1Bg`B65FMeb0VhR2Qe+Rf-{^_iZ8?bD5a1Z>H(W` zS(jm|n}})lI>umP5r(T1Wzf!H0D$}gR2VBvlBMBi7EooOYOl+J;1?o- zIpJr&!iMoV1^x)aW(vDH!b5r=U_=I0K|FN;IG)RbZ}Pg{kYNCX1+b4pQDDov2R>uI z-`}BXK>dXVZ@Y=D05FylTPbRmlu9Wgh(=^p@&Z9o9Ht|1o|(gw9C`z^SoczNut5Om z+}2xf4d{07eOXtw9h;WL0^*qY@z|hNVuS%hfLE*TEJ315p}P3EXKr3zwaU2iENKSL!i znGL6oqop}Gr$mUyG+scoWZ#YW3FiUE5Nu5d8zQQ85n+HV2qa8?F_QzkB_#o5fM3Dp z0RXK^`?jT&`sk!2BE&2#@J56VDk))&B_lW_z`KYY27Aa=;bjbE7O*4zDGE!Th#rrJ zg{lb?5sYmjO*!Y30aXM76=CTScpIrs0yP^(l{F9(BKq-oU^J9;dpwLiR~I7M_qMJ# zOlvL$(Xx)XnT|VeSilhCkpUorgQ0-+@Ahz!BzxPJbw$IF*B3X0MFHHz!JVZXQLYr{ z4!L6wCk1f2upZyv-`T>h4JfQjEu|n$3a()KNwpz}@1o6A@6zMG^>u z%)IYAGSCUzxhCc%s-U)AnhiH+oMojHBpe6E4a|Dqw`EyX&F%#qtUFyDL|tI(*(#-g zvC>K@y^q;&8XgXkj+{22Vj0yPvG&`xxtZ6;7%aRwFAwORg9UoKz4a-{Ll9D8MjX+z zBqTs6hI4q)NGz@U{XOV!y>}MQDI+ya$t9(c(gT zjC+6o29yk>B&Y%GeqUi(>HtIY0LNv-vMzXLfH1Mbbwge8YBhk%8k9(@cQV5{}kYF$_&SuFux$=M=% z&^%}+xS@zexnd*t-oteih~d6(zy0>xV|%c$4qca3Rk58(QPc=AA}P%EKrM-a0aqd% z3a31J2h9_c0_YHOZ&{a=60{?-43&x`r{#b@EU7Eal` zDKXK$xpKTn3C?*=DMK8o`P~hf(FkJz(LgT0001BWNklp7*? zb{q<~+ilx6D73gUo({2-QhGe@NDF|)0~G9t%_7ErL=d!uUEmbja6!!~M7G3VxwYm# z=H$JGrU&R*{1h8w0J0ywcO;4}IkSk40p~6xfhLJtTDEveC2)=XB z%sqt2vMoX9Ts(yWDLuBwYKIQ=ClbYLr(Zhd)jIx# z8120ck6uTt0o1~X3r4h5O6h*TgK`*AqCrG$Z4U>Rda5$7ZOUVSk0Zc_fS|dNY|s?6 zH~5o9kdX&IZ4?xmEkV!JV#f=IRjUl=8McSR^AN&!haZ2RQWJaw%gBCfXcg?l|On zSF@7^;pZu5F=EkNdR0?S&BBWYiwMhOdw8x@cFj`veM?Sp1;lXln)%!N*V}p#WiDcUMwk&nu+dM&i^wE)FZ*1G6E{h`0v=luRPcR$=4q@D6-*=!< zzzuO)1Jj2ZBpzZ6Pk!$M2_ME7k8J~MQpZ4sgp$kN_H0Q25oTrOi31ZEV?+=QCIvbZ zHUcK)@pz<^#!%$;LS6e%kqHK{sv5hEB&FNBJ|4D@Fw_9!um{BwCs|4+yxz7)@$e-b zBc*hIJd)?Id%wTm*4y585T7r#p7(t#xnfeV%%XfMrp8zRlN$r(y%Gs?@10$pAF8Cf z?HhDr{B~V$LkCzk%!faQJlF80G5FQn+uOeH@mz4MFjTE~5$>&*QoJwRTT3}J(Xy^0DCeeKXGiB86M?Qs#>K3CX`WR1#0-}7}$EMx-KiZ(H;n(VW3{;7od;0$D*~41PE9m zI17Tr1lNU2gMo_kSd+i~_HWLHAZFUO4f$}9O$EJht`ipT2$T@=4YH=UhK?ZW5K@kr zky~)C9uumzV|)_H9HF(#;f6UfS3;h**8cN9|MRzh`>nVBcsydE?)#oSSsRNib-_K= zBARJ#Z7|@72o93gI!tIb&4c&dj-JQk&H!A*uuCEuI!Y-e7YMHGs7It=#sa1(LqaqF zWcfH%BWH-JiQhOuVpEh_x;Y-qLXNu?&vh7*>f5((an#0Xf_HG9;)z2CDPd2c>^r6a zp90awZ?U#RSu4@$q;_O55WxE2qeHfbPRSuV%Yu2=f>>-1*zLZvZqgk{H-A@u`sx z1$zp#R_9?2U&EF&9WdXQFJI7WwBh~zeO+%*&v1N@8K^nVYaF1Z6lU4?4Mb)l!fH2A zRajW|eOqrg@Y5YZzT#eO;F2AOHA2by>$4+v5R{0}CVN)LSPaVp{4Fx}R9S9rLXc z@%#JNaKAVLe;a_emj?X3|K6fn2m#mU#T)@4~; zx@M0Ri>!#qerv7{ta*$rIS&p}<$iw`k=A=o>D#xjaFzq{hiLK~N?{ZTa7jeJvC*9E z9Hi-r6Rox8Vk*7g-_enr5~$)t1nMFd&r+8llZK5Z77!zt-243wNSYiHQp=#CMErh# zFS+ddM#T5~I}znlz`8(C8gSuc*)!3d!+eNEB_6@x2giX!;ZxPIZF@|g=~_(in6ila zJH2-%?!DDzG3CKTgypY}^j@LDiwe z)LYLbzkmC7yS?pg7t56-u%6i`CdrCW~tvz8l2PgJKvj9*SGqT@Itiz~G)03jwG zV+5m>JfHOmFb3JEb{L`QCS3lrSzy8Pn_>ffk3pV|kyZ`NW6V0%xyR#IO zexRigbAg%mZjmN9ydfW55aT|>8FM4ffZ0f(sT{MTLPs5^kfdNh1a&38e`$TlQ}R%GGmBeO6Y`T1m^ zryn5*8&^fc5TMtgy3z7Z`l|e z34CpUjE;8Mj)Mu^yK$YoBi*eIfvE;Ql(%s7)&b??HJ~VBUY*zlHUXUc-`>AjMG+PkAcD<;cT!5g8Um|=*?^}*OfeG>A7&eEIHg2jLDheJ z{XZtJ0Gbf_7GomntplP8>M?d4+*&}UAk_gAqt`&vRU!Ry;4GGWK-h5yxC(Ui5fD-- z73O+i6FyK7m?;boEG5h!(1hXFV|#?P0}*HcjsZq(lEfm_QW$IG$F!fgdx_o0pq8@m zaN70WACG&j>%MORN~WB)$D@Kt2da@U5!Wda;$mh1_(04Zj!2qP4WP5Y#r){(+rB%6 z-+K3WJS_j3Mcp^p;E6VpE>`5J}2vD8PzZrCnZ431v zR(PODBC>58fqyr;oG}Hrix}rf^@4=R6cOim+#F3sud()Ko62*ajn*3W*O=U3z*)#o zVVJDQxdCn(vpGbuBs_7U9VjNjS%?&_4uriU=R+Xr(H_VpCGD-R>k1+d0$oGxaYB%W zb=r@pTU+566Cwh4&vwd${?AHL+c!vc9UA9*ETLstkcgSQ#!HNzg-{%DGgfaAW!{ctZTRIBN9!sM44zPaOus8+6i*Ll2_xEqhvb?{)H+T8W&>j)#7@o7<*x$(0 z?TQ4?7FnNy#G#Z9b23@NcevboZ|s7CpdRNOZi6ufo8ob}-z@e;>9IYaD-Knlr8r^9 zp~(idYFS`hfZ7=g!!T1s*{6i>!?+lTm!jhW%LH0I6z>=oCWaKkzxbM2d~FBO0f9&A z3W=3f)B#2d(vv~3Bd=(*6DFQ}tt?A8bhi8b9S(pnA}%7DETVr2ouPY%htMDVJdLuS zsLFuFc^KpKhH}Rb&A!8+c24pE4p$Y)*ty}-i=`6BM})#mz$k|@5D8VBVsBNZ=RF=^ z0v(+92s_9#3hEzO+*R^WKO`SO`!BHR<@Mj;`s-m+`j^KgcwLwH)>*;W9(HR(3 zrG0;tVtz>68F0gVL-Ax!vnm!|DVhpI;7^Ak%zh(3OW-yK4oiN{g}n`AHqI??Y5=AT zjt^YH2)$9YmiWkz)_ch%jOw~n9Y+IBN`pvmJ)EvY27Sbzt|%)RM5sfDGdPG`El?c@ z7A7VrI#Az-j(y)zlnJnDge?;t>>=yvu)!l6KR0Cr4MW<3J0kf*xyB4HDT6&(kYI{KzzY47`n zvJCEg>cC_@qk;h3(SfQ6E2b=vzPR%o*)TVCe!cHI99_Vz9DT!TwF-(bncm*MU;x8r z4w?x~eh6}<*&;)IQ+)mU6?mn|DD;;UT-`A(GXR>AqJeCwLHLkwu>)QVRxc6tZr|Cq z?c2Anky7olN%1De(9ilY1Kjgid4F@bB^>hw3GSYAeryjnea9Gz?&OL1EW!wXNfv^- zBY8qFcLJ-zn=y2lg0Ek{!q)}YR4h5be?%1MTM(IvC_?_p;XjZ%+2b6sRb%U6q;3DU zHb#FuwxGs`TZJ6lL`;Tu#!L|4FB}(o!Yl;sDi8=I3~J-W{5DOg5MZJ z$CtM+xHCpIphl5nN#H4vlK}Lw(Sldod*Ajgd!cP$nna3__LS4QtN_RYUqjG9cyz#M zMx0YRv>M|WkI^B%wta(JB{HrI*E|thfQf*H=E-?AJU1>L=ZfUyu*U{Lhl zBqf|LlU;xarw(BPQiS_xP3(u^Fecluw;n-DN`z3hNoX)$kU4lLa@~CU_O+CPfR9;A zM3dB7pkT!oFjZZta>F4JQ!`_h#3LtIxa}=)OovA!f<9wSA~6Cc6iHg+o71UEGs7UJ z1DGaOuRM_n0yD**a#p0riPw2zl?KQd$9Iq{sNDNcl+NP2>?yzX+#)=@b6y2mHC$FWB_YxclF39}&HR zOsD`u4m83(CM`O-L_=sqfkaBUN(AnG) z=P&p}PY$lxR*Xh^GeC(mU26U)njIkK6{&PmPh9hFC;ja7lV}+9hSrYq$IR?L+wQ6E zn20sx5m;|XVgUOG80fS$lyv@cIC}_gUYNwDW+ASDd|;0#dxtZRL9o8`(V-6o)OZMmsy_&pjsJHN?;Oh@+M+bZD77FbqaTL`_s2V-K#U{zqWX!>`@X;5 z-;wv7e1+)&6h@G;6?O-AIL>?fy5G?MJy;@2z~tQSO!pw{U)l48r%_A6)pp(+Szj*kG5bj59@2Hq@=xfj635I zYz1B}yj}7ky8xq@(8qR1i3vGFy+Jpg2f}}JydD!3jy$x-t+f+1IEXv}h+x*-kQlvp zB+?yEjrV12kAHi6!_o>9osUZQM|<&Rv>^sxRbk`&#hlh+0r*#@nz43R;cYPbg+-JUT=j@bX<1k5pP&;pXPHScE} za&%5MkGYG;L$l|>oK}c+=iB=?bvVW>z{#<91V*UU0Q~y(>wo;me@yz!VJgRJAqxf! z!OKJpn{ybZ(VzYy&Yih|v-<}^4YE@)71xPw2+~m1^;dQ>u+O}=z5ql9cnTTVi zDLHgfEIWBY*2t#^9Sagp`Y@2u098U2_={{;#};K$@LKO*-o7|fGFbMuVd*$Ku6}|; zL=1BvA-Y^9RglO6_LpVNUZoH)*(AVOrhO5rW{lE7)Y9?o>sM?dozRnu#>?ja;0WQG zHxWP?^9vpcQWO+UPb7j^qK19#{!0rPxlAtnmm;TQB4qn{D^Un8@;o`nt@Qr>E+S-D z(pHSqr#PO_0CX^;e$=ph#N?W@_ei&GLxlkH039PGG!zTnC8d4a=+FjjDVlfIwPCRm zh&(~P9I3{9)m`9k0`%NkLmCrR>Z8v?gGm3_KHz0XLG$n|LQnGso>Zg2Rbv6bf@rN7 zt(7Po*cVMKrwpcKF|wiTdjlQ#BYEp*)`(EWZQs-*j6@i0P;aV{cHOS3j{Is zawM8aikLsgC~cvZ5^--hL1He<2mb+SLp@VO@J=HB0D`*hJ5q;Tj|{(NJ1*c|XlOHQ zm=4X^U{hqbD4t?ooj4h=Db2{A2eE~irQ=9FZ>GbKC`9TBwRCD^N5s{>?{9B!F?T>< z<3_Xmk8aKudgw^Ay??R^7CtQrP5^H#G!8b6P=;Y=@AF;_7bt3M*K&TXF*|*gEIFvrhq$=e*-1XDiq`Myi@%*#j)2Bge&1$J32i z>(vI(xr42#nu97xnq5=pld5+xT%ya4J2PT|TkF$~GmvXG-Y@g}I}X&B&|cV_c&V>$CM9<6*a&g->g3s0M57MXUYK7~4`U_b4KK=jCDlIcbf@y+C>zV}SQG zBe@VCGbkLzc46q|oMz1QJUr|)E|XC(2)i#uo7Rt|E+(-|m2fUs#2LhphVHIbM@xC4 zPY$PwA9olUv%+2w&+P^4R0Kyqi)W16-Wn|DZQD>o^<{U6Xxq11Dhng}Y(@>HF+Ns) zJcXS5fZ{FAyFa06&ptL>%es6;#->k`#rEPUXP$oi=<#?gbwNT#vx!k&ILS!7tFsAOZ9i$D*x6AA^7zT{TvYjeJyZ z`;PGvvlebDX!}GwM#nt^zGUydxXTUDRq=iisgrZr$L(;h5@~A&eu2LC{{AgA@aHiI z#a`r;JTzGrG6HhyP2%&`G@>qfo0;Ju==&?7q%)`vmn(tzAc{2Botrl zOH9Y*ppOR3&Aa4MpV!p~#?cJoA68Way4lwzuO6L@DO-d(Ubvab3tdL2V$LsWyW5S< z9^ASAFOlnOM?SD;Ke}E@^%-`bWzpPOdUA|iO#aX9`sZWl)%Bstpc9cIUVBq5gITQ$ z8smC3-25TBuOt8Wxqcz1^YwRtP5;_e{fe#OU=A_8GKEc)wqsaeV#Bv$1=kB2M`1e8X^GhqcUB zN;?q|61>`v?3AA$d>rINy6t<(`SEx}8}ExIgz3++UJY9BqP0$cYC^TqP8l?LMz za;EogLc_&v{B8_&vxUi51qc_&K0%%XH-17-0&`duj@o3hN_CnHhY~j~a4H7E^CPXu+G#6fEJkFn|7uP-M3ErPf!N&eXo6ch;lcIzsQ~ zPcNRZ`H3(KC$?IMh|?qDXdYvTBtS@jcn(6V-}%|HO_Bh{p(xOt>+Si%${$k<2fEXG zyV%$lAb1!>oyX8+S&;G8`}OSDJ3TJ&Uxcw=D9_K&!rsd{vsF(f&-B8f+qg@0dX#Gg zeROk$k&+TKKd%Rke{4n+c@=P@ob!28yjb=KEf2^Tp!4+kX%Xr4Km@KbafD+7#CfG& zFcF~`6gddBZ5vGqpr_`&Sch13NCQ2NygILu^f;l0W#uDartz$a?;QL)>sR2dB7$t8 ze$U}@<9HVGOUAR1oCH&R^y@_f4YJ zWTB0Q*fpcH$XKmvfSjci001BWNkl}k}F~sruS_+b!sKUqdAtD@t6ld|iZ{Y^MT$hCf$U72}rkwH- ze|@!U*z+ySonl4|yZXt6LVu1zD!Je~+j~Av#|pg|CLh=o9H8nnVlTp&;ttPu^GALH z%8#=r^+({K5c?sh$zW4NY?y~QlBGT~A3wqJ{MVp_z@SCHFB*9B&~emYr$dU}hl?|<_14_d3=m7*d2 zFURlCuAIP376Eo#FjK_c&$h~>K%Bnz{N~R!?{bIfDVNy)Q)B*=>v-l1J?hd* zzz{Il@)Uw`e8tPN;(gCR*9lg~s}bVU&>9HH7MrQ1RAeK{IkNX!Vs$7$t+kv}?_-S4 zBB;r*Z~Hu!dVbca$3)~9oFvJfGag3o**#$U6eOCx?Z0onS!7+7W_7v|G(_&awOu^M zP%ntdOxwOAA1)IyOY6-vQZ;<_1;_lujrYo|#=9T&CnU?ny|Gnj3YKZ2NEb`QK3TS4 zT=hKcPguNWr}Z}L^%os}dYrvv<|Ids1!s#stF{&zhQe9*``uxJ_js$x!%s1J>V-a-8N9_nD1PvYVn@i6c!7gy z5}4wZg@_pd>W7_rGLzz-o`*zLwUpBPh|0)u&9ITxp|16QzaKaMz?_Ts#V@BT9$pqX zrS&*sjfoVI(FY{)1H0OYAxgK~4M#~SrH{d{*@)Kk$g4{<;m#j*YQB`n%Xwjuyfoy7 zlLUbu(G;U-|xu3Iq`-Z zm()Nd_E_yi9|8BT9!*3<%d)7I54!r^{6RW*_VIXN^kho1ak@T$7(`@@4&DuW&W+13 zhSwIUfyf;OAAw>ouauHyab?DmKoQ8xcE+C&P4HKZ$v6Lp0NvC0%( z6oQf2R(tDx-#3vIzL)PlQ$a@dMeeCf`4YAa4H=@(^0ca=Ncs<+%Pgpfl9N~hL?Bkc z$e!7A`@W|n!0ykB(18JO|8oOUN?;$OP7yg6{X}Qe$cr63@qy&j5t#jY)GjC+7JGQ+C>)E3E2q~q$>ZL0_hbU%QCvR6$VYA@l!3A&1Iz(seh63NK_V!u z3XVU%g@t(;dp8-z7z3F=w`~ta?wsHM`GFispT-#Ldh4U3KD*=2>}tXR=(A@A-}gP| zwC(!`TGmq!J)i+wETu%G>^+@ohbllQcZo3(x89;cK&b8$B5IiF<%uw7e%Mrbsf+zT zzGhnq83v|q`uYaQo(m=`DiqNZc=ui8G;GgLY|c-AP)@czcFTiO#6S-~RIBzZlQ}l$QXY;-2N|Z_21vzk6L#6!jx?E=~r=R zUUj1HKl3weJD&I&I-SmMOVoAnOlO8myzX8Q8TH4Zs=9vs3I1_Cn2EGTtsd2!Gs-{# zNQEKy*d8SpRYldZ2+Ml?h-ql&@nzwhQtLg50G0&>HM9*-516_4Azt8kjM2NpRX;4s zfKX(M$zl)ZJeV48RA9_hExd6Wl=#C%!ZB}W-tS*=IaK?4L6uUFR1umJ05b8EaM*)D zSaQxFo_q*Q(Cft_QNvWVc%d*Lw!@RtS;1T{S_OFB+CO zoHDRrPpdbuzsSJ?jA3^!;negWT4gxpKn2CnMOIMq6d49PqMQp+Z>`y?(78Wnf6)uw zA*$#An+Py8>eP!@yfs|gn}ig%KhmAJfR3?9l2mI&HS=Ke(FBb_Ora3s@G?n1^(SbAWQz#vv2`9jT*b*7hz`9%fcg2N+^K)pM47EtCWKEfN2_@ zxtLi}!US>e4Hde`2(2`Je!f&yl8{<7{i(7!Gh4#h2Ud=F0>0k+?6a|!pB$O?5BpJq zM<7-JXCe}tLM{OsLsUL`8i?~NEcjy;#NRHZ1pOJpjqQ?sf1rpBP<|zS^{iRnI69(4 z!UnQn4X2b+&Ysv;g0|&bNu$WmM8Vr*S5llEhd25xsg&rv>cqL#zNa*2D>dI5K90T|`>zL&rgr{FyZmEE{5hn3E(`bK!_5JOwuQ z*dBntk=qmk>+_pmmdM<%oD#$=N?HLO_H`nTnZm_l32i@Dd*|VVh*Qsj8|bqyiLO?8 z9GkiUj}Al_x9|=;qdeCxipLWWGT@KVds~(jBzLt0wYu+{cve~X(IIzV9Fd!fQlPR?{39gNEr-Pg;V^u#x%d z)oFi0Z6}rYY*>6phQ9Ow{Eq7*tdBpQ^PfDm!XCmg6}6Q_WUjmACo)CwIQ_Q<@;-_I zorw@X{;TtK#X^}8_h6)QK-GQ{{en%2{&M8>Uj`KVZx5UP!c707>jImqD#7$p)z)^H z4SZ zdY|y4i%$3>mnF<)%3g3F=hRvU%8Wu-V~pNAF~Lmzh(vSvQeNzga~g+_fBzW>_DmPK zII^EsNt7~Ao(4C}nC=mds@kW#v>!bC+R)3(AXP=JTSX}eH?D?X27*WecVn8fr$J+1 zf_iKNSDDfW!~^I^Z^;D(%!Zx8veVVm^_O`&Y4933Jj;0B7^4l1XsMRm3W8Vo=g>#)>1M#nJ`z&BvvyQ42dEJ zC(*>vG%pS_sRn=cXJNQ_kWQKV!j*Cv!w`d)B6T0%_xR5t5Ra$`#(G2LocrTW#K>O^ zxnYTJbs$rr-A46u4e_#GkV-q}3^5BCQc6XsXgELm=$;z*hxoHEw;dp`@W9P$5*%j` zHi0>A`z~Ji?&EuZF6q@OF|rU-+xJ>4&UassHDdG6w?EF`AGu<|0|6&y2ww8=n&C;R zY>sA!;?G=o3=yd+yE80O!>bbfA7Dd+j2WZ%fe1hMJA?;Td&)}6R?lVsKDq6OicfUS%b&8>QeTz@8X(xLO0;iV${8VSr{DXNW~}lGZ~EVWcO4{EcX)J! zmOHTnP(CAv+mq_10g)ceisRYvg3#&R&#vERO+5cf^@FV!*Ncd{pL&{7uxObHnjU+p zA|x-K{7EMu&l+%wq14O!sv2K;T25EZ`+Fgnm+SKd_+RQeHT8mpf<%ubr_Kl|@$nR@ zf4$G&=lTVk;`#-f{()QnP4^D21H>Cv2S(wy%sKD-25TAF4E+am;7Cs!Co1bCTsrz;SMo|_JOhR28ag4{A2OgA3~M5plU~1DsX8ZkNdJL!Qldt$A;(F ztJdz9puz9kV=s{855A^uAo zCTYFfW;s9TJ4iP(xe9KSC{;p{sL@eoBy7>(F3tp>-vck6H*C;a#~8QU4aOk=oq&UX z=$`bkh#;al7p(|jxVi9GwBdTsPp;>|ohHu{;c=~Aui1CEu}!DM)7LKWcW3V0H77vr zZ3lKqOtn;GGF4LMqYm#6-O;ZjeM$hD4x!RikBhx#T}r8s{s)mbd-Pcnjxm5$nZvbD zrPa=@rB~)CpM56rg@a_Lo@~zZPD0=t&vd+SH2%ITrNqQmzK^Nof(%8#t>SA#$ICP2 zr>;4z9&r4lybr$MRMVeb7^h1Kkl*8eMGP=k zQwz>tyXZLXaS3wS3u98fUWD1l11{p7Y+;NkL4$ zz&|LpJRXn8QGTpIX43O1If?PB0r6@k=O6w{T+c}c&Y^dq0&^60R~@JwIs>L3VW$7p zt_%0`yd7W59v9p5r!UpA=WAXi7mfQFGo=|e1>*#?)0|819ccCGOVe-up4Ttrl>WQJ zroS-Lzv`NqkI?ysS65Ik^6r@F43+-SuK0ffP5xKDX4n+Eesa1~1XrRY6j_A+872Iy zua{@p)hYbsB)0W1;irFi(nB3_JmI8@y`*R&Y7c7V7~{Ds6Z~}cyN8?DPth}iNtdC& zkdGp<`ghdgF#Jw{=>fn5G(1~}M4YpQYKjM&=WIMOd=F^mq-H!#zz<9ZGJz72g?O_e zaWMdh(K~MToWj92X7;+zBIzMT|$9=2{c{1K&_QYyGh8(@bv`=3! z*xxI3p-@jB9VR02QG4OXSYv_0Tpt<&Cjb{TZ;XzJ@}EiW_EVQ?0FIsn8R)Z~gqqIn z|Iu3ql|~rAJ&>td7hsG1g`4W5t^cDU;Q~6OoKUhk9x=yX|6nidhtHOt2)mRZqtkXk zsHmUzaa`BC4eV(GH2x zM?cSlA=s~UfzL2Jk%Hb+0& zE>ZAq8=@XE69R1R7{ac`p%ldW86P@huxEtco_SWW$sd$#dm6Bx{jpa1sPM0rsoz*& zwrGrL&->HiYlmOO5OJPHDTSzuj~+VA8V(%XxmdXT$>kEmprn^NqttqUnvT7sFVW_7 zSiU$`KLyMgqHA$k(Xw33r$b2oG1T-wjNgGkJB;d10q#g&NFsYa4BV3aISaV za#7XA??Lb{rccm9(9>}@1I2foW2xyPZsn7J(h}Eqt9eCz^o-w}3 z+jg!fd!x%gl3n_qy^p>fQmbU_ky%Ab5AXV3e-MrOy5up_0T=7N+3q2PNd4&qBmRM@ zRsHLyqoIF_1S@=T#HYXZypB_*eK@0r7b6gsLus9hM2BZIHlN1%)Br4dVQ}C*HuQse z>AQ-{2gQDSl;Zo-55-yjk%~Uk_eh-tkdLG1rv=#)q+xy0GMov4?m>SerDi|JZjbZm z2+QywWZ*itt@=%i3tnL z!xGLZ$3KVmo@nFe)t@G2!nqb|cp^la^)%`|XB^4;q$aHE^jmWjDm{)!`;WUOs%GzO z`BT`F4nKXVhd(lXuu799c2xs5wXmL-!g^M+?Qy}2zcY&W&1u-A-_9NG0qRfKw51;@ z>3=!Fe43uXrs-$4U}k_I-~rj#7wL2Vxb@%-pJT4eeM$-TXEK|YEHGX6m~8GI7<*@jj=z#`6@^^l+Z;CTwF z$9`n`u`@h8`*MT$_-P248HLRcOh2fWuGpW3nbH%S<=p_$w^sC-e#O*DfNx>?C&EQ^ z&xe!~4RUxgC=&d)K71^UnR+4k0KcpaX<=Y>=LCz>_9kb(G&7`E`|_<~-2~3V&UZOK z%n81NCyZ4cB-B0g;5WzbzHh?8(?pVUi81T(2#nLZY{BNA zZsvbGeQ*2nr>B2AZ2F`4`*Y$9MoZ2+a}d1=DkWU88qUsy{C7`@Z%bqMxt`Tc6=LZ3 zw>`HEODQ2lT#q0^deLX5wvgLz4V9nLq60@ZdSWyP=eG9w4=iP6dPN%PbmnrIn*CW*95&A7>*^c@7OC>we$$DkSN#qxwangr` z`oM71^PD{H)UmaXULPtt()P5>(<(kh_S3?T?R?aR=ahUuoqvSp_{WowDGs7hVjqRf zQHCBh&MBNK?J={#9%tqEWIn}C-Y@*VWMDim={%=bNk{dhZI`E9`d>*O*5{AYN0q=o zFc(jm@%RMhx(Lq1ml|_Qg!|lyg<1^hM=JH!fwYOzi&j3o_6?(CTs~r~{65-0Q3&s= z`PoC~gAk~Jvo-g9BWkDK;|e<&FTd@J;`1s$c+t~umD)MKxG)VNy`=~M>tp^O=bHTb z={@(&{I)54Im>7I{nFqgl~Qm|A`!)y+(q69hpg$h$C`)!vkUkikN2iCh(@2+A8-tR zjP>f6WtsKpG*Q^+r&9chVZfx01rc>!6NcAOUxvwkR7mXpk^AsCNvlXiw|%#2?4Q{Y z;$PHH?f5g8aNeeI?<&Qq|MHGzK1u99o_TU=@O=}Abo%e-q$6fZ)+MOrA7tfKtKS*q z!_+%c10l3Sb3aTec7Gpb;6B^2$}iU{N4D%?;GsAx^mIggX8ZDP)|P8pN1`3jc2&86tr>0o>WbT8c(O6e)TQs8*tY6WBIH-vf~_; zzfJItRUBJlL~z76ps3zVF|h*j!^Y9)x)pd zRsl~6rBoXIe~|4)IV>u`ObFfSb42MkGUh=FwQvmzRP+-rgO@f zr|GosXQ~NtNICBz#C2VXc-yv8vPd~bFnt2hzdfGwF#X%3O@B!GWEYpje}MMPIjcop zkG%i(yLbQn2?QbA!Tc>;q&GE(Qg#tZDG_BNw&Udgsq{sw$=g=WBp1g2i54cBrGJhtoIT$QIqT4>dGZJ4IdYZ%*E^II*vpNZ8u`&H|0}4wU#ulUm;o zGjI(%{cc-hMtW(uGq<`(PEI>u=C2)G9)#rktkvgJ;Hwfg8SxpvD03WtREJ3FBEqnX z(738&DQ-(7by9a1Qq|zZ_Lg!bAIfB%k_!A0bx+1#Sm9Pt4V3B5dbS|<_LcRaTf?c< z;V0$5MvxKPQ}mGfc9OQ-Nf`eXjdUwICr$NnC|(>K&Vy!agXOX+MAxW<+oGC%wby;$ zeK}smw?{li=(l&ze7Rl)FjL!lAnLH!cZ~t04a%^8Vjx9F!#0#He&;y)1NDqt1_e;v zub>3v7GPVs!{MYBf#_Re87lp2v3k$fgVDFrJX;=bb`tK`d#KSW^$!OEdV*BScRc{% zG>>0>w0?;q$Bx@=)Ox{i)K_4b+7Zp*g~c(uemGHMUd3^hJU=eMb7rN=pkFOjt{30r zQ^`I)Jk#!N=17-|-55C0$oh)C*PcuF6Hzyc)>N;FSha++(wJTCS_sr7>)=+^{7ss*Aia3l@ z4cgRi-qK(z+Is9cT@{SD@oJT_Hkf@&+UxC!XO&^9kXl0hPW$=>lMvIs=a^#7g_%M~ zI3lc_igU`-t{soFh-XuFx z;;*|uSx5Tx%^xW?f7K*XPrZvVrZnyQ#vGW#&*i|+MCY3C-;;M-Z@*~68u3huffyXwi2C!pSij#G2p@JRi#ya4`!#aLW44#|!-XG?y zj~`%Rk1mKmK0l(L){N8ixMZStgYu6GIZ13i?{44zKnWjDR`^iqqvoBQ;?ie+S8E48 zd(t{ZNWYv$7{+~gF3F!X@5z%V4LItEuh30BGd!p2`L7MMdHn9sw*Kq+i9PQcxG$$K zO6H+<5TmJkr}~dj_yG_{lgE`0|CX=j=cFF0rlUkXInLq{Gm}A81|U69^&Nlq2pv%m z*d2^XBKiU!s@dud`1gsgp*MY-+1!99v;3qbPwF~Y2&b={*>sO>Id?Cmzxk}aZdZvu zL9NVMQ_Qrjzj|P|8khEjsMNrWFhhl4##1>)09Lggn(7dGg3!mV-I(ZA*69jz2j!M1~9x>U>XP_krC9cv^nznJd4VlK%zF zln6WVPaOhswv5neuJaP#^=PQcHKu;3zw)EJ??^}Q@24g?e5lh)q)i56J84`e{wJ!h z_y{BYfQ?=?F7Aj;QSbLKu@O}Fh}GvOL5@U-?|alc3zi%{_}7p5&&qM3#I4?EmA)%A zY=r%jvnb97%{n~kra+%z2x4t(QUi|WhTCNt+w9)pQN(jMqTO4b&(83YC*Q`xy(p$L z(fuUxp{K)(@0o~4UGU_shl)_ze;ph!l70Nipy?s7w>|MQ_cZ?ZQEC1~Juv5Im_26=f=0HadsZ-D7EsJXR4=zt@#2uw8X|{( zF-+Y&001BWNklz=@a?AspGfr&`Qrh_Kb<@4#Il1a?VBV(=Y04BQWr{9j-P$>Wa66BG-td>JQY|4?eGF)tND6gh=T z^`Qcd@29-y-6N>@vs3HRu^~RJX22>H)Ak#U6`iS0mDsO+43T(}w&_AjbR~@fvc6<} z$F^^uM3bG5dmFD7vo4i~?4RqO@`0CT4vXmve>#Y*7w;Tv?b>-!XWkfl*rfw$q|r*o z4Bab!nu)dc4CQnb@l=(bJG2OY2aV0R$(WOf%Tvm{JGFV|c&VFxXYG7c)NyPFa%rA%1`W$9 zK1S6VIS|LU?Pnx6D?D^tmz1ia5t4dJ12=%ox@Pc}^vIU`wSJX4ab5MG91pgMTbk0s zo7i>0oEaMjx(&)#`t9dT-HZOisnv1hy(U@Y{N2ZF^~fq5ejTzsnsx`yZ2!pHaw=E2 zmo89Utgo>9Os)iSgU|^`rw~b+Dz4^c*DTjk9fDSJr?{lAQvaF|wzl?NIa$=vAG4nG zC?Z9ql#+91M4>*JZjCQb)J$t~E8%BO-4CS`^~3!rf0r7(Ou+U*Y}KEpX_}_@oLKey z`P$ztF*7#KmQrwQNC=246r4F|>66IR%ct*K@DD2YC!~KbZ2Cip{uyejX*55uAR{8B z;HXU^qJ7_6rc*!aznn&1`HswkgH3bJrDP(BF=4&eUBKm0n;)A#UWb|;YNikNd*>+h z;39iGQmyyS%c@7wSs+~V`l|5;$E9~EdW)7_g?$tHs5A?ZklyzmtWK0_+oROkm9bae z6zc2tK!~^I-;+9+;+IYQ@R+4KR_d_%zUyZehk6?Gh;zz(@?H(OS6T)cLnpwdALMiS z_*ngr}p3-Mv5}VU?$c8aFNgnL$2+~#M zGTTrd@>oZ_bm<;?kj_GUl>!}ObjVsyaR0$m-Y+WHUmvpSmVln}syt_gv4y9fTl>Y8 zJT$)Jhc-j0PAvZ-*_bxU*GX*8rJE+c3qr;Zo@vM7{i#W8fIPdjR6*3*$CVLzG4h_`L{)6-nhtF1FC+eFN(GGH#xvTeNNaO+f={Qf0Ke@@( zO-pa``k%yYukgehkj<`YM~(WTF>hZ~H6+wH8B7c7d&_L4w8GSiRdO6vPivAE*hbC9 ze|S!;6HA${_Q*{m-v(0lYyC5nym45mn4L%|QvW0QQM73X$RAx2Pt@VHe`W%|Bk{v8 z-?7nsK|o8lZDrlZb>6_I)>yv@(1zFF(^~dX)aXu<2*| zp{bcGINT=2L`0?JQu4mr_kyu0cUs)eP1Vz-e=pXgfqkjLd@BHh#08Ofq%bA3xjLK$i*z9{Mp3d2_`qUvHj z1_M#|;<<=ym)EWT`@L+9PV&&ad`I&3{jZxusE4G-#CEMv^#KGpruie>?O}E8_+gO#uIqq*YMOda1Exp}~YyCw|i5Q+3>Yj*TyjZZW`ujZ+(7h?cxli{!; z(tFls?>+S65u#e-0X}Z7u6u4h_4t~)*5+g>ibY}!B*VQx`h+{t$A)kz z$YtFT%ivzGIk_j@kcYajbzBGM9_>|Gt#h~k=ZL_LP>n?Fo;y6Z9g(S!hYWdGhe}&z z)X*L^7H`}sV}r>Nq+#ElZk6kq$U;Z`=ootVz;E-6>N%w2G*V`8JlWV=f9lHYM{O+4 zH^8$wUOLDVHxHzWbaGr^u#s!Q@cE?sCIpU1*iTE+{xvE#SG#Xp`=Dxl6r?IoyvXW6 zF=RTn;ZR`v^-FYleDbgi{~g~Os}T3l5$0hLjdJ~yL#@J%@w$?v|JPe@JhaV5!)Fuf zNdyd0*>$wWMF@9%iP|BsKomTcRtU8f-vM+s^G`f&tUl>UX3x15Vlt_l>;rvH%>>cV zW-H9k+mRqv6B7d@9mnx#IhYZDq@FcT2ScMAPRyiVBY9>V>3M1beoZpF!1MzV5pCPL zZ5wm&Xz9LhWW4>2)tzgyB}1tF50b4rs)i7_#=-rMqz=#_s#`pr4#m_A|CmOh!o zQd_yKf?G<-IIo(B0P2-e^e7Ny`)8W^52aqMer4a!Ukf2%r%Wjs8@_VRIp@yw9(|l& zI5or18;R<}I`dn}=&>UYpWxG})zx0%Gb2a+wj+?meRb5Cc&%XWvL9=sv%kmI9n%B< z@k8lXX8MrQ8_$(rFaB3ZLUye;^S3A4| ztqgtn zItl|%^|R-#jjoZ>-?JgMWA=~+@uSzN_w|P2>TQ$MHhuS+zmvZQ zx#UDaQwv9tYBFSKBpseJGY?e!NE%-Bri2$wkJ1Sc+~Bs8@>{M3a``-8?8m7D z&2mS72Z^57FIr|(Lw*(JkjF%Yt3y{BsAsQUF^>!()OHLIsbo_k*c@x=$hi(xlR*^^ zuW_%DRr`)JM68Q!-FnYd`A5>wT{-#mT`CzwzN-|+udVBvQo^=<1S-)ma^IGIGbi1r zMtYzYrDPGoSriWFc=qCF`rhf^%8vh1*!268`!^OS$E0exneRlz%z>E^*^UpT&4RVpk1V%SWp_E&TEC*0io6 z7H;L6bMV2wVj?&c@$06MC*{8*c|XLS^-h<+|3N=+r8sNqqB3gcfu(Ak2sb<5Fe8O9 z+Gpv0ZDm%Vz|gqwWlDcGytDUvh z7w8b@+AdI{hd-*H+%lUl#jPm)&{b+4rNxVDHQi_8_OX`lsXpLD4!m-Ujba(_8eoS3;4OC^0#Xk|DO9&xaxQzhVm1 z8vQ7sfmNXEPYsFcsxVq~bvUN*v!k`ae%6Hyalox>D-XD}IDoP>%#T2Oc+dfDRBgCa zxQUkI(^#f^=#w16bxoqW7rEn(cO+KwTRj908GCkk&zkTc4|gBA@0r1iVub1Qj6`KV z7Um1oX>w@KQFG~WknOKiUiJgq15X`Q-sCmJ05*2>gNd2cx@Z~5dN2%_O5#(6s~IYH znx;4DqDez*GYV6|AtPvxiMq9-S0#JwaXpgLY>@hwv`0r6_Ia&Iz-LJkt za^e-8uh?QIJKD()MgflvjdBw2SDK!OJ+{2+OM3L~i4v;XSLWcScJ z=G=d1ih>)R4Y@m&)r!@iN$xqTw3MuE*H4hOXcJuil07aR{i7Dn#? zDywUcS|qZU;g0Hqno^Eb*>JS-TNBtw*H8R@<`eamx=El7vtPTP8uyMbcCSBZ-SH>0 z#r=zlxsRoFa)nz7Cylf|n%?a0+0w2wy;<4DSoUZd=)fH$#-UU7C-LNJlUGk5<;F;& z!_LgoO@eN2^<}DPI-Snwm)9A!dPBy0qFNGHhRU$>oWDpC_m>ZR-_l_Md?4{L#=jLw zM4ZxO2X5;1A=a>wZl5sL$5SQazVFjC1HmXIm%@loI?XKdN$Srure_@SfB$cO^6NjE zzB40#P7I&iNt&>)L`1f2jWONtH|!?Bg+)Y?b1@$lFa1-^{$a_?zrncr@^yz_O8psM zj@nrM!ruHCBi0^s&Rs}X?_+;kOIoR4`u$*ypFDX-1@0U|bvB3)a>e!Q!BuQ0#5Jd9 zwBEe?YbN8-67ntQ141#>?Aw1ZwEc#xW>!-7q3Z-4jLxpeW9r)aAkV)kadRI}h}?T( z^$97R)o<)FcUYuL-Ox~bow)nS2WW^njrO-Iky@L*1K5=_%nClfrj;3p!2S68(3G>y z<7a+j-@fPhpCn(@qqja(;CD;!aao2*%!d#3csytvo^uwUv;3oG=s#QAjzZ{3EzgJf zR9yYE^m91i$JO%1dGf`oKiak9sBh_B(?PXl_A?VS|8^a#eXW9 z7&o#1M9e;7fd>kyEBW}`Jvkrh^UAwO*n@)V)Sv$3mFa-w4w~ApCR%>W>A$wh4u-)m zklv#%eP$X)_Fx7*VuqpTFx39 zgVYToDAYoviHPTU#)TI1Jc|g9!b>UHiog)p|1}*#|7v`hUMYRWFpBqmr{rr zM^-zGm44X?aF!nVB4ajh2NI2D;kTsWfFvU|{*;opZ3jpV*t!mfvp`~qI0xq|1X^#c z9ez2t)5!hw4V`BqGoD>LM%zTH!PShq&J^=TjYm}N)f1fL*gI>2VYPjW9{IaRi?{Dy zvlY+4m|xNd_<4yNHhBhLy7c2$dp}zG6Qt`==HEJ<;ws=$o#jo?x?+fD)&4Y%`eWgCphenOYTxEFLNJs;*qQItxbFn*^VsCk3Z1y zv#x*ik-g{Br|H?QjK-}@L@C7(LI@FXDl?@t8O}H0ci(rbqt~O4;nXLR_mRT_x!b7h5!HFOIJIU#j%hMm_#a*#Hywu*I;p?|{gC7-wpvyKl5uO-hw9~=Rm0WCL zqn6ZDgb)APbEU>3GCra0BWk~=&*%?P4;za9l?PUP{p?JQ-j?ZZ_|fO=YV%deP#0_& zZZA^z%Y&~y3HrBdqr`PmI>7B0#Y|86g$|5?|DH@CS5p7{khx)|9hHcv)6Cr=Wg^`W zh}84N{3~KZBag(yBQO4RNaXNg80lk%V>v$oyf|#n|6+d4IZ)*I?tA9?Ljl}RKXzFk zi5%a=M>y8$?EGlE-@ykydds6x+}%Kg?}flI)t}C$$yk?v!3)FVzV909GTi3V%%r0wL11bA^HOrJ$^kstCtT1KWTrH4jtp2 zrZEG%qK@yK$l1r4`N+uDJZ@iG+44{j(YX?P`1w>}Fg;-E52UZq1n+spScb>vk7fb> z(zw3s;|vHa_*%wSPXOBT zTRbGj_r@%ax{8_HiNDnsKC8C<)VtW{^*oZQ4|0Cz#BE54h;rUTU=hi=h!o}^LUu#c zXZl&``w#SwPM>F+ex~yTyaLzxiAanAA&^8k;Z#ye_}Y87UlciBl^>r|uleNgYi-+U z$;U;r!&?vD{AXq^6Oo-#RZk3be^W~PzF`PbN~IJfaNCyUNdBHqJjil7Cc|L9bKJgE z)zR!ab&gn*00Uzj#1Dz^sqM(TW2@pw|YlZp`L>et_N?qx1Jm7 z=JkzD>qok^#~LzFp#R`Yc!oP@&(AzwVxQ-!aAnT}&pE;USU;W~{qas&e(brgS5%RV z^|E#v11VNWJHl^hd_TQe&pFl8S`S3@=Q&_%k}KJLZL*Y3_<*SiWGkmN8x8K{6AMc} z_F55JP(1tUJZ@E;w>J6gv_xs;J95?XskP0!d~CM{xr1NrC;RI^G*UQnoHDRGWuhH9 zd&)I-QxkVV{W{CXO3CN!OXyNZ3Rk8-_0kcc4T$KP%5cQ3`)Y!39P2~(#ShuB>lh+F zW{^7b^iZ1CW_H((4f7D(^@R6E`bZmkyfSiv)U!U1SGtk3E6c`~(?|;I(a;$2aI{Xh zei}OQa4NFeeAl^C$Ft*n!cncN9NZMq&UWQ!4o@(XR9oujXtzH%;Pn^szmi+-N5(vCl@1nR^OJ%h)7;58m`Na{}M-O}7H? zjsob7fqo5J%WrG3XIl1n$bjbnR=)BD4(%CJE1&x(e(IQjYOSa=RY~HepOb8z=C_~N zsM2%7z^$js)+Xq&y2EQtR`^-MR+9`;zs<_7^wZSON2eV|f!x0~>O0NWe&W_Zv4fud z>FtAUZ7hO9dTwt*iS^j%VXuSFA7kAt$V@RNuqyWE+b+W)c87?DuRk=MI?=;jXovjc z52qW(WMKJ0bJc0&B@>-7#~=|uAX`hx*qcuz%nXoPL;$231pMQ7yZy@&|FSVZKQaC5 zVAJo+!k<&(c~WbEi74j{I|yP7x>etqnw_M3Rv`XsPYzfoyXPNUSNnI4ra#}mJ<`7% z0+&KL?;@09WDdksa>+SY+~9eypBvh!4jK9I@OWO*yKVNBj|De6Jn&Ku9?bO)a6?ffGR-$|CS5l`F_tNJuB$GpVuNng-2 zOULZ_=8@EQAN!K+>)>7xSVpV zYgj)x(#KE60VO9=sMi|=brnGgFm}UkRqD^kHtJF@@ud5uetKd&cv0yEdTkqb*z_pp zo=iP4M&jh~$ z`kA|*4s{|A`q~=`)F@K3oAz|_zIO|bwuFg2Itph~tn#p>+!K3fH}xMH8J(~>+9&qT zP)?mk=d;Y#z@XH7z1gUwtO)t?%=a2(Ap3_*M3hU8F%nUTL5eW*JkL4rF(&4^xzLf= zE1Z8aebmc-46uIrMVr9~nAHmLqUf|xH(mR;Lx|h9PSeC3L<$j$6y}ISPWux6VVZxY zUn)K0hF|h*)9=i_|4yLo$0mQaDbA=arIb=2y!L(5>xro9BK`20zB;*sO^JxMZL{@8 z+y}+X`@R#&G|lU}5>cAcwrx)c@8WvsFmZaD_Mu`JOp@M2dQ|PRUyrr>l0TSu{P;yK z9I~ChHTXM&@%E0^JZc5E|F$V}T3heDedFZfKJ@j8e>F(M$IHa$`H#gu^6oyKTrm&b z%i|cyA%Dv=@O9_$9`1@&CODlBC&@fb^U#{B=0J=VYOZaqPEHS!`f5a9By<1p$H8#5 z>CEJUF-IocxInE&ahiSZ>M8*l|==@MA zeejACFGP<5Rr+r$XCn@jSEkYlu8OT3@F0yiz{3yH2d7$^hMSpHsA~7RP!L zJ!-E#?b2bFNte17pIi>v6tQ$qbrO$8QY&_t=2p~@d3f^Cc(5jH2NBmeLd4u^%Xz$PIbhQw~y^Pra|UEN{5;9M6EwB1l%;uQ^^I$X(`3fx_O>8 zZl*3D*w+u5CBI#I?wzz>n20#W7(xJ%LkRlSVwTvI^GWtDeeZzN?_At>N&lrQp}r^k zeqyGl3Hl6xDUM9GYurN!x7&?Km_yFlDg9rO?|)`G4+nF<_76_-4mWWbV7>1<&aTcm z#~9aj#fJS{GN>M6D7lz<)f+$urGB)MPd^XYPTz9>edLq&X0N-5bNty%|MMT|@bH)& zrHsqtV;a2bCwT^<4Ay&pX{>jg`{-+LD|aNa@=u}?&H%~bEtJQ7b>j;s1newzA58wu z>AAgnl3mNOLCk?Uir3-9Tsc}L{>lW0rLNIiv7TzgKX%-EMlZ9A1L zqcA#V8^vJD@TFFr*7&$p+&5=v!1FS{JZql5s9iUow4u87T{>}vAJ%)6oc-q`KX@IX zbIkg7v`eLQ04_U)bht-wV^zh-1>24^gtH!j3cp{uJnBztl zqUT6+q9hN>?SxoFkdsCXzL%gE(-N48Sk4NwTF+D)kz_G3J1|SY6boTiU0s0 z07*naRO~W(qKu=gs%oH)N?PgP)-mwwcuJ)anXMUH`2*2x_Tgi{#@0%)nBrEHYkH?g z&c=ISb@K4yFpjPDI&YrInh#1nYF5)blIh2xw6+7otyVsgQXSVnFr|)6eNag@N5zg9 z8e4mKxtrEQS=tNMS?w$B9j>z(bfTdSB7mtQkxApBtK+*~tbKWy2CR5!+}Na(=Ux#y zWiSYyL2U^Uc|oDG!}sQ(DJtiv!0aH|!>k-1TAR)Xeyj=M)iJ~n0vZ-WETsT2b$}fY ze_Ju#G;cpWnvWWo`L3DupH7Xs%QBZDIp<|rjBQeykjc-v@8lu}5Mr^r!X7&=7=31Tw30>;=K8gi zs5!gg83H%nlN?OhL3>7{v#^WzxMu?!wPFcWWH)*vu;`PJ#28DJ5`_JvBsw?E$;7!- zrBDY}ehUOWFxfdk&^>%ETst32Pv>ka3JydV9OHwF)RoFi;2bd6)68%Ta^BIJIxh@A z@;&YxlvAnVs_-JAR#AnRo6a@)C8f#={>#8tXO66vd1#msPyD;qD(IR+uJLOTk z)_XaW(B5Xp=S0-YE1_wc_Iivpn;3bn3prwVgma3AP<0ZMo^~+IafF zaQ7YmvY~QRKbIIrk2`~J}j4lzP6J76X>6QFo=MVYm@VryWJ(li;4#^oK9w|>J zB@i)9X+pzt$uY!fntbphxq013KGMl>eK2)P@~(V2h?G;w@W2}Hgv5gv#|LeQ(+JlSJkF(IYK{$P z3seh$H4a{ZLPgjq-swTlHX`9Q$iudl5>cW>Xl`+x}5C>Ep&l$&f4H+ z&mm`sp>$MY;aTJLB4vH$nayO}g?jZFOEEQP+ zQTgrL)@FQQJ&`O%_%@ZKAULWtB6vFczqPuf`QuR3=%$Q;vnQ_CP>uq%RPVT~W;*uH zfX%EBo0awk*I z#$*XY44)H?ndZ5aoOkU4cR3N5P&rv7RDY)VKA?#|q3JkzsY+w8F-E7}OMp!VctUzd z!9Ye30V2X|$`AudBc=~!?>Cv1aT zdn+={%eLMJ=f~PGP4l*`!&AG^7X2!vsJ?@&O8`}aqU{RQl17%XkYLDqpF|vO#pD%c zF-kMxqlJqTJ50`dk1-NKQ;?Sr^Rle>70wtD5FsZHA!x(|oO5x%{^Vqo2jSNU_T7z}a6|lB_wFiVwM?twt9qnnFwr!oJ zS&wdS3SxL&wL>MQvLA&InQ2g|HeRlfHk2N2j?obuwd|XR5ixgMjVFu2+6)Fu*b~85 zLltbv;Qe>mG!BT^n}YDH+1Es^)2$h-lr`7)C$`%!yP5n!@uW6jL=hjKM$$HD*G_>Hp16 zj-`}<38Kv$=|jZCCb~liIGRbNkf4Qw-R0F;h;iRmP$I^N{YfT}!5G*>W?ExlD$kil z2R$UJs^cP3a_%G>)08GOxM|YUq&f?EY{|r6=xYAOSlnE&t8zM1#97*XFDk$XjJ}uW zHJfeQ@5^K&ndZ6Vf?~4JN-?Go z0x(l-tECP${X~n#^aGZJel6tm6E;07>vJMJNCRvN$Dot~xIv_B+fF3T)e+Omj{r^o zxgr$$B&8HWT-UqLHcivE!Ah%80wmpFNvBk;hm@vLa*S!)R>hcT!s(yXG^H6{Ug%rL zVLb>9ZB9u}hqHWvedB*6Z zl<>iFPSdP;rcz|zcTf_pC=B|`Wy!g~QKU|*Rr4k1l2T%3Q-n&-YERf(m&w1U5hXY&j>om>#zKPJ~aseD4LfrOELm{%Kd~F8|E@BDqJ`=FEjdX9>suK8pMylXKT{+`hpofaJ!+m=IWpg9=@y~sjl+J7y&@{ zW^P5Mg8!-oibzTcFr}Xk`0D2xTnm__-VTE=tsQSCPt^}hQPH$*+dwY+6+N2g8H07d z-_RA~d>!8vCYo|iLq-%Up@{prx64f8kAC{5XhS|296yzL?J}DUKk(;4(1qQE=4)eYige7`R(l$Q)8ZID-BPa z(~)-}Y42~wl)h0H&krj&D?sxig9XN(BWtAWq6@7c}ShL=s# zWCMrsW#Zkj1ja~}3p3;1MG+~Y>n>1skOSu4V^Gi%koS6hsWXKshOn)hN~9c9+Bc}( zs;yE=_xs%_fR147F!fA6x6z3a(?>*X?JeiLEc5-o+HxZiVZCRXCNvV=gq6!2?1{&K z0FxO^Y-&A(ux%?5PxBO*w`~W7m*tZ8y@;SvFnP6YVz8yYoXa#N5!$wm86(c~Jga?@ zRcTf=ibXQ0Jf-K%W^5DfL#s#FtOI`P*wyh~ms_ev;A1cUI#b7pI)W!=upHjk%Q=(;q z&aE6&VPhR)BsB|Ro#wooJ!7f%y<{jgRA)VcJ_Q74isN#*IBSzqO3+!>JhNcUoN((~ z&`lxd25JL>oTizWHb8z5mHpbP8Soxqk8#R*M=gHho0UaG^E|6OuK=YlcC_3|0i25Q z^}`AsL25|M^9+u)zej7|)S0(ZXw+yigivzHB@^>9&!&Hs844h_ZCj10P@`M9DJr9~ z8a#qtLveVXN2pzlVc&Q4K?;$?5Mzqlx(QKAQKT(l;xDwPQCJ6Mw1F)u0tk52m2axb zC=ih@fq+46rA^cgAFNCrfD{16zWQ|8n&OIrf2ED9dP>JfK5L! z)1Q-?tkaOvw(N=h`iet|IfN>1+M*CBJiwYTm2goYM7CEF)Wu0L)EfezP$pp07*i>E z-!mLVpfj)}(2`QhzVCj4%RWPtLn6Zx@@n4HUP@6!yqYH`nvW~q_RxY*Ol^3qhpGk* z@amD@0GDZ+)#DYI*U)ybj8Wt5cDr0IBC_7^MG6ru%f-%2F#H;}4hIe#O!vUTGYzV) zCe8EGY}}kVFtE!I!n&?-DMe&mw|SaE1eBR_-g7R?vgDlC_5Q~nf3E8t@yO~4S9J(< z5>^hn6GJo>V-A30)ab10ZCMt$(=kS1L$LGoA&jb+Qj{X4lr&951gsDS0cgH$+p;XT z+YN4BjN$F=2LFWAfZMiho)>0*ef@h`mQn5dud3$@SeG%FAZJrl( zILUJYIfE~yc)#DT*XzD#<0#m#V7bfXN<_EY8%mhx1vAb#&2yB4U+2KQ=RJhTM7iYa z_4@Yq24LF40njA$8W7dxa?N=+><^PMrG)8>8dFN>=`>GZY=CRqw(a{qr74$;y}&k( zwwACg3y=a4ftdoR2tEmN4hswhIfW2#jBn86m8k;atg;CBdxRW7nK|ba(|xTN;yllP z|NS@0zh18p4)EbouNjU&Jn#2=$$6TWQZgg_mf6rTb)@0E?J=f(-(eG*@8m4 zRYfGFm~&CDjOTd)5Dfa*{I$>zkc*jPjCtSZW!d-5MAkG-Iq%FhU;#Aou1F~*1UBCZ zU6`k-h@e%NBiHNY_4O4h5{2`aA zFsU4Z5S|zz%u5lQI1o)B0*GnOJX~wrxz9w?JcCya@~hZZ5mCVi`Y=(5QSpK*A{17r zNCb13=lS*Z6{J9$LkKBFsIwTts+PdAEN^eGF-GPv&r>NyiWCv7sF|w842m&q>ozZo zNI{VJa=F}YH^i5flCRh6{k|HOYD;=pgESBmYe=aNFog5~iieh$l7ToV=3c>H@N=Eo zi1;qb#tvqRG2CwVSi@Z@r3o*B0iZFbG!gUdc0()}=ACEtyzkRI-)^_dvaH({m_uyQ z&C@iING=&;t|+=N9VYfqJ{^Kw@!*GyIu;}8y)%Y{fvsm85A!=>burVs)&j>p%)*tS4OM4&%} z6|_9ePUw0FRTGSmje*0ScPk(Ht!*Z$jElByE2Y5dPAr6%O$xZTm=f!(kJ__k5};9N+p;XM%^*6gc}7Wjs+yFI;`sk(`B0G`~8NM^<`dI zZ}qv~S9plGx3_7YN`ZZHga3#K8w|=kFMyBNbptaX9s*`3h85dNptWrtVfC@(%pv5w zW2WElcd*~S?=G|@Pt)w;MG!z*E|&|&6m{7W3nWI=Kp&OW)ipNw!ULla4tQ?zUupn8 z5mi5qTxdv236hhXXKIcBb;xWj5bc2+)+Lh(+@%mr1M!~+pW0y&!*eWQrWE%*+qMjh z7wjU4HNfQ%^>_kQ7k~rR5Q=1BE-qrGsB+)13L@@GC{p7g1pb6gpQlgQ^dx=4roUxs zk&gRb1tma61ad;~=9~c;cwTq*B^Kp^iZ(VQSi{pIJS7B2hzRx`YARE{JQ35!N2G zQO?HdwIFu?{|cv0ClamU8zND>$C`H0@-0C z8E6H#0Td3QavD7I7^A67m_*fd5So`I=e%#*G)*zpn2~*N3#SOpK=*-U!IMuZmQn!s zl)8OJU}=G#vqlQMyu9q&o~B8+oadYYon-6^x!>)YE6YXel*kW(qLEVG(W z5o;xZnFH%Q4W-Dot!U4(T(D4&ASsw%wxR$J&>#$R_At53JGF`M#~**(?|0^~Z`;cs zFSoZ_sBrcyB2r|}yEz-dMRdZ71!nj5_6Cc`&@OXdUtV4y#DD-A$jHo?9k$M#Qktge z?d|P)y&8^OPd^X=vl)M)E)mK59#irmC-@22qP6pWzh5qw`|Tzom+KXyqpTauB1aVM zq^DBCmrgO|l9_p3*O!--7cETa|_)$U_R^!>+}1fCwPt zzVC=5n&)ZTb~OHSSui^cf+58hQc5YMlJmN4Ku)=y8^yLpg}M-+SBiivh%cMxMI;lk zuE|-nJ}}<^<9^?FM9!+oUh(L_oOL0m6e*=3S{7Xf4K-xG09k-%Nfjn&afOhj-~?MB zDJ9SIW#2cv8{Pf@oDC8}$ooFc6WmB~0ka}y-}jf7KNRLGA_e%Y#nS=7N0=C7jtK4h zwk(&NGjO_PSzce?E|-}Zkzd$ZpHwK-1tf$SA}TE@I)<&@Ix?JX$IPJ~?rP{Bc$A~O1dNoNb6 z*URNzDJg(+4fnTc2z!8;V+iZI=2A@cUoIEOnfv|r#~**1Ze8#9%k}ctUw_4zK&5Tl z{=fhIZ{0R<4Kx=KnK=PYhJ=qXfl4t(>=hM(31O2f17f~h7J#Z$;lC6@K#Z+H7+_|L zseHMX+tUD}7pv*EhsI^jTC@%v90ax?Gsm#f*T4t2Z3iMbPjk`b6Zn$GS`d|`$hxko#jw+#F=w-`5Cb*C+BP%@SP`v4 zBnQ-1)CR-SSe99euujryljJ4L>sf5rb>%4gE50ck+Yo;ixX8ag+%qMGs8^x6NdT$U#q`O%TR}!oiIO zxu!IM9}E~RF6JX=m}&)BJmxZU@IE_qv_bs7E})-qdt*#7hJD9kK3h<5MNU6q)9;_Y8{>Xr zrcaY$Q0)WO31Nc6d(;rfy{2NW{`E)iud>m< zc#{iCjb4@F6)0^vQ3vTV;9igJ+G9+xkVH52BhR*N23rG>(WtlG zc74JinCH0^d3}9_6;3Y77;cOKl=zD{L9@ldEVkZmYWVf_^?JROA^=tQJp(lZma!}g zdSRZAh1X3f6?Fm-rU8&^T~{2!NDgVrIU@`N#0-J&_Z1bv;DFtLT{%)AGn7zadhp>q zO}G2a?ox!yI!%)WZSDJhSuSt4w|QP{FB@nMY<-^R*Vng{;*_Sh+f73l8+S1?Vj<0; zvQ0qyz5~AoucnmVUf-s960t@5ylp$8oDi!8*8(m|;6U75O~B=EZ*R+T!EkduY=N=& zid4qtAkuG!#e1^BTx4>au7K?pAbq4Ilm|!-+Y<+!w6_I6LI3NP6 zlu~^FA3TdS8?f&6dW8oyO_Ls4Qnwx9tq|foPa^X7-+%r2=l}fTJO&!fnRQcJ&WN{y zdtaxyv+&Bx%Zs>RE38@}Oc<6o=m6eEGnVDDZ7U!`WN6-$iV;j_^HELmh{!xoBGntj zl*e>T+D&5>xa)>AK`dy*F|63)EY~o|F@pwJFGDay8!>kXbxFEMRXy$dWw~zKIxmY6 zN~iWzGztu~!a@-ULPVtqqIv;;fPfm;P`3|@2qxXS*2chX7OJq?zVGnotqQnLIAAVK zXl(Nj}|NZq^N=5)I_WPHT@Anm8B9y7lg&Ne>EAph-ln^KB8 z7NwLFBQayTp#jT07Xb!TSHku^PgB~q-9r58@U1IX5gLdHa5+>n26x-mWx1G>Mhc^w zj!Sxg3`T0b-~asIpICpzC~VulEQ^hl@pDR1q%cQ#s_>ARY2UNoCm|y9G~Eydwk+$m z+Tt0O>*jd@oQ?LZ>m89_@PgE)5v)i-I)ig8mz*0}XbpMqUV%etA0Q;UKPQ3b6k-9pR-{5)G!paCD4%To6jrIcRdb`~rH~2o8mwpL=<3C`ZSy=4QHn{35IKS8`@UVTFIXN11H>4asEFv1>xGCAx2vW{ zA(9kR&{Y$xx|v|da*ou<&2qV1@An(xuOZ~k=EO=Br0%{$nN|(1+fC{wlAJSkRzYW> zYi2>&`j)8)P|qNKti?h~)U_NUtgqwO;KCa%$TYZZUgja1L5LQ@a6&w(hOu+32VNy} zT@jO^bFeNN!IU*i$%vyCS2VZ6Y&H!Z%4WJF(i787W6UQD9>VfNsi96{Zo4f#0y@ZT z0~r=tvX3nddRY_VW^Au&&O1ESeFaxrUC?fDcXxMpr)Y6^cMtARC{o;tyOiSY4#l0I zL5jY(LvbxP{l0sD#LZes*2`T~V9QGU`Ttb?OeBZ!J0Y%q)$+`N7fy zAq3j{gKu`xJgmjBE=b?BBf6v#YF-K2oQK$9mLhbiuw%0ygR~e#b7=YKs=8_`q()K# zn-ICHSb*xmI-SMgZE12~7!E;+-_(#Sroej|o30a;d9dVcD z#F_wYsvY7SWIXgZ?_sowkvnHQ2Q^1UsBo8MwK~J~dHC8g6;$$y zgI0v~BhAr^yts#tR)%wgi_Hz53zDR}=7{*-ZOTEd9b0o2R7nYK!6Y@m-!BsgYMTr@ zuA+{JQJR?I-gbG!wVE5^b>>K^Egfq5Hjk>>58u9i;nQmh;|Yp@!HNjl&VVZ~Nl#I# z8dHbAoj_st{2s7SJn*G4f^*2InxVTgRhI@%%Df-be8hWm`na%6QP^{$Vav*0TGr~F z((@tUi=$c`kzuu1*%?k~*Z3*V<8%s)`Aw5zXO5Klq>w8|Da17GkT__MlmjH%)nv zgChPPC^nN=iNI7$r@X$IwJ95_A7V%T1(beh3K&$J^KGs!IL0DBNX{)FvxS!Gm{@w} z+9z-bN96c(dJ*O4EWu;ulSFT_1XGT~A4Ht_-hoWZqtl3z&wc;|3^|q}i`PDcvMg+# zbScE_9^*sr5j+aMIV4~_Uk|-RRzqDw-C5K0>lQ2%b*}#GMJt>vS|3U@*63$^5i&fl z+y(8DtR!cIT#3V#A!_jRkU`zyc1Fq%$O;Ox2)EQ`WAJQQd1+PtfALqB18K z=5KJQIr-iPZ3bh(RSW1dXD>;^Y{xs@ny7-!Hc(N)B5;UK{{)m@+048yg~QrrqN^&m z;7&XYIbozGrXa?8ggvzp%SiLCC96jH{+fj)`B)@zI*PgF0?}v~j~&$!m(dUWpV-Z5 zVL@}4xsZif!?pxwdKaGY9_D$hELP)88)QL8_bmtxCo`KWr(rP4L`!{OG0mmDJK8)q znu%tCPhOT5ZYq@tvI&wg)#Kc+n1c)$%CfQ9L9C(2q(DZ*r-6meAhft$H#J`+;ks#q z%B==T)!8*Knb?Gw1NH|jHBE~%g2{+cF54jRS#2@uc*oUCq1CD30?Me&MT!bysuY3FN+wE5!a^#uYw0m*L z24^`_C0N_*gWHMM>03W4m1Q+D)>_-A>zMph_yR5wyW73L4X=m1hyE=g>#Wa5EK3GO84JbDJ&l0e-Ur zvdxQq=Mt001Ia`Bj};}$0+BWboPydBj*HgTuTT*zvyC8TGO7D3+HYGAYrq*HXFTuXp~q4Bv;e8*@3J|)JXLGWZw0an6c~%q0{J-orl4YCg@(#v zg~wMkhNtp0O1mtT_SolnfIi+vyC4!5BfzBJxa~XB!FOU&bV&<@K}Uk$SYOPlhBI(IY&Oejs)E2F5>IMazS11lY?LOlW51m>PQNZ!NO-C{Cu1Ukc);UY zltH=dqWEw$A^Kn)5oy~QVopyAo6o-S0^Mj{CF_}A8baXBXh{hG=GDm&L#7xaO30?x ztl{CrrJp(gEYts@b&&h8)?HIZ7S?Ic9^B^4!J!9Z>>O+L_Hk7L8919@a%*B2x%6^{_F`7)>!f^ijN!(c{!!yr`S&65#r^3)D3edrJO+YGz+>W&qVjpzt3< zs2Q>o&|smDM%fjH9E(>lIvWzdNO)v57KUYqn*kxZv6R-F3D2hDhIvu-F4=E;#@DPW zZiYn_p&DUo=Auq1g~-ZSA?TN8L#(BUJzlcPx-P~A^l&yLgH%6b^`;LJPXFx(5`o@I z&^0E*qZ|Zk($Osw$uKJ`x>IFCESyJYq(roY_6ai>X5+!z1airAS9E2RQfFPJ^;2yJ zxIZx^r7i=Ow?t9N+FGroIV0Mgjo6jUyEd2obJ~M)j~q<&s7>*KEn_Dh zVU@5!?t$GSX=O~miMWZi)K?5cW(P+ij>8cXxT>bdx|F_IWqStucSeu*5twAht!h-K zP~4QWlY%^%=Jcl9~^l7R{XX$-c4fh$%62xV;1~18}0eh>f;#6OR`C})kSZjYC;46d^a{lCbt$#)DSgK z5azkt@#UiruEzmBHT}jx*t#AwPy_j*o6JB0xpt*ul{!VUzsKqjVuZhbyl#KUqq$d* zM5|ZnWjA+Pm*So`uJh+T8k()06^wDuo&;|L?ao9QNX0{?Fuin7C07Mi>mnm8mFgW% z_sXPT^=r>gIkIxfvUuKxT*z>#_7BCv29|WH;gOWlLt@|~nVsO?mABSqz6UO$j4e@JT^}@?(h*(U(j@d4xJh*V{1 zk0yF6n1!m-nz0*KXOObx>KQit{)wWcJC6BC_O?}WXS~ge9OO93xRQ4~&|$2U+OU2U zi6>c@fQ~CVPFG^x_;#=6WJ$2a`nd<^TAPVMJNq{gE&ZKX7{YvdqXs7Yrf)=zSFfVw z-kSr!=`bL3=30Feg3RsW(?JwDHKbNX8s>+*V9;^;?)zbd1J(IaN~ z4XthAOgF2h$~LoXguFbh5|vmO=~;ceEJq$g9HA+-hNw~*6SQ#W=4Iv-byzT1LKdbf zE9P5_bCN$V;N=3XnqyocfPVzI0HCzW!4?L}kxRB`q*$T8n(`)Y`HZcQ$*X_9Uq$E@ zUqW@LDYxt#;hZB(Wpi~6i08|qAWgZ)H>f$@*Q7V0pYCK;>gAk#BM@27x&LyZi42Pl zaYN4x)w*LF+3!z`t6#)MLs(WY-!rip3$LrQ#i+imtO%H75iD4_@eaB$Bx6E74aqfF zkyh!nx#oUnoyu$8&nr(8?2lpTI(L>J9F^~Hr-J?V92FoM1PWlt?abtgT)+4n)?6h3 zd<<3A%3{3~X>m*-9X>f)O>u=D*yWjvPnjz4Wu262YpCOdLKq7LXmi{uXL(iBUAka# zqt3gjHOS~7xW^(LVaS{vnz~M{a(2umT@&xHG_vzQHTWVDMXTInF<(h)%lJC=98WeL zXE)1Y@HVG2bd-i4k6+@K6?bmuZ)Hll(>jv1+>#xb{#wLEE`n(C?fbO zgk<}J?S9oD0kgha4}1d)qI3i!%2yrRZN^C>)9?3Oy(Zcd(%^O$nTtFP3d<6Y*r;LJ zF*`Tr9eYOoeO+Jx{x1C>2foB)_tAi*fBrF`Gl#39oM|-ptfp(5S;%`m;FjvrIgRjN z>LlMudH#gr0+=6BVuQoxsn642fGWqw6+9xK& zt-AlcgSZan75YsIB!>RsBBwzg}JU95KHp?);5ook2 zSyMpr=4nDjS4`~h{MT6w4bxe|+ysQ^xXQNxWhEn6i?mXXPI}hSczFT0$$IQpz|gS^ zu(r@xdzMT03RT!nm{KfDmH2?3vfs96CXn0RORo%i^3=gK#_E2{w7_M znPG0EB}<+TZZtF{1E87L!^E!Yv>Hn*QnlCK74N{IOU1sTcT!QKGDO|MD&HY)%2ivU z3QfHyGIB0x(O5ERD~icdxsAgqiJWKFD1VDpk8io^V6iPcLY~Cr3i#%E$H$6}lg)R? zDBX_|Q^%scK@qgwMoI%xV2$=pOjfLvKw^ZMl^e1KB+gw#E@D*mP>cBy|8g-ZC~I)` z4zK!MCZVQ(FPr-D_!`j5-q73#hnLKOnXT+)HV}Aih}D~Q$2k*AT%tX*z3!ZUB*bYa zCWmn@<6Ta>Q4(;`k$NqoEddUi?O==QWKZJq1T|f)-**9|;M(nv4buIlxZgi6^4EO! zIO}93*Hm@3!5<%$z05JRTL_qxf{xdi212h)jdZcQfUqdanJc>G=}JB;2W5Iu3)F=W z`T}#MBt)u3JPndNR($TrfrP!9 zRQv+iNks8D-2<@UsWJBsV1Sr8;uklzr$? zaLOP?R9lwJm_X%Og)b1;GZIHRQIhFh#;I8?SJJ5348-0)z;SWd-p08K(k@I(pyCh< zik<)dG_5> zCM92!Aa<~tG`?a!JWhsi@Q@EJ$D^ZCT+d;W*Fw)`-QMy{ZN81 zRincnX@l*z$E(}fF$&`2GoF?x_dII~t{I+$?Y(=SgI@-QU7K~JZWm&%bBBBJ^^3zs zDgFtet1mG;LJ51rO&skOMETQ2hxa{T)c3RBSK!s zqr0RwZIAiosC$obUfV>`YVa8SKpnKmQPp6SH}TaG=V2t6xZ9(MEH-!TNo<0-ELF@p z)1v6gomNfHv+O~1me}$z@8`8s+=jqV@<10>!g$b^YyQM*7_SAsJjXwIkkkXe?1!uE zUqARvBMqQmdKelTE!cF+w5d*Kgvzb%NFPvUxlI8Q8{fS?A6h8VhbbRgp;Bl9PECvv37Shi()kZfx|ZK!CI0_%hUyT+N) z7kx8TupD0kytsF~-`q4{(3-jX%wo-ne>#aAc6QYX%z+!4NR zi2^sC(hE|D1ou>JzbjocWp~|1qnBv@G(~XQbNvS7d5R)~Dq(C#0n)?B?)F6q~uXZGm@KnO5ql+^)g1>(Y{P2H>d66>Q{AHFysF;?V zUs>uZ+Nf)q2O{*Qc-^E#>GS_sDCJv-sz}{WTKCTL>J(>3Iu`NJ6)K*In)#I{ z?`C}@q}(gmuRGoC{o*~2&SQ#S)X9_cckt8a;dR0f`gT5AL_Gvq7oXIOb?#H^{>zKQN;YPy`EAVpgHCIebvly$GJTPF3H|Aw&(w8gU|tl* zpO}4PCG2|U9+a@0L#Y~CD}!12i9Hy-egXr00LvgUe@-i>eAd4_B&0brFO)-{QP{(( zANfcucNxP4d9)>*-UY$)WUAR398-p0R+bre%agE3YgtHRRUhevn10V$KXfJtPnXj? zGo#GlXIp{Rtd%huN##QqG$**sPnL3BxMhsqT_X~wKtuZbDGvGV3EDS zXVH!`DS=91lp>#tiSFwgyc$%{G+lXqVn4|$VM#Py?$@5nrmrtl(ihG|LJ0M6&97gP z3`|HZh4W5np9;E>Fm6opeNXqDw}KoD*Apuzb=|u{tT() zjx$pA@v@7lnh;N^^WlGQj~GSRRvjHhhM7$#{i2msnp%NzfhRLeD1%F}OEsV+|>n)(&R1P%JUhf}2^7 z-y9abM?;Y`3W=dB(fXs%SoHol%ddZ#%k(eG2wNu_Q%&-#PLsG zp;IDA4%VMQJ9?-9O>Jh!IT3~tJ>JBr<8r!oilSQXM7O;m%ru$EI2W^fF_OzqF;o0NZ+(k|s;_wECRTD`TFhD)i4mxQNl)@z zF8w(yJ|S|?eDjj%4viwXe8AMfc~73P+tWR-zCCz3i)6}S&EqP4#@MNygMh94a(7zG zpYZuj*+Sl&9+uqvux=p6I+rr6<$4JL3mL9s=f3Eh+ z^FUs^;}R|VulU_{@JXldC4qQgL3AyGGH_~Tg@K~!BnS~#6E22hkBpJnhADUOvl#i7 zC@5)fSd#rEe)+g~vGdf4c+D47Q!9W_bIfUVOGz-yHH4ch5N?*zIvtvE{7ED-xl`=& z8N8lP`r9&GVZ=A)ziIa&xf6ND{m&KDL^_+yoPkgX@XEL>iX5P7Cd;XnH>5(+@zl|Di2nnI^A!KS5!6RwP6UBU8VY?b?TMB2c8RV zz|(p#P=wIlxTH*=ew8Q2vtgdX6Zp&~P3(LuXIy9SJ+9Y;C0SaX@1u!c68OFJ2R&bD zPO_~g()MJh<8KPSt*EOTuD`s)h+`8m<^Hi#w9I%8rJlzvhCn>c{Bix1+107@o?rm-fNZ0I4sME;=JRH_^1bn$6xJ>xC(!E<2?29w@KZZ9zvDSF`$v`taw^{TU|h% zcp_ir^xyS9tJCOczxm66PTB*7&xj2f-&)PJ=-q8yYIi9m$Eq3{2<;FgFMb&4oNk{) z1Dy)_xVLJBCfw6N{IYBU$Wa%O^1?!J3)Ow}WX!^};?C2eQWGwZamwp0W`5jQ&Y*cg zQ0AG0g}UmlX?{hWaUS=%uv7l|o_6MZVr9h7rfz?k@tBO<2i*&Caqx|DbNo#Bm=?iq z47=f>kI-0KYE95hC0syb+vgy@;3m_W>R&y$49?>E7-t}bz}$qFL)xYkeel9%(NE+N z8xyfpcK;TyeL?!uJ;9xPj*NSgPbxoQCn9|nlN^ZeQr+`t8-mg{8Sb7ZV*Lr1W4Phw z9>nWyv$w~)sEx&Kl`#UDTF7pw;!pXH8`oeaJFP)+Zk>_Sq{p)Srl*Dr>db zv(7Jh6P_2Sruk2}m)uDibdgFA1kb+2>>dKQ7$bdYQ2+sT70w@`0aXb%*oRjKch2I- z{UZlm9rSF%-S_RClA|GaUb_;f({|x$!md4&1$B(mUE^sZYTvNC1ylOW3vG;|lSHtA zFxO=>7^m&)^d%xK@$Pey{1iss!}EV_#L%c+hwFiyu@`w~u830~U0?~c9K5HnJ?dZE z^0=9oO9kKWJ)G%zz7`zO>&7o)_($_LHkvAXJv|$*iSJH__n@}Q%#8Zgqn`D4@N$IRCvYB`U*-Sk_UB*00+77D>R4_q3 z1w7^{Xn(O~Z5Ah@iN0X;>Z8NNKTjW;af|)eyty0R;ybmSP5?`{oZrn;Do5wV@LeT_ zGS_9aT#V`oXOrX(cw^thv$FAohV4TD)Y?ES62Mn;%i-$nPlQd&Iu~_W@{xBMxX|1B zHrIw+N@~+g?+B_r+w6uX**ad#{|mOB$#Sk{eq)i_5)?86uSCOcB*Wyv;Cd#7prbs)U4-)d`rHWIf$$W1V5pLn}(<>KxV#U^5wB$0}#bP5V^8 zXR^C>+`jJYu%Dfzv=@3u=^BbB(?85g+ny^EG!83U6Ce}C)xz80Ns?7Vk$ zi*F|s9U}O7O%?0DhW9nL`FTLhx!TrJIl#85SE&&6gFQ2DRAbauA`S6#PIO;4>`n*J zgFSPEe?nM1d9U|_6l{~D4!kuqHf%hARWn=0ujylTVr=`IhhpYXcPP||O`E)uoxg1L z3kVM+EoOQ!SM%zVOF*}8iL?*1ei@K<)QmUCs9K1*u*W^rpi){&m^;2v~0=xmp2#BfgYd>yowz`f9$l z<~);mCcB<&*Po5+*4D?3}}Y?`$KBlF*DA4l>IOz8Mhx|o=IDwmV1t zcWavUR>!d-f1fr8MxK2j6KTvp$c(6Bs$RKRL<;j3Y5uyX7hPDred+U^d)E@%Go`dzn0 zLA2GI65Z(P;e4IXBSp3?fNtnNOLP)qib}V|K^Z#1?A9t|&0NTr=Ky+GOK_mt+TYDZaBFTl$_2DfK|)hk4L=SvJA%05&naAMPrC+a5WJ^ndAk zJ5sdm3@uHmPH331+T7Q0l=N72e(oMAFprk<!%LF3jFO_lW(_Brg|QLGqcB zh!_-@L_&ffbpfT)W6E(rZMhaarr*&VJ};#E1a<6K$I~jKiTc| zkYxhIo{sCS+Fcvh6(J~IogS~UIy@17r#0&u_o#-k@pi+_=D-QNV4I;^F6IX6^vgRd2T~w&YJBF?J)9^b=Y7R|&!MyM6d^Y^8 z)0O%>o18Oc!JT+GBuupRsX8_@5+|I*J(-b@Br^NF%!9(-Yy6(YJw_wGtlGcv3*^6& z^HiUG!E_}gat840@tql~2kYk>Ti4I6%;a93Ovs3&Z(985VruUNi+UB9sR${Q+^Gp$ z!vs9*NQ+f0OTBx}K{qjBS!>OCMbF@|L{d<)o`zivR8E2CG$JFSy26b2dTJ z>Yz>Z2LoH{mk19?XK*2QFud-cmbMO>dsE}weQovzwaQqPiB!jDuC+Q`!^17`i+ppV z4%y5@rrOAg=wH^MQ{hEL9PRvco%g+)<(tW@B)kN>Zng#63uSdv?`PWQBE$>UTCKcs zK5Qfkd`%@fLZ#`2h&?6!T@p##tRby2>>6U8-N9IYFcMf8PgYEO%l(DU13IipHY zpRB9yBKvnmg5yb+?3CSD+zQ=zu-rQ85}Q$a6?}d$iCDFL63c}smiSBOy+ea_wk^GR z>*p=jTP5lE<|`?GJUg_cst5-PJ5$={hV-HHEp!tryizpR!G!fh#Zo}2ep5X;=QrMX zXK~y*Nb8!+y-;nEs+pYV+S-RTY?~(BsWF|4(J-zFaaXUc>GN-{A_-MMRGqb!&uew3 ztr^RHrDF1`87wC3TPL?(zqc&i(`UMMPf&1DEp;5gEcIX4>ypy$-_dHOLU;}?H6)f*g#uTmE$7(k(SJVhvl&5k=N1;e{SyXmt+Kc{SSRYQ2OPWug`a6vHB^$ zH-QhZKD7s*;>c8YQdmv>$EQl`5WK)N+UnKaYs|;i%>g2K`CV^s{{b(&WDCCbv2W#! zfzMG|65+P=_=BFHq5b$tY_*JJre#-}g+v^U_Z%y0C%*8J1i9OBrB1M=ISP<;Y9$3m zPnmj~8J>Qw{Glyck|VG&d79%Av9xAwR8PTfuU4yvA83f#3Xb~??QN&o zei7qr7*s-s*ydvP#YG2mqPlgiFEx&Yvy)UTg@0*)}*wI16MSTV1mg4Pk zS*N$+a&}=0uqLRud;`2yiHxUqKGy_hN%$x$sn{G5Zm*e@=JsnXorbtP`^{vjO`oHU zhhEV8=#xc)&QwnB#4xw*6O5X>?5RWys>~LV4jnlH>-l6{9M*4AB#T<2m+y)kUvhzZ z&vy&drH?H-J)^58+lbh8o=caxwePP6Ibf-3y->1dLlzb`;yv9y?Nn!cmrc$1=32a& z$%~vXkGJ`UHm-uQkRF~2)rJ3U^mvgN9dy1^*Xjnj_lNyl9SE}Wsu)9gHQaH_3C-B7 zcz8((jb@Vw>_*)7Q;7PhtH2sqrUA3-_YqP$|{sQidVYrTxB0!C$w_ z>7nKIM@4woAqz83lxt(uBMtID!c#YoKPR0PBzgoea&IcL4YYmQZjO%!3x`YXO6q!I zqFXd-g?=BC`)I%9w?sC#4L3JfTLumw{hro3@uOj(p>8gzsg zbxXG)le4jA(7j=nA5aM_Xd=9^VOz@ujS}~B9Fb0**iiL33;PRDB&s{@jPy}*DV8}WXQl2dFOiaKA&+MWEX&z+_4Gr;j!T~(oIkeGlK{R=m4uHoR%SHT;hSlojZ4PCzcfDAKqoiM7&HLj z<=m8EYx1(u{LhQ7@m428OSgX{`AXLxRquK)81?eUh6!iWW?F-brHY^BO{??jf3G56 z|AZ{!N2RN9p#{KTzCLrG9|wLF#P(yY6P(AGf-nDpBimFToKz_a4FLGj&GMYE47C=~ z_n_z;)ZHuKE!{h<{z(7nSb(;xW;W5ea)i#3yML!tdcFmV z3;+N~v-yms281N8H!UQ=J@Bv}VXs29K;!}h+VITKVsYGx#BG2h5dhGC1xpP&k(}dt znxTBUTN9<;xhs0Tiz)>5YxVaK0Iv1Tb%J3kY#@jsoRojj)u+awy#H{kFPS5ZrK!wk zB%z?rOi5@^AS{iz0$NcaWQ>IPPyhgMw+3d6%Vx1#&`Adh5Dc4@_P?hCjQ8*O1*nhw zKNJ>*G?jtdkQjd=Mn`}td>07>K^;zd|3nfGf(a)G}s}14I*qg7k!j z@^*(HKnNkPH}aj2a1~N@emDfUQMfn$xkELKDniJ>ad_j+T~TZj%qetU$SvQV)#u zPRL3~AG~V+M9!ai>&5rH8cT@KW}YaEs6l9_1Q424BK-m+koX7XbjO zFsQ_k(FTn8A4l-_ftc!8JFpuo@kw9=bh_M24q|$3ObKkVW1-p7FBs%6}4{g$e)1DL{ha zNeKZ8?+>v$NbW!a&l7$PR}_OVc_qX{9I+xACw%fB6*=5z9mrQ)o>hZjBCxAja8?5{ znE?d|pa0F8oknw@7+1wccu1@t8l&CZkzf;(ASowBJ=DP$2>*6)d?*kqQJDA!2KaOo zfFB|QC;b&$Zb1=*K{>!EEMyR1&T?G@@MAu<{CALiq9zMMvPzOBsYK|1{~!Sc$X);R zbFIy}RDid{3fQ6Q>E;rO26jdHas&YSB}2G`SSk!46gzO{{ct<}MPGa2(|GLvj5aa( zk#iFL1BF#b`M;b1+%T@o000b&dM=@FXaRa_xcHEAK>+$$2F3$*)tlu2t=ABl z6iq_>gq9gAD69XG5TMmfQN8vb+1bDo0Fs|!;YYMpn@^%FUn!T|77+?Nf@n$RCSM7gx}xYT#feerTfEu&C3(3 zUq8eb!TAaDyDCx@-v7V>8c38GB}S8`%626BB>;de0)0-%zz(9hj5uAzGEImf;0h$t z_Mbqi%64NkR6`LO1=P~uvqb*C2`~n=#|_vC3WXCPtibqRxc|RD`+MI$@ybzGCb6pi QQv^UkR#m1>$~^4<0Q3E+Qvd(} literal 0 HcmV?d00001 diff --git a/pcb/zx-tsid-cache.lib b/pcb/zx-tsid-cache.lib new file mode 100644 index 0000000..7bf12de --- /dev/null +++ b/pcb/zx-tsid-cache.lib @@ -0,0 +1,555 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# 65xx_8580 +# +DEF 65xx_8580 U 0 40 Y Y 1 F N +F0 "U" -400 1300 50 H V L CNN +F1 "65xx_8580" 0 0 50 V V C CIB +F2 "" 0 150 50 H I C CNN +F3 "" 0 150 50 H I C CNN +ALIAS 8580 +$FPLIST + DIP-28_W15.24mm* +$ENDFPLIST +DRAW +S -400 1250 400 -1250 0 1 0 f +X CAP1A 1 600 -600 200 L 50 50 1 1 P +X A1 10 -600 300 200 R 50 50 1 1 I +X A2 11 -600 200 200 R 50 50 1 1 I +X A3 12 -600 100 200 R 50 50 1 1 I +X A4 13 -600 0 200 R 50 50 1 1 I +X GND 14 0 -1450 200 U 50 50 1 1 W +X D0 15 -600 -400 200 R 50 50 1 1 B +X D1 16 -600 -500 200 R 50 50 1 1 B +X D2 17 -600 -600 200 R 50 50 1 1 B +X D3 18 -600 -700 200 R 50 50 1 1 B +X D4 19 -600 -800 200 R 50 50 1 1 B +X CAP1B 2 600 -700 200 L 50 50 1 1 P +X D5 20 -600 -900 200 R 50 50 1 1 B +X D6 21 -600 -1000 200 R 50 50 1 1 B +X D7 22 -600 -1100 200 R 50 50 1 1 B +X POT_Y 23 600 0 200 L 50 50 1 1 I +X POT_X 24 600 100 200 L 50 50 1 1 I +X +5V 25 0 1450 200 D 50 50 1 1 W +X EXT_IN 26 600 600 200 L 50 50 1 1 I +X AUDIO_OUT 27 600 800 200 L 50 50 1 1 O +X +9V 28 100 1450 200 D 50 50 1 1 W +X CAP2A 3 600 -1000 200 L 50 50 1 1 P +X CAP2B 4 600 -1100 200 L 50 50 1 1 P +X ~RES 5 -600 1100 200 R 50 50 1 1 I L +X ϕ2 6 -600 1000 200 R 50 50 1 1 I C +X R/~W 7 -600 -200 200 R 50 50 1 1 I +X ~CS 8 -600 600 200 R 50 50 1 1 I L +X A0 9 -600 400 200 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Connector_AudioJack3_SwitchTR +# +DEF Connector_AudioJack3_SwitchTR J 0 20 Y Y 1 F N +F0 "J" 0 350 50 H V C CNN +F1 "Connector_AudioJack3_SwitchTR" 0 250 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Jack* +$ENDFPLIST +DRAW +S -200 -200 -250 -300 0 1 10 F +S 100 150 -200 -400 0 1 10 f +P 2 0 1 0 20 -10 30 -30 N +P 2 0 1 0 70 -210 80 -230 N +P 4 0 1 10 0 -200 25 -225 50 -200 100 -200 N +P 4 0 1 0 100 -300 70 -300 70 -210 60 -230 N +P 4 0 1 0 100 -100 20 -100 20 -10 10 -30 N +P 5 0 1 10 -75 -200 -50 -225 -25 -200 -25 0 100 0 N +P 5 0 1 10 100 100 -100 100 -100 -200 -125 -225 -150 -200 N +X ~ R 200 0 100 L 50 50 1 1 P +X ~ RN 200 -100 100 L 50 50 1 1 P +X ~ S 200 100 100 L 50 50 1 1 P +X ~ T 200 -200 100 L 50 50 1 1 P +X ~ TN 200 -300 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_02x05_Odd_Even +# +DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 300 50 H V C CNN +F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 250 150 -250 1 1 10 f +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +X Pin_1 1 -200 200 150 R 50 50 1 1 P +X Pin_10 10 300 -200 150 L 50 50 1 1 P +X Pin_2 2 300 200 150 L 50 50 1 1 P +X Pin_3 3 -200 100 150 R 50 50 1 1 P +X Pin_4 4 300 100 150 L 50 50 1 1 P +X Pin_5 5 -200 0 150 R 50 50 1 1 P +X Pin_6 6 300 0 150 L 50 50 1 1 P +X Pin_7 7 -200 -100 150 R 50 50 1 1 P +X Pin_8 8 300 -100 150 L 50 50 1 1 P +X Pin_9 9 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C +# +DEF Device_C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "Device_C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_CP +# +DEF Device_CP C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "Device_CP" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + CP_* +$ENDFPLIST +DRAW +S -90 20 90 40 0 1 0 N +S 90 -20 -90 -40 0 1 0 F +P 2 0 1 0 -70 90 -30 90 N +P 2 0 1 0 -50 110 -50 70 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R +# +DEF Device_R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "Device_R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# EPM3064A-44TQFP_EPM3128-100TQFP_EPM3064A-44TQFP +# +DEF EPM3064A-44TQFP_EPM3128-100TQFP_EPM3064A-44TQFP U 0 40 Y Y 1 F N +F0 "U" 0 0 60 H V C CNN +F1 "EPM3064A-44TQFP_EPM3128-100TQFP_EPM3064A-44TQFP" -850 1350 60 H V C CNN +F2 "" 2650 350 60 H V C CNN +F3 "" 2650 350 60 H V C CNN +DRAW +T 0 -200 -650 79 0 0 0 A Normal 1 C C +T 0 200 -650 79 0 0 0 B Normal 1 C C +T 0 200 100 79 0 0 0 C Normal 1 C C +T 0 200 800 79 0 0 0 D Normal 1 C C +S -550 1250 550 -1200 0 0 0 f +X TDI 1 -750 500 197 R 50 60 1 1 I +X I/O 10 750 -550 197 L 50 60 1 1 I +X GNDIO 11 -150 -1300 100 U 50 60 1 1 I +X I/O 12 750 -650 197 L 50 60 1 1 I +X I/O 13 750 -750 197 L 50 60 1 1 I +X I/O 14 750 -850 197 L 50 60 1 1 I +X I/O 15 750 -950 197 L 50 60 1 1 I +X GNDINT 16 150 -1300 100 U 50 60 1 1 I +X VCCINT 17 50 1350 100 D 50 60 1 1 I +X I/O 18 750 -200 197 L 50 60 1 1 I +X I/O 19 750 -100 197 L 50 60 1 1 I +X I/O 2 -750 -350 197 R 50 60 1 1 I +X I/O 20 750 0 197 L 50 60 1 1 I +X I/O 21 750 100 197 L 50 60 1 1 I +X I/O 22 750 200 197 L 50 60 1 1 I +X I/O 23 750 300 197 L 50 60 1 1 I +X GNDIO 24 -50 -1300 100 U 50 60 1 1 I +X I/O 25 750 400 197 L 50 60 1 1 I +X TCK 26 -750 300 197 R 50 60 1 1 I +X I/O 27 750 600 197 L 50 60 1 1 I +X I/O 28 750 700 197 L 50 60 1 1 I +X VCCIO 29 -50 1350 100 D 50 60 1 1 I +X I/O 3 -750 -450 197 R 50 60 1 1 I +X GNDIO 30 50 -1300 100 U 50 60 1 1 I +X I/O 31 750 800 197 L 50 60 1 1 I +X TDO 32 -750 200 197 R 50 60 1 1 I +X I/O 33 750 900 197 L 50 60 1 1 I +X I/O 34 750 1000 197 L 50 60 1 1 I +X I/O 35 750 1100 197 L 50 60 1 1 I +X GNDINT 36 250 -1300 100 U 50 60 1 1 I +X GCLK1 37 -750 1000 197 R 50 60 1 1 I +X OE1 38 -750 800 197 R 50 60 1 1 I +X GCLRn 39 -750 900 197 R 50 60 1 1 I +X GNDIO 4 -250 -1300 100 U 50 60 1 1 I +X OE2/GCLK2 40 -750 700 197 R 50 60 1 1 I +X VCCINT 41 150 1350 100 D 50 60 1 1 I +X I/O 42 -750 -750 197 R 50 60 1 1 I +X I/O 43 -750 -850 197 R 50 60 1 1 I +X I/O 44 -750 -950 197 R 50 60 1 1 I +X I/O 5 -750 -550 197 R 50 60 1 1 I +X I/O 6 -750 -650 197 R 50 60 1 1 I +X TMS 7 -750 400 197 R 50 60 1 1 I +X I/O 8 750 -450 197 L 50 60 1 1 I +X VCCIO 9 -150 1350 100 D 50 60 1 1 I +ENDDRAW +ENDDEF +# +# Jumper_Jumper_3_Open +# +DEF Jumper_Jumper_3_Open JP 0 0 Y N 1 F N +F0 "JP" -100 -100 50 H V C CNN +F1 "Jumper_Jumper_3_Open" 0 110 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + SolderJumper*Open* +$ENDFPLIST +DRAW +A -65 -30 89 1282 518 0 1 0 N -120 40 -10 40 +A 65 -30 89 1282 518 0 1 0 N 10 40 120 40 +C -130 0 20 0 0 0 N +C 0 0 20 0 0 0 N +C 130 0 20 0 0 0 N +P 2 0 1 0 0 -20 0 -50 N +X A 1 -250 0 100 R 50 50 1 1 P +X C 2 0 -150 100 U 50 50 1 1 I +X B 3 250 0 100 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole +# +DEF Mechanical_MountingHole H 0 40 Y Y 1 F N +F0 "H" 0 200 50 H V C CNN +F1 "Mechanical_MountingHole" 0 125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole* +$ENDFPLIST +DRAW +C 0 0 50 0 1 50 N +ENDDRAW +ENDDEF +# +# Regulator_Linear_AMS1117-3.3 +# +DEF Regulator_Linear_AMS1117-3.3 U 0 10 Y Y 1 F N +F0 "U" -150 125 50 H V C CNN +F1 "Regulator_Linear_AMS1117-3.3" 0 125 50 H V L CNN +F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN +F3 "" 100 -250 50 H I C CNN +ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0 +$FPLIST + SOT?223*TabPin2* +$ENDFPLIST +DRAW +S -200 -200 200 75 0 1 10 f +X GND 1 0 -300 100 U 50 50 1 1 W +X VO 2 300 0 100 L 50 50 1 1 w +X VI 3 -300 0 100 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# Transistor_BJT_BC847 +# +DEF Transistor_BJT_BC847 Q 0 0 Y N 1 F N +F0 "Q" 200 75 50 H V L CNN +F1 "Transistor_BJT_BC847" 200 0 50 H V L CNN +F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN +F3 "" 0 0 50 H I L CNN +ALIAS BC818 BC847 BC848 BC849 BC850 MMBT3904 MMBT5550L MMBT5551L +$FPLIST + SOT?23* +$ENDFPLIST +DRAW +C 50 0 111 0 1 10 N +P 2 0 1 0 25 25 100 100 N +P 3 0 1 0 25 -25 100 -100 100 -100 N +P 3 0 1 20 25 75 25 -75 25 -75 N +P 5 0 1 0 50 -70 70 -50 90 -90 50 -70 50 -70 F +X B 1 -200 0 225 R 50 50 1 1 I +X E 2 100 -200 100 U 50 50 1 1 P +X C 3 100 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# my_YM2149 +# +DEF my_YM2149 U 0 40 Y Y 1 F N +F0 "U" -400 1300 39 H V C CNN +F1 "my_YM2149" 300 1300 39 H V C CNN +F2 "" 0 1600 39 H I C CNN +F3 "" 0 1600 39 H I C CNN +DRAW +S -450 1250 450 -950 0 1 0 f +X VSS 1 0 -1100 150 U 50 50 1 1 W +X IOB3 10 600 -450 150 L 50 50 1 1 B +X IOB2 11 600 -350 150 L 50 50 1 1 B +X IOB1 12 600 -250 150 L 50 50 1 1 B +X IOB0 13 600 -150 150 L 50 50 1 1 B +X IOA7 14 600 -50 150 L 50 50 1 1 B +X IOA6 15 600 50 150 L 50 50 1 1 B +X IOA5 16 600 150 150 L 50 50 1 1 B +X IOA4 17 600 250 150 L 50 50 1 1 B +X IOA3 18 600 350 150 L 50 50 1 1 B +X IOA2 19 600 450 150 L 50 50 1 1 B +X NC 2 -600 -850 150 R 50 50 1 1 N N +X IOA1 20 600 550 150 L 50 50 1 1 B +X IOA0 21 600 650 150 L 50 50 1 1 B +X CLOCK 22 -600 -400 150 R 50 50 1 1 I C +X ~RESET~ 23 -600 -300 150 R 50 50 1 1 I I +X ~A9~ 24 -600 200 150 R 50 50 1 1 I I +X A8 25 -600 300 150 R 50 50 1 1 I +X ~SEL~ 26 -600 -500 150 R 50 50 1 1 I I +X BDIR 27 -600 50 150 R 50 50 1 1 I +X BC2 28 -600 -150 150 R 50 50 1 1 I +X BC1 29 -600 -50 150 R 50 50 1 1 I +X B 3 600 1050 150 L 50 50 1 1 O +X DA7 30 -600 450 150 R 50 50 1 1 B +X DA6 31 -600 550 150 R 50 50 1 1 B +X DA5 32 -600 650 150 R 50 50 1 1 B +X DA4 33 -600 750 150 R 50 50 1 1 B +X DA3 34 -600 850 150 R 50 50 1 1 B +X DA2 35 -600 950 150 R 50 50 1 1 B +X DA1 36 -600 1050 150 R 50 50 1 1 B +X DA0 37 -600 1150 150 R 50 50 1 1 B +X C 38 600 950 150 L 50 50 1 1 O +X TEST1 39 600 800 150 L 50 50 1 1 O +X A 4 600 1150 150 L 50 50 1 1 O +X VCC 40 0 1400 150 D 50 50 1 1 W +X NC 5 -600 -750 150 R 50 50 1 1 N N +X IOB7 6 600 -850 150 L 50 50 1 1 B +X IOB6 7 600 -750 150 L 50 50 1 1 B +X IOB5 8 600 -650 150 L 50 50 1 1 B +X IOB4 9 600 -550 150 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# my_zx_edge +# +DEF my_zx_edge J 0 40 Y N 1 F N +F0 "J" 50 1400 50 H V C CNN +F1 "my_zx_edge" 50 -1500 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -1395 0 -1405 1 1 6 N +S -50 -1295 0 -1305 1 1 6 N +S -50 -1195 0 -1205 1 1 6 N +S -50 -1095 0 -1105 1 1 6 N +S -50 -995 0 -1005 1 1 6 N +S -50 -895 0 -905 1 1 6 N +S -50 -795 0 -805 1 1 6 N +S -50 -695 0 -705 1 1 6 N +S -50 -595 0 -605 1 1 6 N +S -50 -495 0 -505 1 1 6 N +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 405 0 395 1 1 6 N +S -50 505 0 495 1 1 6 N +S -50 605 0 595 1 1 6 N +S -50 705 0 695 1 1 6 N +S -50 805 0 795 1 1 6 N +S -50 1005 0 995 1 1 6 N +S -50 1105 0 1095 1 1 6 N +S -50 1205 0 1195 1 1 6 N +S -50 1305 0 1295 1 1 6 N +S -50 1350 150 -1450 1 1 10 f +S 150 -1395 100 -1405 1 1 6 N +S 150 -1295 100 -1305 1 1 6 N +S 150 -1195 100 -1205 1 1 6 N +S 150 -1095 100 -1105 1 1 6 N +S 150 -995 100 -1005 1 1 6 N +S 150 -895 100 -905 1 1 6 N +S 150 -795 100 -805 1 1 6 N +S 150 -695 100 -705 1 1 6 N +S 150 -595 100 -605 1 1 6 N +S 150 -495 100 -505 1 1 6 N +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +S 150 405 100 395 1 1 6 N +S 150 505 100 495 1 1 6 N +S 150 605 100 595 1 1 6 N +S 150 705 100 695 1 1 6 N +S 150 805 100 795 1 1 6 N +S 150 1005 100 995 1 1 6 N +S 150 1105 100 1095 1 1 6 N +S 150 1205 100 1195 1 1 6 N +S 150 1305 100 1295 1 1 6 N +X Pin_a1 A1 -200 1300 150 R 50 50 1 1 P +X Pin_a10 A10 -200 400 150 R 50 50 1 1 P +X Pin_a11 A11 -200 300 150 R 50 50 1 1 P +X Pin_a12 A12 -200 200 150 R 50 50 1 1 P +X Pin_a13 A13 -200 100 150 R 50 50 1 1 P +X Pin_a14 A14 -200 0 150 R 50 50 1 1 P +X Pin_a15 A15 -200 -100 150 R 50 50 1 1 P +X Pin_a16 A16 -200 -200 150 R 50 50 1 1 P +X Pin_a17 A17 -200 -300 150 R 50 50 1 1 P +X Pin_a18 A18 -200 -400 150 R 50 50 1 1 P +X Pin_a19 A19 -200 -500 150 R 50 50 1 1 P +X Pin_a2 A2 -200 1200 150 R 50 50 1 1 P +X Pin_a20 A20 -200 -600 150 R 50 50 1 1 P +X Pin_a21 A21 -200 -700 150 R 50 50 1 1 P +X Pin_a22 A22 -200 -800 150 R 50 50 1 1 P +X Pin_a23 A23 -200 -900 150 R 50 50 1 1 P +X Pin_a24 A24 -200 -1000 150 R 50 50 1 1 P +X Pin_a25 A25 -200 -1100 150 R 50 50 1 1 P +X Pin_a26 A26 -200 -1200 150 R 50 50 1 1 P +X Pin_a27 A27 -200 -1300 150 R 50 50 1 1 P +X Pin_a28 A28 -200 -1400 150 R 50 50 1 1 P +X Pin_a3 A3 -200 1100 150 R 50 50 1 1 P +X Pin_a4 A4 -200 1000 150 R 50 50 1 1 P +X Pin_a6 A6 -200 800 150 R 50 50 1 1 P +X Pin_a7 A7 -200 700 150 R 50 50 1 1 P +X Pin_a8 A8 -200 600 150 R 50 50 1 1 P +X Pin_a9 A9 -200 500 150 R 50 50 1 1 P +X Pin_b1 B1 300 1300 150 L 50 50 1 1 P +X Pin_b10 B10 300 400 150 L 50 50 1 1 P +X Pin_b11 B11 300 300 150 L 50 50 1 1 P +X Pin_b12 B12 300 200 150 L 50 50 1 1 P +X Pin_b13 B13 300 100 150 L 50 50 1 1 P +X Pin_b14 B14 300 0 150 L 50 50 1 1 P +X Pin_b15 B15 300 -100 150 L 50 50 1 1 P +X Pin_b16 B16 300 -200 150 L 50 50 1 1 P +X Pin_b17 B17 300 -300 150 L 50 50 1 1 P +X Pin_b18 B18 300 -400 150 L 50 50 1 1 P +X Pin_b19 B19 300 -500 150 L 50 50 1 1 P +X Pin_b2 B2 300 1200 150 L 50 50 1 1 P +X Pin_b20 B20 300 -600 150 L 50 50 1 1 P +X Pin_b21 B21 300 -700 150 L 50 50 1 1 P +X Pin_b22 B22 300 -800 150 L 50 50 1 1 P +X Pin_b23 B23 300 -900 150 L 50 50 1 1 P +X Pin_b24 B24 300 -1000 150 L 50 50 1 1 P +X Pin_b25 B25 300 -1100 150 L 50 50 1 1 P +X Pin_b26 B26 300 -1200 150 L 50 50 1 1 P +X Pin_b27 B27 300 -1300 150 L 50 50 1 1 P +X Pin_b28 B28 300 -1400 150 L 50 50 1 1 P +X Pin_b3 B3 300 1100 150 L 50 50 1 1 P +X Pin_b4 B4 300 1000 150 L 50 50 1 1 P +X Pin_b6 B6 300 800 150 L 50 50 1 1 P +X Pin_b7 B7 300 700 150 L 50 50 1 1 P +X Pin_b8 B8 300 600 150 L 50 50 1 1 P +X Pin_b9 B9 300 500 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# power_+12V +# +DEF power_+12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+12V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +12V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+3.3V +# +DEF power_+3.3V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3.3V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+9V +# +DEF power_+9V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+9V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +9V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/pcb/zx-tsid.kicad_pcb b/pcb/zx-tsid.kicad_pcb new file mode 100644 index 0000000..71f677c --- /dev/null +++ b/pcb/zx-tsid.kicad_pcb @@ -0,0 +1,6867 @@ +(kicad_pcb (version 20171130) (host pcbnew "(5.1.4)-1") + + (general + (thickness 1.6) + (drawings 158) + (tracks 1470) + (zones 0) + (modules 64) + (nets 71) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (user_trace_width 0.4) + (trace_clearance 0.2) + (zone_clearance 0.254) + (zone_45_only no) + (trace_min 0.2) + (via_size 0.8) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.05) + (segment_width 0.2) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.12) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.051) + (solder_mask_min_width 0.25) + (aux_axis_origin 0 0) + (visible_elements 7FFFFF7F) + (pcbplotparams + (layerselection 0x010fc_ffffffff) + (usegerberextensions false) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "")) + ) + + (net 0 "") + (net 1 +5V) + (net 2 +9V) + (net 3 GND) + (net 4 +12V) + (net 5 +3V3) + (net 6 A15) + (net 7 D7) + (net 8 D0) + (net 9 D1) + (net 10 D2) + (net 11 D6) + (net 12 D5) + (net 13 D3) + (net 14 D4) + (net 15 ~IORQ) + (net 16 A14) + (net 17 A12) + (net 18 ~CLKCPU) + (net 19 A0) + (net 20 A1) + (net 21 A2) + (net 22 A3) + (net 23 ~IORQGE) + (net 24 ~WR) + (net 25 ~M1) + (net 26 A8) + (net 27 A10) + (net 28 ~RST) + (net 29 A7) + (net 30 A6) + (net 31 A5) + (net 32 A4) + (net 33 A9) + (net 34 A11) + (net 35 TDI) + (net 36 TMS) + (net 37 TDO) + (net 38 TCK) + (net 39 AY_CLK) + (net 40 "Net-(C10-Pad1)") + (net 41 AY_BDIR) + (net 42 AY_BC1) + (net 43 SID_CLK) + (net 44 SID_CS) + (net 45 /R) + (net 46 /L) + (net 47 "Net-(C17-Pad1)") + (net 48 "Net-(C18-Pad1)") + (net 49 "Net-(JP2-Pad2)") + (net 50 "Net-(R1-Pad2)") + (net 51 /AY_L) + (net 52 /AY_R) + (net 53 "Net-(C14-Pad1)") + (net 54 "Net-(C19-Pad1)") + (net 55 "Net-(J2-PadR)") + (net 56 "Net-(J2-PadT)") + (net 57 DAC) + (net 58 "Net-(JP1-Pad3)") + (net 59 "Net-(JP1-Pad2)") + (net 60 "Net-(JP1-Pad1)") + (net 61 "Net-(JP4-Pad2)") + (net 62 "Net-(JP5-Pad2)") + (net 63 "Net-(C8-Pad2)") + (net 64 "Net-(C11-Pad2)") + (net 65 "Net-(C13-Pad1)") + (net 66 "Net-(C16-Pad1)") + (net 67 "Net-(C16-Pad2)") + (net 68 "Net-(C18-Pad2)") + (net 69 "Net-(R18-Pad2)") + (net 70 "Net-(R23-Pad1)") + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.8) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +12V) + (add_net +3V3) + (add_net +5V) + (add_net +9V) + (add_net /AY_L) + (add_net /AY_R) + (add_net /L) + (add_net /R) + (add_net A0) + (add_net A1) + (add_net A10) + (add_net A11) + (add_net A12) + (add_net A14) + (add_net A15) + (add_net A2) + (add_net A3) + (add_net A4) + (add_net A5) + (add_net A6) + (add_net A7) + (add_net A8) + (add_net A9) + (add_net AY_BC1) + (add_net AY_BDIR) + (add_net AY_CLK) + (add_net D0) + (add_net D1) + (add_net D2) + (add_net D3) + (add_net D4) + (add_net D5) + (add_net D6) + (add_net D7) + (add_net DAC) + (add_net GND) + (add_net "Net-(C10-Pad1)") + (add_net "Net-(C11-Pad2)") + (add_net "Net-(C13-Pad1)") + (add_net "Net-(C14-Pad1)") + (add_net "Net-(C16-Pad1)") + (add_net "Net-(C16-Pad2)") + (add_net "Net-(C17-Pad1)") + (add_net "Net-(C18-Pad1)") + (add_net "Net-(C18-Pad2)") + (add_net "Net-(C19-Pad1)") + (add_net "Net-(C8-Pad2)") + (add_net "Net-(J2-PadR)") + (add_net "Net-(J2-PadT)") + (add_net "Net-(JP1-Pad1)") + (add_net "Net-(JP1-Pad2)") + (add_net "Net-(JP1-Pad3)") + (add_net "Net-(JP2-Pad2)") + (add_net "Net-(JP4-Pad2)") + (add_net "Net-(JP5-Pad2)") + (add_net "Net-(R1-Pad2)") + (add_net "Net-(R18-Pad2)") + (add_net "Net-(R23-Pad1)") + (add_net SID_CLK) + (add_net SID_CS) + (add_net TCK) + (add_net TDI) + (add_net TDO) + (add_net TMS) + (add_net ~CLKCPU) + (add_net ~IORQ) + (add_net ~IORQGE) + (add_net ~M1) + (add_net ~RST) + (add_net ~WR) + ) + + (module Capacitor_THT:C_Rect_L7.0mm_W4.5mm_P5.00mm (layer F.Cu) (tedit 5AE50EF0) (tstamp 5EC1B1D6) + (at 176 23.2 90) + (descr "C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*4.5mm^2, Capacitor") + (tags "C Rect series Radial pin pitch 5.00mm length 7mm width 4.5mm Capacitor") + (path /5F289A85) + (fp_text reference C18 (at 5.175 -14.125 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 470p (at 6.8 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 -2.25) (end -1 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1 2.25) (end 6 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 2.25) (end 6 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 -2.25) (end -1 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.12 -2.37) (end 6.12 -2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 2.37) (end 6.12 2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 -2.37) (end -1.12 2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.12 -2.37) (end 6.12 2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -2.5) (end -1.25 2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 2.5) (end 6.25 2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 2.5) (end 6.25 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 -2.5) (end -1.25 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 8.3 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 48 "Net-(C18-Pad1)")) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 68 "Net-(C18-Pad2)")) + (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/C_Rect_L7.0mm_W4.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_THT:C_Rect_L7.0mm_W4.5mm_P5.00mm (layer F.Cu) (tedit 5AE50EF0) (tstamp 5EC57EE6) + (at 167.925 23.2 90) + (descr "C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*4.5mm^2, Capacitor") + (tags "C Rect series Radial pin pitch 5.00mm length 7mm width 4.5mm Capacitor") + (path /5F1C226B) + (fp_text reference C16 (at 5.175 -8.55 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 470p (at 6.8 0.075 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 -2.25) (end -1 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1 2.25) (end 6 2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 2.25) (end 6 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 -2.25) (end -1 -2.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.12 -2.37) (end 6.12 -2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 2.37) (end 6.12 2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 -2.37) (end -1.12 2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.12 -2.37) (end 6.12 2.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -2.5) (end -1.25 2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 2.5) (end 6.25 2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 2.5) (end 6.25 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 -2.5) (end -1.25 -2.5) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 8.3 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 66 "Net-(C16-Pad1)")) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 67 "Net-(C16-Pad2)")) + (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/C_Rect_L7.0mm_W4.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_Tantalum_SMD:CP_EIA-6032-15_Kemet-U_Pad2.25x2.35mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EC09061) + (at 175.35 40.925) + (descr "Tantalum Capacitor SMD Kemet-U (6032-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (path /5ECB8113) + (attr smd) + (fp_text reference C9 (at 0 2.55) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100u/16V (at 0.025 2.475) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 3.92 -1.85) (end -3.92 -1.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.92 1.85) (end 3.92 -1.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.92 1.85) (end 3.92 1.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.92 -1.85) (end -3.92 1.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start -3.935 -1.71) (end 3 -1.71) (layer B.SilkS) (width 0.12)) + (fp_line (start -3.935 1.71) (end -3.935 -1.71) (layer B.SilkS) (width 0.12)) + (fp_line (start 3 1.71) (end -3.935 1.71) (layer B.SilkS) (width 0.12)) + (fp_line (start 3 -1.6) (end 3 1.6) (layer B.Fab) (width 0.1)) + (fp_line (start -3 -1.6) (end 3 -1.6) (layer B.Fab) (width 0.1)) + (fp_line (start -3 0.8) (end -3 -1.6) (layer B.Fab) (width 0.1)) + (fp_line (start -2.2 1.6) (end -3 0.8) (layer B.Fab) (width 0.1)) + (fp_line (start 3 1.6) (end -2.2 1.6) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 2.55 0) (size 2.25 2.35) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.111111) + (net 3 GND)) + (pad 1 smd roundrect (at -2.55 0) (size 2.25 2.35) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.111111) + (net 63 "Net-(C8-Pad2)")) + (model ${KISYS3DMOD}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-6032-15_Kemet-U.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_Tantalum_SMD:CP_EIA-6032-15_Kemet-U_Pad2.25x2.35mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EC0B9D4) + (at 107.175 45.575 180) + (descr "Tantalum Capacitor SMD Kemet-U (6032-15 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (path /5EC425BD) + (attr smd) + (fp_text reference C1 (at 0 -2.55) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 100u/16V (at -0.025 -2.325) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 3.92 1.85) (end -3.92 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.92 -1.85) (end 3.92 1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.92 -1.85) (end 3.92 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.92 1.85) (end -3.92 -1.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.935 1.71) (end 3 1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.935 -1.71) (end -3.935 1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 3 -1.71) (end -3.935 -1.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 3 1.6) (end 3 -1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3 1.6) (end 3 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -3 -0.8) (end -3 1.6) (layer F.Fab) (width 0.1)) + (fp_line (start -2.2 -1.6) (end -3 -0.8) (layer F.Fab) (width 0.1)) + (fp_line (start 3 -1.6) (end -2.2 -1.6) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 2.55 0 180) (size 2.25 2.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.111111) + (net 3 GND)) + (pad 1 smd roundrect (at -2.55 0 180) (size 2.25 2.35) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.111111) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-6032-15_Kemet-U.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module my:QFP80P1200X1200X120-44N (layer B.Cu) (tedit 5EBEE712) (tstamp 5EBDDEBA) + (at 117.3 36.6) + (path /5EBDD954) + (fp_text reference U3 (at 0 7.3) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value EPM3064ATC44-10N (at 0 7.6) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -5.0038 5.0038) (end -5.0038 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 5.0038) (end -5.0038 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -5.0038) (end 5.0038 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -5.0038) (end 5.0038 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 3.7338) (end -3.7338 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 4.2164) (end 5.0038 4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 3.7846) (end 5.9944 4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 3.7846) (end 5.9944 3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 4.2164) (end 5.0038 3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 3.429) (end 5.0038 3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 2.9718) (end 5.9944 3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 2.9718) (end 5.9944 2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 3.429) (end 5.0038 2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 2.6162) (end 5.0038 2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 2.1844) (end 5.9944 2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 2.1844) (end 5.9944 2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 2.6162) (end 5.0038 2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 1.8288) (end 5.0038 1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 1.3716) (end 5.9944 1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 1.3716) (end 5.9944 1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 1.8288) (end 5.0038 1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 1.016) (end 5.0038 1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 0.5842) (end 5.9944 1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 0.5842) (end 5.9944 0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 1.016) (end 5.0038 0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 0.2286) (end 5.0038 0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -0.2286) (end 5.9944 0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -0.2286) (end 5.9944 -0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 0.2286) (end 5.0038 -0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -0.5842) (end 5.0038 -0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -1.016) (end 5.9944 -0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -1.016) (end 5.9944 -1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -0.5842) (end 5.0038 -1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -1.3716) (end 5.0038 -1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -1.8288) (end 5.9944 -1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -1.8288) (end 5.9944 -1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -1.3716) (end 5.0038 -1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -2.1844) (end 5.0038 -2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -2.6162) (end 5.9944 -2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -2.6162) (end 5.9944 -2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -2.1844) (end 5.0038 -2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -2.9718) (end 5.0038 -2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -3.429) (end 5.9944 -2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -3.429) (end 5.9944 -3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -2.9718) (end 5.0038 -3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -3.7846) (end 5.0038 -3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.9944 -4.2164) (end 5.9944 -3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -4.2164) (end 5.9944 -4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start 5.0038 -3.7846) (end 5.0038 -4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start 4.2164 -5.9944) (end 4.2164 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.7846 -5.9944) (end 4.2164 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.7846 -5.0038) (end 3.7846 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 4.2164 -5.0038) (end 3.7846 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.429 -5.9944) (end 3.429 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.9718 -5.9944) (end 3.429 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.9718 -5.0038) (end 2.9718 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.429 -5.0038) (end 2.9718 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.6162 -5.9944) (end 2.6162 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.1844 -5.9944) (end 2.6162 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.1844 -5.0038) (end 2.1844 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.6162 -5.0038) (end 2.1844 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.8288 -5.9944) (end 1.8288 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.3716 -5.9944) (end 1.8288 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.3716 -5.0038) (end 1.3716 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.8288 -5.0038) (end 1.3716 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.016 -5.9944) (end 1.016 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.5842 -5.9944) (end 1.016 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.5842 -5.0038) (end 0.5842 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.016 -5.0038) (end 0.5842 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.2286 -5.9944) (end 0.2286 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.2286 -5.9944) (end 0.2286 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.2286 -5.0038) (end -0.2286 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.2286 -5.0038) (end -0.2286 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.5842 -5.9944) (end -0.5842 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.016 -5.9944) (end -0.5842 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.016 -5.0038) (end -1.016 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.5842 -5.0038) (end -1.016 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.3716 -5.9944) (end -1.3716 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.8288 -5.9944) (end -1.3716 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.8288 -5.0038) (end -1.8288 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.3716 -5.0038) (end -1.8288 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.1844 -5.9944) (end -2.1844 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.6162 -5.9944) (end -2.1844 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.6162 -5.0038) (end -2.6162 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.1844 -5.0038) (end -2.6162 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.9718 -5.9944) (end -2.9718 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.429 -5.9944) (end -2.9718 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.429 -5.0038) (end -3.429 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.9718 -5.0038) (end -3.429 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.7846 -5.9944) (end -3.7846 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -4.2164 -5.9944) (end -3.7846 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -4.2164 -5.0038) (end -4.2164 -5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.7846 -5.0038) (end -4.2164 -5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -4.2164) (end -5.0038 -4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -3.7846) (end -5.9944 -4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -3.7846) (end -5.9944 -3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -4.2164) (end -5.0038 -3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -3.429) (end -5.0038 -3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -2.9718) (end -5.9944 -3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -2.9718) (end -5.9944 -2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -3.429) (end -5.0038 -2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -2.6162) (end -5.0038 -2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -2.1844) (end -5.9944 -2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -2.1844) (end -5.9944 -2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -2.6162) (end -5.0038 -2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -1.8288) (end -5.0038 -1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -1.3716) (end -5.9944 -1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -1.3716) (end -5.9944 -1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -1.8288) (end -5.0038 -1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -1.016) (end -5.0038 -1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -0.5842) (end -5.9944 -1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -0.5842) (end -5.9944 -0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -1.016) (end -5.0038 -0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 -0.2286) (end -5.0038 -0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 0.2286) (end -5.9944 -0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 0.2286) (end -5.9944 0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -0.2286) (end -5.0038 0.2286) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 0.5842) (end -5.0038 0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 1.016) (end -5.9944 0.5842) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 1.016) (end -5.9944 1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 0.5842) (end -5.0038 1.016) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 1.3716) (end -5.0038 1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 1.8288) (end -5.9944 1.3716) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 1.8288) (end -5.9944 1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 1.3716) (end -5.0038 1.8288) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 2.1844) (end -5.0038 2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 2.6162) (end -5.9944 2.1844) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 2.6162) (end -5.9944 2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 2.1844) (end -5.0038 2.6162) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 2.9718) (end -5.0038 2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 3.429) (end -5.9944 2.9718) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 3.429) (end -5.9944 3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 2.9718) (end -5.0038 3.429) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 3.7846) (end -5.0038 3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.9944 4.2164) (end -5.9944 3.7846) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 4.2164) (end -5.9944 4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 3.7846) (end -5.0038 4.2164) (layer B.Fab) (width 0.1524)) + (fp_line (start -4.2164 5.9944) (end -4.2164 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.7846 5.9944) (end -4.2164 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.7846 5.0038) (end -3.7846 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -4.2164 5.0038) (end -3.7846 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.429 5.9944) (end -3.429 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.9718 5.9944) (end -3.429 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.9718 5.0038) (end -2.9718 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -3.429 5.0038) (end -2.9718 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.6162 5.9944) (end -2.6162 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.1844 5.9944) (end -2.6162 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.1844 5.0038) (end -2.1844 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -2.6162 5.0038) (end -2.1844 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.8288 5.9944) (end -1.8288 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.3716 5.9944) (end -1.8288 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.3716 5.0038) (end -1.3716 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.8288 5.0038) (end -1.3716 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.016 5.9944) (end -1.016 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.5842 5.9944) (end -1.016 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.5842 5.0038) (end -0.5842 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -1.016 5.0038) (end -0.5842 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.2286 5.9944) (end -0.2286 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.2286 5.9944) (end -0.2286 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.2286 5.0038) (end 0.2286 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start -0.2286 5.0038) (end 0.2286 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.5842 5.9944) (end 0.5842 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.016 5.9944) (end 0.5842 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.016 5.0038) (end 1.016 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 0.5842 5.0038) (end 1.016 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.3716 5.9944) (end 1.3716 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.8288 5.9944) (end 1.3716 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.8288 5.0038) (end 1.8288 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 1.3716 5.0038) (end 1.8288 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.1844 5.9944) (end 2.1844 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.6162 5.9944) (end 2.1844 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.6162 5.0038) (end 2.6162 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.1844 5.0038) (end 2.6162 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.9718 5.9944) (end 2.9718 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.429 5.9944) (end 2.9718 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.429 5.0038) (end 3.429 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 2.9718 5.0038) (end 3.429 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.7846 5.9944) (end 3.7846 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start 4.2164 5.9944) (end 3.7846 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 4.2164 5.0038) (end 4.2164 5.9944) (layer B.Fab) (width 0.1524)) + (fp_line (start 3.7846 5.0038) (end 4.2164 5.0038) (layer B.Fab) (width 0.1524)) + (fp_line (start -5.0038 -4.572) (end -5.0038 -5.0038) (layer B.SilkS) (width 0.1524)) + (fp_line (start -5.0038 5.0038) (end -5.0038 4.572) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.0038 5.0038) (end 4.572 5.0038) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.0038 -5.0038) (end 5.0038 -4.572) (layer B.SilkS) (width 0.1524)) + (fp_line (start -5.0038 -5.0038) (end -4.572 -5.0038) (layer B.SilkS) (width 0.1524)) + (fp_line (start 4.572 -5.0038) (end 5.0038 -5.0038) (layer B.SilkS) (width 0.1524)) + (fp_line (start 5.0038 4.572) (end 5.0038 5.0038) (layer B.SilkS) (width 0.1524)) + (fp_line (start -4.572 5.0038) (end -5.0038 5.0038) (layer B.SilkS) (width 0.1524)) + (pad 44 smd rect (at -3.9878 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 13 D3)) + (pad 43 smd rect (at -3.2004 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 22 A3)) + (pad 42 smd rect (at -2.3876 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 14 D4)) + (pad 41 smd rect (at -1.6002 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (pad 40 smd rect (at -0.7874 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 15 ~IORQ)) + (pad 39 smd rect (at 0 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 28 ~RST)) + (pad 38 smd rect (at 0.7874 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 24 ~WR)) + (pad 37 smd rect (at 1.6002 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 18 ~CLKCPU)) + (pad 36 smd rect (at 2.3876 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 35 smd rect (at 3.2004 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 23 ~IORQGE)) + (pad 34 smd rect (at 3.9878 5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 29 A7)) + (pad 33 smd rect (at 5.6134 3.9878) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 30 A6)) + (pad 32 smd rect (at 5.6134 3.2004) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 37 TDO)) + (pad 31 smd rect (at 5.6134 2.3876) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 31 A5)) + (pad 30 smd rect (at 5.6134 1.6002) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 29 smd rect (at 5.6134 0.7874) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (pad 28 smd rect (at 5.6134 0) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 32 A4)) + (pad 27 smd rect (at 5.6134 -0.7874) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 25 ~M1)) + (pad 26 smd rect (at 5.6134 -1.6002) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 38 TCK)) + (pad 25 smd rect (at 5.6134 -2.3876) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 42 AY_BC1)) + (pad 24 smd rect (at 5.6134 -3.2004) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 23 smd rect (at 5.6134 -3.9878) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 41 AY_BDIR)) + (pad 22 smd rect (at 3.9878 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 39 AY_CLK)) + (pad 21 smd rect (at 3.2004 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 44 SID_CS)) + (pad 20 smd rect (at 2.3876 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 43 SID_CLK)) + (pad 19 smd rect (at 1.6002 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 57 DAC)) + (pad 18 smd rect (at 0.7874 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 10 D2)) + (pad 17 smd rect (at 0 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (pad 16 smd rect (at -0.7874 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 15 smd rect (at -1.6002 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 9 D1)) + (pad 14 smd rect (at -2.3876 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 8 D0)) + (pad 13 smd rect (at -3.2004 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 16 A14)) + (pad 12 smd rect (at -3.9878 -5.6134) (size 0.508 1.4732) (layers B.Cu B.Paste B.Mask) + (net 6 A15)) + (pad 11 smd rect (at -5.6134 -3.9878) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 10 smd rect (at -5.6134 -3.2004) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 7 D7)) + (pad 9 smd rect (at -5.6134 -2.3876) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (pad 8 smd rect (at -5.6134 -1.6002) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 19 A0)) + (pad 7 smd rect (at -5.6134 -0.7874) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 36 TMS)) + (pad 6 smd rect (at -5.6134 0) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 11 D6)) + (pad 5 smd rect (at -5.6134 0.7874) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 20 A1)) + (pad 4 smd rect (at -5.6134 1.6002) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 3 smd rect (at -5.6134 2.3876) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 12 D5)) + (pad 2 smd rect (at -5.6134 3.2004) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 21 A2)) + (pad 1 smd rect (at -5.6134 3.9878) (size 1.4732 0.508) (layers B.Cu B.Paste B.Mask) + (net 35 TDI)) + (model ${KISYS3DMOD}/Package_QFP.3dshapes/LQFP-44_10x10mm_P0.8mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_2x05_P2.54mm_Vertical_SMD (layer B.Cu) (tedit 59FED5CC) (tstamp 5EBF80B9) + (at 143.1 42.2 270) + (descr "surface-mounted straight pin header, 2x05, 2.54mm pitch, double rows") + (tags "Surface mounted pin header SMD 2x05 2.54mm double row") + (path /5EC13E3B) + (attr smd) + (fp_text reference J4 (at 5.1 0) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value Conn_02x05_Odd_Even (at 5.05 0.05) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 2.54 -6.35) (end -2.54 -6.35) (layer B.Fab) (width 0.1)) + (fp_line (start -1.59 6.35) (end 2.54 6.35) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 -6.35) (end -2.54 5.4) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 5.4) (end -1.59 6.35) (layer B.Fab) (width 0.1)) + (fp_line (start 2.54 6.35) (end 2.54 -6.35) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 5.4) (end -3.6 5.4) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 5.4) (end -3.6 4.76) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 4.76) (end -2.54 4.76) (layer B.Fab) (width 0.1)) + (fp_line (start 2.54 5.4) (end 3.6 5.4) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 5.4) (end 3.6 4.76) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 4.76) (end 2.54 4.76) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 2.86) (end -3.6 2.86) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 2.86) (end -3.6 2.22) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 2.22) (end -2.54 2.22) (layer B.Fab) (width 0.1)) + (fp_line (start 2.54 2.86) (end 3.6 2.86) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 2.86) (end 3.6 2.22) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 2.22) (end 2.54 2.22) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 0.32) (end -3.6 0.32) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 0.32) (end -3.6 -0.32) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 -0.32) (end -2.54 -0.32) (layer B.Fab) (width 0.1)) + (fp_line (start 2.54 0.32) (end 3.6 0.32) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 0.32) (end 3.6 -0.32) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 -0.32) (end 2.54 -0.32) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 -2.22) (end -3.6 -2.22) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 -2.22) (end -3.6 -2.86) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 -2.86) (end -2.54 -2.86) (layer B.Fab) (width 0.1)) + (fp_line (start 2.54 -2.22) (end 3.6 -2.22) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 -2.22) (end 3.6 -2.86) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 -2.86) (end 2.54 -2.86) (layer B.Fab) (width 0.1)) + (fp_line (start -2.54 -4.76) (end -3.6 -4.76) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 -4.76) (end -3.6 -5.4) (layer B.Fab) (width 0.1)) + (fp_line (start -3.6 -5.4) (end -2.54 -5.4) (layer B.Fab) (width 0.1)) + (fp_line (start 2.54 -4.76) (end 3.6 -4.76) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 -4.76) (end 3.6 -5.4) (layer B.Fab) (width 0.1)) + (fp_line (start 3.6 -5.4) (end 2.54 -5.4) (layer B.Fab) (width 0.1)) + (fp_line (start -2.6 6.41) (end 2.6 6.41) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 -6.41) (end 2.6 -6.41) (layer B.SilkS) (width 0.12)) + (fp_line (start -4.04 5.84) (end -2.6 5.84) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 6.41) (end -2.6 5.84) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.6 6.41) (end 2.6 5.84) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 -5.84) (end -2.6 -6.41) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.6 -5.84) (end 2.6 -6.41) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 4.32) (end -2.6 3.3) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.6 4.32) (end 2.6 3.3) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 1.78) (end -2.6 0.76) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.6 1.78) (end 2.6 0.76) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 -0.76) (end -2.6 -1.78) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.6 -0.76) (end 2.6 -1.78) (layer B.SilkS) (width 0.12)) + (fp_line (start -2.6 -3.3) (end -2.6 -4.32) (layer B.SilkS) (width 0.12)) + (fp_line (start 2.6 -3.3) (end 2.6 -4.32) (layer B.SilkS) (width 0.12)) + (fp_line (start -5.9 6.85) (end -5.9 -6.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start -5.9 -6.85) (end 5.9 -6.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start 5.9 -6.85) (end 5.9 6.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start 5.9 6.85) (end -5.9 6.85) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 1 smd rect (at -2.525 5.08 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 38 TCK)) + (pad 2 smd rect (at 2.525 5.08 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 3 smd rect (at -2.525 2.54 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 37 TDO)) + (pad 4 smd rect (at 2.525 2.54 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (pad 5 smd rect (at -2.525 0 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 36 TMS)) + (pad 6 smd rect (at 2.525 0 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask)) + (pad 7 smd rect (at -2.525 -2.54 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask)) + (pad 8 smd rect (at 2.525 -2.54 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask)) + (pad 9 smd rect (at -2.525 -5.08 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 35 TDI)) + (pad 10 smd rect (at 2.525 -5.08 270) (size 3.15 1) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x05_P2.54mm_Vertical_SMD.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC222B1) + (at 141.8 23.6 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5FA48228) + (attr smd) + (fp_text reference R4 (at 0 0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 4.7k (at -1.7 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /AY_L)) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /AY_R)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC002EA) + (at 126.5 29.4 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F029459) + (attr smd) + (fp_text reference R19 (at 0 -3.9) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at -0.05 -1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 "Net-(C11-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC002C9) + (at 122.8 24.4) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EE04765) + (attr smd) + (fp_text reference R26 (at 0 -2.5) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 47k (at 0 1.15) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 46 /L)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC002B8) + (at 158.5 23.6 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F037D76) + (attr smd) + (fp_text reference R24 (at 0 0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 22k (at 1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(C14-Pad1)")) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(R18-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EC002A7) + (at 164.6 39.4 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EF29653) + (attr smd) + (fp_text reference R23 (at 0 -1.2 90) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100k (at 1.4 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 70 "Net-(R23-Pad1)")) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5ECA8880) + (at 117 24.2 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F2EFD06) + (attr smd) + (fp_text reference R17 (at -0.1 -4.4) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at -2.2 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 55 "Net-(J2-PadR)")) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EC00255) + (at 112.8 20.6 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F2EF5AA) + (attr smd) + (fp_text reference R16 (at 0 -5.2) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 2.5 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 56 "Net-(J2-PadT)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5ECA3316) + (at 112.8 24.2 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F0CE256) + (attr smd) + (fp_text reference R15 (at 0 -4.4) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 2.35 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 56 "Net-(J2-PadT)")) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(C10-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EC26A20) + (at 121.5 22.4 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F5A7D3E) + (attr smd) + (fp_text reference R10 (at -0.1 -3.1) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at -2.65 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 57 DAC)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(C10-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EC364CD) + (at 117 20.6 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EE4CBDE) + (attr smd) + (fp_text reference R13 (at -0.1 -5.2) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at -2.15 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(C14-Pad1)")) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 55 "Net-(J2-PadR)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EC0F075) + (at 117 22.4) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F307810) + (attr smd) + (fp_text reference R12 (at 0.1 4.8) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 2.2 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 55 "Net-(J2-PadR)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5ECA3346) + (at 112.8 22.4) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F30780A) + (attr smd) + (fp_text reference R11 (at 0 4.8) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at -2.5 0) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 56 "Net-(J2-PadT)")) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EC0019D) + (at 121.5 20.575 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F5A6FD8) + (attr smd) + (fp_text reference R14 (at -0.05 -3.57) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at -2.65 -0.025) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 57 DAC)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(C14-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC0DE63) + (at 145.9 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EE83323) + (attr smd) + (fp_text reference R9 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at -1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /AY_L)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC0DE03) + (at 139.8 23.6 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EE83329) + (attr smd) + (fp_text reference R8 (at 0 1 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at 1.5 -0.05 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /AY_R)) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC0016A) + (at 154.3 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F48AC9D) + (attr smd) + (fp_text reference R7 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at -1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /AY_R)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 "Net-(JP1-Pad3)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC0DE93) + (at 137.6 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F4DC34A) + (attr smd) + (fp_text reference R6 (at 0 -1 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 10k (at -1.5 -0.05 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(C10-Pad1)")) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /AY_R)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC00148) + (at 152.2 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F48B2EB) + (attr smd) + (fp_text reference R5 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 2k (at -1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /AY_R)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 "Net-(JP1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC00137) + (at 150.1 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F48BE36) + (attr smd) + (fp_text reference R3 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 2k (at -1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /AY_L)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 "Net-(JP1-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC0DE33) + (at 143.8 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F4DBEB0) + (attr smd) + (fp_text reference R2 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 10k (at -1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(C14-Pad1)")) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /AY_L)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EC000D1) + (at 148 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5F48A2B5) + (attr smd) + (fp_text reference R1 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at -1.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 50 "Net-(R1-Pad2)")) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /AY_L)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_TO_SOT_SMD:SOT-23_Handsoldering (layer F.Cu) (tedit 5A0AB76C) (tstamp 5EC000C0) + (at 131.6 30.7) + (descr "SOT-23, Handsoldering") + (tags SOT-23) + (path /5F029448) + (attr smd) + (fp_text reference Q1 (at 1.9 -1.15) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value BC847 (at 0 2.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (pad 3 smd rect (at 1.5 0) (size 1.9 0.8) (layers F.Cu F.Paste F.Mask) + (net 63 "Net-(C8-Pad2)")) + (pad 2 smd rect (at -1.5 0.95) (size 1.9 0.8) (layers F.Cu F.Paste F.Mask) + (net 64 "Net-(C11-Pad2)")) + (pad 1 smd rect (at -1.5 -0.95) (size 1.9 0.8) (layers F.Cu F.Paste F.Mask) + (net 40 "Net-(C10-Pad1)")) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5EC00083) + (at 177.2 27.1) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5F289A7F) + (fp_text reference JP5 (at 0.1 7.17) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at 0 7.41) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at -0.05 7.7 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 68 "Net-(C18-Pad2)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 62 "Net-(JP5-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 54 "Net-(C19-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5EC0006C) + (at 173.4 27.1) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5F1C0BA4) + (fp_text reference JP4 (at 0.1 7.17) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at 0 7.41) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 7.7 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 67 "Net-(C16-Pad2)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 61 "Net-(JP4-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 47 "Net-(C17-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5EC59FCD) + (at 164.6 45.9 90) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5EC9A23A) + (fp_text reference JP3 (at 0 -3.5 180) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at -2 2.7 180) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 +12V)) + (pad 2 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 63 "Net-(C8-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 +9V)) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5EC0003F) + (at 139.2 18.1 90) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5F366AF7) + (fp_text reference JP2 (at 0 -7.35) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at 0 7.41 90) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 58 "Net-(JP1-Pad3)")) + (pad 2 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 49 "Net-(JP2-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 60 "Net-(JP1-Pad1)")) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5EC00028) + (at 148 18.1 90) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5F35B0A3) + (fp_text reference JP1 (at 0 -12.7) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value Jumper_3_Open (at 0 7.41 90) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 60 "Net-(JP1-Pad1)")) + (pad 2 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 59 "Net-(JP1-Pad2)")) + (pad 3 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 58 "Net-(JP1-Pad3)")) + (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_THT:C_Rect_L7.0mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5AE50EF0) (tstamp 5EC57E44) + (at 171.95 23.2 90) + (descr "C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*2.5mm^2, Capacitor") + (tags "C Rect series Radial pin pitch 5.00mm length 7mm width 2.5mm Capacitor") + (path /5F289A8B) + (fp_text reference C19 (at 5.175 -11.325 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 22n (at 6.8 -0.05 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1 -1.25) (end -1 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1 1.25) (end 6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 1.25) (end 6 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 -1.25) (end -1 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1.12 -1.37) (end 6.12 -1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 1.37) (end 6.12 1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 -1.37) (end -1.12 1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.12 -1.37) (end 6.12 1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.25 -1.5) (end -1.25 1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 1.5) (end 6.25 1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 1.5) (end 6.25 -1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 -1.5) (end -1.25 -1.5) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 8.3 -0.05 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 54 "Net-(C19-Pad1)")) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 48 "Net-(C18-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/C_Rect_L7.0mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_THT:C_Rect_L7.0mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5AE50EF0) (tstamp 5EC57EB0) + (at 163.9 23.2 90) + (descr "C, Rect series, Radial, pin pitch=5.00mm, , length*width=7*2.5mm^2, Capacitor") + (tags "C Rect series Radial pin pitch 5.00mm length 7mm width 2.5mm Capacitor") + (path /5ECB2CAD) + (fp_text reference C17 (at 5.2 -5.9 270) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 22n (at 6.8 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 8.3 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 6.25 -1.5) (end -1.25 -1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 1.5) (end 6.25 -1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 1.5) (end 6.25 1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.25 -1.5) (end -1.25 1.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.12 -1.37) (end 6.12 1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 -1.37) (end -1.12 1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 1.37) (end 6.12 1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.12 -1.37) (end 6.12 -1.37) (layer F.SilkS) (width 0.12)) + (fp_line (start 6 -1.25) (end -1 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 6 1.25) (end 6 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1 1.25) (end 6 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -1.25) (end -1 1.25) (layer F.Fab) (width 0.1)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 66 "Net-(C16-Pad1)")) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 47 "Net-(C17-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_THT.3dshapes/C_Rect_L7.0mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5ECA0FC2) + (at 126.5 31.7) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5F02945F) + (attr smd) + (fp_text reference C11 (at 0 2.8) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 470p (at 0 1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(C10-Pad1)")) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 "Net-(C11-Pad2)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EBFFE54) + (at 122.8 31.7 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5F029465) + (attr smd) + (fp_text reference C10 (at 0 -2.8) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1n (at -0.05 -1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(C10-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:CP_Elec_6.3x5.4 (layer F.Cu) (tedit 5BCA39D0) (tstamp 5ECA15E9) + (at 115.3 34.5 180) + (descr "SMD capacitor, aluminum electrolytic, Panasonic C55, 6.3x5.4mm") + (tags "capacitor electrolytic") + (path /5EDE5836) + (attr smd) + (fp_text reference C12 (at 0 11.6 180) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 10u (at 0 -1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0.7) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.8 1.05) (end -3.55 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.8 -1.05) (end -4.8 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -1.05) (end -4.8 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 1.05) (end -3.55 2.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -2.4) (end -3.55 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -2.4) (end -2.4 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 2.4) (end -2.4 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 -3.55) (end 3.55 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 3.55) (end 3.55 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 1.05) (end 3.55 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.8 1.05) (end 3.55 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.8 -1.05) (end 4.8 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 -1.05) (end 4.8 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 -3.55) (end 3.55 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.04375 -2.24125) (end -4.04375 -1.45375) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.4375 -1.8475) (end -3.65 -1.8475) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 2.345563) (end -2.345563 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 -2.345563) (end -2.345563 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 -2.345563) (end -3.41 -1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 2.345563) (end -3.41 1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.345563 3.41) (end 3.41 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.345563 -3.41) (end 3.41 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.41 -3.41) (end 3.41 -1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.41 3.41) (end 3.41 1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.389838 -1.645) (end -2.389838 -1.015) (layer F.Fab) (width 0.1)) + (fp_line (start -2.704838 -1.33) (end -2.074838 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start -3.3 2.3) (end -2.3 3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -3.3 -2.3) (end -2.3 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -3.3 -2.3) (end -3.3 2.3) (layer F.Fab) (width 0.1)) + (fp_line (start -2.3 3.3) (end 3.3 3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -2.3 -3.3) (end 3.3 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start 3.3 -3.3) (end 3.3 3.3) (layer F.Fab) (width 0.1)) + (fp_circle (center 0 0) (end 3.15 0) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 2.8 0 180) (size 3.5 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15625) + (net 45 /R)) + (pad 1 smd roundrect (at -2.8 0 180) (size 3.5 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15625) + (net 64 "Net-(C11-Pad2)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/CP_Elec_6.3x5.4.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EBF2287) + (at 122.8 29.4) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5ED17C32) + (attr smd) + (fp_text reference R25 (at 0 3.9) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 47k (at 0 1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 45 /R)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EBF2276) + (at 126.5 24.4 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5ED14C21) + (attr smd) + (fp_text reference R28 (at 0 2.5) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at 0 -1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(C13-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EBF2265) + (at 160.6 23.6 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5ECEE1DA) + (attr smd) + (fp_text reference R22 (at 0 -0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1k (at 1.45 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(R18-Pad2)")) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBD) (tstamp 5EBF2254) + (at 156.4 23.6 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5ECEE81A) + (attr smd) + (fp_text reference R18 (at 0 0.9 90) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 22k (at -1.45 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 40 "Net-(C10-Pad1)")) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(R18-Pad2)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_TO_SOT_SMD:SOT-23_Handsoldering (layer F.Cu) (tedit 5A0AB76C) (tstamp 5EBF21A3) + (at 131.5 25.7) + (descr "SOT-23, Handsoldering") + (tags SOT-23) + (path /5EDA1A0C) + (attr smd) + (fp_text reference Q2 (at 1.9 -1.15) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value BC847 (at 0 2.5) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer F.Fab) (width 0.1)) + (fp_line (start 0.76 -1.58) (end -2.4 -1.58) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.7 1.75) (end -2.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.7 1.75) (end -2.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.7 -1.75) (end 2.7 1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.7 -1.75) (end 2.7 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer F.SilkS) (width 0.12)) + (fp_text user %R (at 0 0 -270) (layer F.Fab) + (effects (font (size 0.5 0.5) (thickness 0.075))) + ) + (pad 3 smd rect (at 1.5 0) (size 1.9 0.8) (layers F.Cu F.Paste F.Mask) + (net 63 "Net-(C8-Pad2)")) + (pad 2 smd rect (at -1.5 0.95) (size 1.9 0.8) (layers F.Cu F.Paste F.Mask) + (net 65 "Net-(C13-Pad1)")) + (pad 1 smd rect (at -1.5 -0.95) (size 1.9 0.8) (layers F.Cu F.Paste F.Mask) + (net 53 "Net-(C14-Pad1)")) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:CP_Elec_6.3x5.4 (layer F.Cu) (tedit 5BCA39D0) (tstamp 5ECA1574) + (at 115.3 27 180) + (descr "SMD capacitor, aluminum electrolytic, Panasonic C55, 6.3x5.4mm") + (tags "capacitor electrolytic") + (path /5ED16DE1) + (attr smd) + (fp_text reference C13 (at 0 5.1) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 10u (at 0 -1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0.95) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.8 1.05) (end -3.55 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.8 -1.05) (end -4.8 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -1.05) (end -4.8 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 1.05) (end -3.55 2.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -2.4) (end -3.55 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 -2.4) (end -2.4 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.55 2.4) (end -2.4 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 -3.55) (end 3.55 -3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 3.55) (end 3.55 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 1.05) (end 3.55 3.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.8 1.05) (end 3.55 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.8 -1.05) (end 4.8 1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 -1.05) (end 4.8 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.55 -3.55) (end 3.55 -1.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.04375 -2.24125) (end -4.04375 -1.45375) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.4375 -1.8475) (end -3.65 -1.8475) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 2.345563) (end -2.345563 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 -2.345563) (end -2.345563 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 -2.345563) (end -3.41 -1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.41 2.345563) (end -3.41 1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.345563 3.41) (end 3.41 3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.345563 -3.41) (end 3.41 -3.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.41 -3.41) (end 3.41 -1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.41 3.41) (end 3.41 1.06) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.389838 -1.645) (end -2.389838 -1.015) (layer F.Fab) (width 0.1)) + (fp_line (start -2.704838 -1.33) (end -2.074838 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start -3.3 2.3) (end -2.3 3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -3.3 -2.3) (end -2.3 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -3.3 -2.3) (end -3.3 2.3) (layer F.Fab) (width 0.1)) + (fp_line (start -2.3 3.3) (end 3.3 3.3) (layer F.Fab) (width 0.1)) + (fp_line (start -2.3 -3.3) (end 3.3 -3.3) (layer F.Fab) (width 0.1)) + (fp_line (start 3.3 -3.3) (end 3.3 3.3) (layer F.Fab) (width 0.1)) + (fp_circle (center 0 0) (end 3.15 0) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 2.8 0 180) (size 3.5 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15625) + (net 46 /L)) + (pad 1 smd roundrect (at -2.8 0 180) (size 3.5 1.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.15625) + (net 65 "Net-(C13-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/CP_Elec_6.3x5.4.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EBF1F35) + (at 126.5 26.7) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5ED14938) + (attr smd) + (fp_text reference C15 (at 0 -3.7) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 470p (at 0 1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(C14-Pad1)")) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(C13-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EBF1F24) + (at 122.8 26.7 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5ECEF0B6) + (attr smd) + (fp_text reference C14 (at 0 3.7) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 1n (at 0 -1.2) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 "Net-(C14-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EC0E52B) + (at 169.8 39.4 270) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5ECC9334) + (attr smd) + (fp_text reference C8 (at 0 1.2 90) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100n (at 1.55 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 90) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 63 "Net-(C8-Pad2)")) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBE) (tstamp 5EBE832A) + (at 162.2 39.4 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5ECC32FD) + (attr smd) + (fp_text reference C2 (at 0 -1.1 90) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 100n (at -1.55 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5ECA617B) + (at 153.5 39.4 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EC1F7CA) + (attr smd) + (fp_text reference R27 (at 0 4.2 90) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 1.45 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 38 TCK)) + (pad 2 smd roundrect (at 0.875 0 90) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EBF5602) + (at 151.5 39.4 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EC1F044) + (attr smd) + (fp_text reference R21 (at 0 -5.15 90) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 1.55 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 36 TMS)) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer B.Cu) (tedit 5B301BBD) (tstamp 5EBE4DB8) + (at 155.5 39.4 270) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (path /5EC1B87E) + (attr smd) + (fp_text reference R20 (at 0 -3.25 90) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 10k (at 1.55 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer B.Fab) (width 0.1)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer B.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer B.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + ) + (pad 1 smd roundrect (at -0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (pad 2 smd roundrect (at 0.875 0 270) (size 1.05 0.95) (layers B.Cu B.Paste B.Mask) (roundrect_rratio 0.25) + (net 35 TDI)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EBF5049) + (at 122.825 39.5) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5EC49387) + (attr smd) + (fp_text reference C7 (at -2.525 0) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 100n (at 3.05 -0.02) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5ECA92EC) + (at 117.3 39.5 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5EC456C3) + (attr smd) + (fp_text reference C6 (at 2.6 0) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 100n (at 2.95 0.03) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5ECA92BC) + (at 117.275 41.8 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5EC44EE6) + (attr smd) + (fp_text reference C5 (at 2.575 0) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 100n (at 2.95 0.03) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0 180) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EBF4F89) + (at 122.8 41.8) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5EC3FB0E) + (attr smd) + (fp_text reference C4 (at -2.5 -0.1) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 100n (at 3.05 -0.02) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 +3V3)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder (layer F.Cu) (tedit 5B301BBE) (tstamp 5EBE4B74) + (at 129.8 39) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (path /5EC47041) + (attr smd) + (fp_text reference C3 (at 0 -1.43 -180) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 100n (at 3 0 -180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 0 0 -180) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.171267 0.51) (end 0.171267 0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.171267 -0.51) (end 0.171267 -0.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer F.Fab) (width 0.1)) + (pad 2 smd roundrect (at 0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 GND)) + (pad 1 smd roundrect (at -0.875 0) (size 1.05 0.95) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5EBE158F) + (at 100.4 46.8) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (path /5EC09875) + (attr virtual) + (fp_text reference H2 (at 0 -4.2) (layer F.SilkS) hide + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value MountingHole (at 0 4.2) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05)) + (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15)) + (fp_text user %R (at 0.3 0) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask)) + ) + + (module MountingHole:MountingHole_3.2mm_M3 (layer F.Cu) (tedit 56D1B4CB) (tstamp 5EBE157F) + (at 176.2 46.8) + (descr "Mounting Hole 3.2mm, no annular, M3") + (tags "mounting hole 3.2mm no annular m3") + (path /5EC09F66) + (attr virtual) + (fp_text reference H1 (at 0 -4.2) (layer F.SilkS) hide + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value MountingHole (at 0 4.2) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center 0 0) (end 3.45 0) (layer F.CrtYd) (width 0.05)) + (fp_circle (center 0 0) (end 3.2 0) (layer Cmts.User) (width 0.15)) + (fp_text user %R (at 0.3 0) (layer F.Fab) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 np_thru_hole circle (at 0 0) (size 3.2 3.2) (drill 3.2) (layers *.Cu *.Mask)) + ) + + (module Package_TO_SOT_SMD:SOT-223-3_TabPin2 (layer B.Cu) (tedit 5A02FF57) (tstamp 5EC80812) + (at 130.7 42 90) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (path /5EC0393B) + (attr smd) + (fp_text reference U1 (at 3.2 3.5 180) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value AMS1117-3.3 (at -2.9 0 180) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.85 3.35) (end 1.85 -3.35) (layer B.Fab) (width 0.1)) + (fp_line (start -1.85 -3.35) (end 1.85 -3.35) (layer B.Fab) (width 0.1)) + (fp_line (start -4.1 3.41) (end 1.91 3.41) (layer B.SilkS) (width 0.12)) + (fp_line (start -0.85 3.35) (end 1.85 3.35) (layer B.Fab) (width 0.1)) + (fp_line (start -1.85 -3.41) (end 1.91 -3.41) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.85 2.35) (end -1.85 -3.35) (layer B.Fab) (width 0.1)) + (fp_line (start -1.85 2.35) (end -0.85 3.35) (layer B.Fab) (width 0.1)) + (fp_line (start -4.4 3.6) (end -4.4 -3.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -4.4 -3.6) (end 4.4 -3.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.4 -3.6) (end 4.4 3.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 4.4 3.6) (end -4.4 3.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer B.SilkS) (width 0.12)) + (fp_text user %R (at 0 0 180) (layer B.Fab) + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + ) + (pad 1 smd rect (at -3.15 2.3 90) (size 2 1.5) (layers B.Cu B.Paste B.Mask) + (net 3 GND)) + (pad 3 smd rect (at -3.15 -2.3 90) (size 2 1.5) (layers B.Cu B.Paste B.Mask) + (net 1 +5V)) + (pad 2 smd rect (at -3.15 0 90) (size 2 1.5) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (pad 2 smd rect (at 3.15 0 90) (size 2 3.8) (layers B.Cu B.Paste B.Mask) + (net 5 +3V3)) + (model ${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_DIP:DIP-40_W15.24mm_Socket (layer B.Cu) (tedit 5A02E8C5) (tstamp 5EBF3D22) + (at 129 20.7 270) + (descr "40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket") + (path /5EBD8064) + (fp_text reference U2 (at -2.7 -32.6) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value YM2149 (at 8.9 -24.1) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user YM2149 (at -2.7 -28.15) (layer B.SilkS) + (effects (font (size 0.8 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text user %R (at 6.67 -24.13) (layer B.Fab) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 16.8 1.6) (end -1.55 1.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start 16.8 -49.85) (end 16.8 1.6) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.55 -49.85) (end 16.8 -49.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.55 1.6) (end -1.55 -49.85) (layer B.CrtYd) (width 0.05)) + (fp_line (start 16.57 1.39) (end -1.33 1.39) (layer B.SilkS) (width 0.12)) + (fp_line (start 16.57 -49.65) (end 16.57 1.39) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.33 -49.65) (end 16.57 -49.65) (layer B.SilkS) (width 0.12)) + (fp_line (start -1.33 1.39) (end -1.33 -49.65) (layer B.SilkS) (width 0.12)) + (fp_line (start 14.08 1.33) (end 8.62 1.33) (layer B.SilkS) (width 0.12)) + (fp_line (start 14.08 -49.59) (end 14.08 1.33) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.16 -49.59) (end 14.08 -49.59) (layer B.SilkS) (width 0.12)) + (fp_line (start 1.16 1.33) (end 1.16 -49.59) (layer B.SilkS) (width 0.12)) + (fp_line (start 6.62 1.33) (end 1.16 1.33) (layer B.SilkS) (width 0.12)) + (fp_line (start 16.51 1.33) (end -1.27 1.33) (layer B.Fab) (width 0.1)) + (fp_line (start 16.51 -49.59) (end 16.51 1.33) (layer B.Fab) (width 0.1)) + (fp_line (start -1.27 -49.59) (end 16.51 -49.59) (layer B.Fab) (width 0.1)) + (fp_line (start -1.27 1.33) (end -1.27 -49.59) (layer B.Fab) (width 0.1)) + (fp_line (start 0.255 0.27) (end 1.255 1.27) (layer B.Fab) (width 0.1)) + (fp_line (start 0.255 -49.53) (end 0.255 0.27) (layer B.Fab) (width 0.1)) + (fp_line (start 14.985 -49.53) (end 0.255 -49.53) (layer B.Fab) (width 0.1)) + (fp_line (start 14.985 1.27) (end 14.985 -49.53) (layer B.Fab) (width 0.1)) + (fp_line (start 1.255 1.27) (end 14.985 1.27) (layer B.Fab) (width 0.1)) + (fp_arc (start 7.62 1.33) (end 6.62 1.33) (angle 180) (layer B.SilkS) (width 0.12)) + (pad 40 thru_hole oval (at 15.24 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 +5V)) + (pad 20 thru_hole oval (at 0 -48.26 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 39 thru_hole oval (at 15.24 -2.54 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 19 thru_hole oval (at 0 -45.72 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 38 thru_hole oval (at 15.24 -5.08 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 49 "Net-(JP2-Pad2)")) + (pad 18 thru_hole oval (at 0 -43.18 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 37 thru_hole oval (at 15.24 -7.62 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 D0)) + (pad 17 thru_hole oval (at 0 -40.64 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 36 thru_hole oval (at 15.24 -10.16 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 9 D1)) + (pad 16 thru_hole oval (at 0 -38.1 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 35 thru_hole oval (at 15.24 -12.7 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 10 D2)) + (pad 15 thru_hole oval (at 0 -35.56 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 34 thru_hole oval (at 15.24 -15.24 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 13 D3)) + (pad 14 thru_hole oval (at 0 -33.02 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 33 thru_hole oval (at 15.24 -17.78 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 14 D4)) + (pad 13 thru_hole oval (at 0 -30.48 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 32 thru_hole oval (at 15.24 -20.32 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 12 D5)) + (pad 12 thru_hole oval (at 0 -27.94 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 31 thru_hole oval (at 15.24 -22.86 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 11 D6)) + (pad 11 thru_hole oval (at 0 -25.4 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 30 thru_hole oval (at 15.24 -25.4 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 7 D7)) + (pad 10 thru_hole oval (at 0 -22.86 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 29 thru_hole oval (at 15.24 -27.94 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 42 AY_BC1)) + (pad 9 thru_hole oval (at 0 -20.32 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 28 thru_hole oval (at 15.24 -30.48 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 +5V)) + (pad 8 thru_hole oval (at 0 -17.78 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 27 thru_hole oval (at 15.24 -33.02 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 41 AY_BDIR)) + (pad 7 thru_hole oval (at 0 -15.24 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 26 thru_hole oval (at 15.24 -35.56 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 0 -12.7 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 25 thru_hole oval (at 15.24 -38.1 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 +5V)) + (pad 5 thru_hole oval (at 0 -10.16 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 24 thru_hole oval (at 15.24 -40.64 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 3 GND)) + (pad 4 thru_hole oval (at 0 -7.62 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 50 "Net-(R1-Pad2)")) + (pad 23 thru_hole oval (at 15.24 -43.18 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 28 ~RST)) + (pad 3 thru_hole oval (at 0 -5.08 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 59 "Net-(JP1-Pad2)")) + (pad 22 thru_hole oval (at 15.24 -45.72 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 39 AY_CLK)) + (pad 2 thru_hole oval (at 0 -2.54 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 21 thru_hole oval (at 15.24 -48.26 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 1 thru_hole rect (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 3 GND)) + (model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-40_W15.24mm_Socket.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-40_W15.24mm.wrl + (offset (xyz 0 0 4)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Package_DIP:DIP-28_W15.24mm_Socket (layer F.Cu) (tedit 5A02E8C5) (tstamp 5EBDDF4C) + (at 169.7 27 270) + (descr "28-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket") + (path /5EBE5709) + (fp_text reference U4 (at 17.5 18.2 180) (layer F.SilkS) + (effects (font (size 0.8 1) (thickness 0.15))) + ) + (fp_text value 8580 (at 8.6 16.55 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user SID (at 17.5 15.3) (layer F.SilkS) + (effects (font (size 0.7 1) (thickness 0.15))) + ) + (fp_text user %R (at 6.25 16.55 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 16.8 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.8 34.65) (end 16.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.55 34.65) (end 16.8 34.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.55 -1.6) (end -1.55 34.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.57 -1.39) (end -1.33 -1.39) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.57 34.41) (end 16.57 -1.39) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 34.41) (end 16.57 34.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.39) (end -1.33 34.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 14.08 -1.33) (end 8.62 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 14.08 34.35) (end 14.08 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.16 34.35) (end 14.08 34.35) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.16 -1.33) (end 1.16 34.35) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.62 -1.33) (end 1.16 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.51 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start 16.51 34.35) (end 16.51 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 34.35) (end 16.51 34.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.33) (end -1.27 34.35) (layer F.Fab) (width 0.1)) + (fp_line (start 0.255 -0.27) (end 1.255 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.255 34.29) (end 0.255 -0.27) (layer F.Fab) (width 0.1)) + (fp_line (start 14.985 34.29) (end 0.255 34.29) (layer F.Fab) (width 0.1)) + (fp_line (start 14.985 -1.27) (end 14.985 34.29) (layer F.Fab) (width 0.1)) + (fp_line (start 1.255 -1.27) (end 14.985 -1.27) (layer F.Fab) (width 0.1)) + (fp_arc (start 7.62 -1.33) (end 6.62 -1.33) (angle -180) (layer F.SilkS) (width 0.12)) + (pad 28 thru_hole oval (at 15.24 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 63 "Net-(C8-Pad2)")) + (pad 14 thru_hole oval (at 0 33.02 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 3 GND)) + (pad 27 thru_hole oval (at 15.24 2.54 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 69 "Net-(R18-Pad2)")) + (pad 13 thru_hole oval (at 0 30.48 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 17 A12)) + (pad 26 thru_hole oval (at 15.24 5.08 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 70 "Net-(R23-Pad1)")) + (pad 12 thru_hole oval (at 0 27.94 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 34 A11)) + (pad 25 thru_hole oval (at 15.24 7.62 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 +5V)) + (pad 11 thru_hole oval (at 0 25.4 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 27 A10)) + (pad 24 thru_hole oval (at 15.24 10.16 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 10 thru_hole oval (at 0 22.86 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 33 A9)) + (pad 23 thru_hole oval (at 15.24 12.7 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at 0 20.32 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 26 A8)) + (pad 22 thru_hole oval (at 15.24 15.24 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 7 D7)) + (pad 8 thru_hole oval (at 0 17.78 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 44 SID_CS)) + (pad 21 thru_hole oval (at 15.24 17.78 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 11 D6)) + (pad 7 thru_hole oval (at 0 15.24 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 24 ~WR)) + (pad 20 thru_hole oval (at 15.24 20.32 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 12 D5)) + (pad 6 thru_hole oval (at 0 12.7 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 43 SID_CLK)) + (pad 19 thru_hole oval (at 15.24 22.86 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 14 D4)) + (pad 5 thru_hole oval (at 0 10.16 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 28 ~RST)) + (pad 18 thru_hole oval (at 15.24 25.4 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 13 D3)) + (pad 4 thru_hole oval (at 0 7.62 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 48 "Net-(C18-Pad1)")) + (pad 17 thru_hole oval (at 15.24 27.94 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 10 D2)) + (pad 3 thru_hole oval (at 0 5.08 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 62 "Net-(JP5-Pad2)")) + (pad 16 thru_hole oval (at 15.24 30.48 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 9 D1)) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 66 "Net-(C16-Pad1)")) + (pad 15 thru_hole oval (at 15.24 33.02 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 D0)) + (pad 1 thru_hole rect (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 61 "Net-(JP4-Pad2)")) + (model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-28_W15.24mm_Socket.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + (model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-28_W15.24mm.wrl + (offset (xyz 0 0 4)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module my:SWITCHCRAFT_35RAPC4BHN2 (layer F.Cu) (tedit 5DF35F67) (tstamp 5EBDDDCD) + (at 102.5 37 270) + (path /5EBF7652) + (fp_text reference J2 (at 0 -1.257) (layer F.SilkS) + (effects (font (size 0.8 1.00386) (thickness 0.15))) + ) + (fp_text value PJ307 (at 1.35 -1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at -1.1 -1.22 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -6.858 0.89) (end -6.758 0.89) (layer Eco2.User) (width 0.3048)) + (fp_line (start 4.5 4.7) (end 4.5 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -4.5 5.5) (end -4.5 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start 3 7.5) (end 3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -3 7.5) (end -3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start 6 4.7) (end 4.5 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start 6 -7.5) (end 6 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start -6 4.7) (end -6 -7.5) (layer F.Fab) (width 0.127)) + (fp_line (start -4.5 4.7) (end -6 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start -6 -7.5) (end 6 -7.5) (layer F.Fab) (width 0.127)) + (fp_line (start 3 5.5) (end 4.5 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -3 5.5) (end 3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -4.5 5.5) (end -3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -3 7.5) (end 3 7.5) (layer F.Fab) (width 0.127)) + (fp_line (start -6.25 5.75) (end -6.25 -7.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.25 5.75) (end -6.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.25 7.75) (end -3.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.25 7.75) (end -3.25 7.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.25 5.75) (end 3.25 7.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 5.75) (end 3.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 -7.75) (end 6.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.25 -7.75) (end 6.25 -7.75) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -6.858 0.89) (end -6.758 0.89) (layer F.SilkS) (width 0.3048)) + (fp_line (start 4.5 4.7) (end 4.5 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.5 5.5) (end -4.5 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start 3 7.5) (end 3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -3 7.5) (end -3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 6 4.7) (end 4.5 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start 6 -7.5) (end 6 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 4.7) (end -6 -7.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.5 4.7) (end -6 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 -7.5) (end 6 -7.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 3 5.5) (end 4.5 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -3 5.5) (end 3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.5 5.5) (end -3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -3 7.5) (end 3 7.5) (layer F.SilkS) (width 0.127)) + (pad "" np_thru_hole circle (at 5 3.5 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5 1 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5 3.5 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5 1 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 0 -4 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad S thru_hole oval (at 0 1 270) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 3 GND)) + (pad RN thru_hole oval (at 2.5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 55 "Net-(J2-PadR)")) + (pad TN thru_hole oval (at -2.5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 56 "Net-(J2-PadT)")) + (pad T thru_hole oval (at -5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 56 "Net-(J2-PadT)")) + (pad R thru_hole oval (at 5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 55 "Net-(J2-PadR)")) + (model ${MYLIBPATH}/pj-307.step + (offset (xyz -6 6.5 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (module my:SWITCHCRAFT_35RAPC4BHN2 (layer F.Cu) (tedit 5DF35F67) (tstamp 5EBDDD9A) + (at 102.5 24.5 270) + (path /5EBF5BC4) + (fp_text reference J3 (at 0 -1.257) (layer F.SilkS) + (effects (font (size 0.8 1.00386) (thickness 0.15))) + ) + (fp_text value PJ307 (at 1.35 -1.1) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at -1 -1.22 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -6.858 0.89) (end -6.758 0.89) (layer Eco2.User) (width 0.3048)) + (fp_line (start 4.5 4.7) (end 4.5 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -4.5 5.5) (end -4.5 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start 3 7.5) (end 3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -3 7.5) (end -3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start 6 4.7) (end 4.5 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start 6 -7.5) (end 6 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start -6 4.7) (end -6 -7.5) (layer F.Fab) (width 0.127)) + (fp_line (start -4.5 4.7) (end -6 4.7) (layer F.Fab) (width 0.127)) + (fp_line (start -6 -7.5) (end 6 -7.5) (layer F.Fab) (width 0.127)) + (fp_line (start 3 5.5) (end 4.5 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -3 5.5) (end 3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -4.5 5.5) (end -3 5.5) (layer F.Fab) (width 0.127)) + (fp_line (start -3 7.5) (end 3 7.5) (layer F.Fab) (width 0.127)) + (fp_line (start -6.25 5.75) (end -6.25 -7.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.25 5.75) (end -6.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.25 7.75) (end -3.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.25 7.75) (end -3.25 7.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.25 5.75) (end 3.25 7.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 5.75) (end 3.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.25 -7.75) (end 6.25 5.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.25 -7.75) (end 6.25 -7.75) (layer F.CrtYd) (width 0.05)) + (fp_circle (center -6.858 0.89) (end -6.758 0.89) (layer F.SilkS) (width 0.3048)) + (fp_line (start 4.5 4.7) (end 4.5 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.5 5.5) (end -4.5 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start 3 7.5) (end 3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -3 7.5) (end -3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 6 4.7) (end 4.5 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start 6 -7.5) (end 6 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 4.7) (end -6 -7.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.5 4.7) (end -6 4.7) (layer F.SilkS) (width 0.127)) + (fp_line (start -6 -7.5) (end 6 -7.5) (layer F.SilkS) (width 0.127)) + (fp_line (start 3 5.5) (end 4.5 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -3 5.5) (end 3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -4.5 5.5) (end -3 5.5) (layer F.SilkS) (width 0.127)) + (fp_line (start -3 7.5) (end 3 7.5) (layer F.SilkS) (width 0.127)) + (pad "" np_thru_hole circle (at 5 3.5 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 5 1 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5 3.5 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at -5 1 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad "" np_thru_hole circle (at 0 -4 270) (size 1.2 1.2) (drill 1.2) (layers *.Cu *.Mask)) + (pad S thru_hole oval (at 0 1 270) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 3 GND)) + (pad RN thru_hole oval (at 2.5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 45 /R)) + (pad TN thru_hole oval (at -2.5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 46 /L)) + (pad T thru_hole oval (at -5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 46 /L)) + (pad R thru_hole oval (at 5 -4) (size 3.5 1.7) (drill 1.5) (layers *.Cu *.Mask) + (net 45 /R)) + (model ${MYLIBPATH}/pj-307.step + (offset (xyz -6 6.5 0)) + (scale (xyz 1 1 1)) + (rotate (xyz -90 0 0)) + ) + ) + + (module my:ZX_CONN (layer F.Cu) (tedit 5639F0F9) (tstamp 5EBDDD67) + (at 129.5 51.5) + (descr "ZX CON") + (tags "CONN ZX") + (path /5EBD99BA) + (fp_text reference J1 (at -21.59 -6.35) (layer F.SilkS) hide + (effects (font (size 0.8 1.524) (thickness 0.3048))) + ) + (fp_text value zx_edge (at 2.54 -6.35) (layer F.SilkS) hide + (effects (font (size 0.8 1.524) (thickness 0.3048))) + ) + (pad B1 connect oval (at -25.4 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 6 A15)) + (pad B2 connect oval (at -22.86 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B3 connect oval (at -20.32 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 7 D7)) + (pad B4 connect oval (at -17.78 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B6 connect oval (at -12.7 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 8 D0)) + (pad B7 connect oval (at -10.16 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 9 D1)) + (pad B8 connect oval (at -7.62 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 10 D2)) + (pad B9 connect oval (at -5.08 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 11 D6)) + (pad B10 connect oval (at -2.54 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 12 D5)) + (pad B11 connect oval (at 0 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 13 D3)) + (pad B12 connect oval (at 2.54 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 14 D4)) + (pad B13 connect oval (at 5.08 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B14 connect oval (at 7.62 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B15 connect oval (at 10.16 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B16 connect oval (at 12.7 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B17 connect oval (at 15.24 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 15 ~IORQ)) + (pad B18 connect oval (at 17.78 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad A1 connect oval (at -25.4 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 16 A14)) + (pad A2 connect oval (at -22.86 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 17 A12)) + (pad A3 connect oval (at -20.32 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 1 +5V)) + (pad A4 connect oval (at -17.78 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 2 +9V)) + (pad A6 connect oval (at -12.7 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 3 GND)) + (pad A7 connect oval (at -10.16 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 3 GND)) + (pad A8 connect oval (at -7.62 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 18 ~CLKCPU)) + (pad A9 connect oval (at -5.08 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 19 A0)) + (pad A10 connect oval (at -2.54 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 20 A1)) + (pad A11 connect oval (at 0 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 21 A2)) + (pad A12 connect oval (at 2.54 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 22 A3)) + (pad A13 connect oval (at 5.08 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 23 ~IORQGE)) + (pad A14 connect oval (at 7.62 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 3 GND)) + (pad A15 connect oval (at 10.16 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad A16 connect oval (at 12.7 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad A17 connect oval (at 15.24 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad A18 connect oval (at 17.78 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad B19 connect oval (at 20.32 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 24 ~WR)) + (pad B20 connect oval (at 22.86 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B21 connect oval (at 25.4 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B22 connect oval (at 27.94 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 4 +12V)) + (pad B23 connect oval (at 30.48 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B24 connect oval (at 33.02 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 25 ~M1)) + (pad B25 connect oval (at 35.56 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B26 connect oval (at 38.1 0) (size 1.778 5.08) (layers F.Cu F.Mask)) + (pad B27 connect oval (at 40.64 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 26 A8)) + (pad B28 connect oval (at 43.18 0) (size 1.778 5.08) (layers F.Cu F.Mask) + (net 27 A10)) + (pad A19 connect oval (at 20.32 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad A20 connect oval (at 22.86 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 28 ~RST)) + (pad A21 connect oval (at 25.4 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 29 A7)) + (pad A22 connect oval (at 27.94 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 30 A6)) + (pad A23 connect oval (at 30.48 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 31 A5)) + (pad A24 connect oval (at 33.02 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 32 A4)) + (pad A25 connect oval (at 35.56 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad A26 connect oval (at 38.1 0) (size 1.778 5.08) (layers B.Cu B.Mask)) + (pad A27 connect oval (at 40.64 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 33 A9)) + (pad A28 connect oval (at 43.18 0) (size 1.778 5.08) (layers B.Cu B.Mask) + (net 34 A11)) + ) + + (gr_line (start 102.925 48.025) (end 101.525 49.425) (layer F.SilkS) (width 0.12)) + (gr_text "ZX-TSid Rev.A\nEugene Lozovoy 2020" (at 126.9 45.4) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text Input (at 101.5 34.05) (layer B.SilkS) + (effects (font (size 0.7 0.7) (thickness 0.15)) (justify mirror)) + ) + (gr_text Output (at 101.3 27.45) (layer B.SilkS) + (effects (font (size 0.7 0.7) (thickness 0.15)) (justify mirror)) + ) + (gr_line (start 102.925 43.5) (end 103.175 43.75) (layer F.SilkS) (width 0.12)) + (gr_line (start 102.925 43.5) (end 102.675 43.75) (layer F.SilkS) (width 0.12)) + (gr_line (start 102.925 48.025) (end 102.925 43.5) (layer F.SilkS) (width 0.12)) + (gr_text "Output\nInput" (at 97.825 50.7) (layer F.SilkS) + (effects (font (size 0.7 0.7) (thickness 0.15)) (justify left)) + ) + (gr_line (start 178.55 41.5) (end 179.1 41.5) (layer F.SilkS) (width 0.12) (tstamp 5EBF1473)) + (gr_line (start 179.1 41.5) (end 179.1 40.95) (layer F.SilkS) (width 0.12) (tstamp 5EBF1472)) + (gr_line (start 179.1 40.95) (end 178.55 40.95) (layer F.SilkS) (width 0.12) (tstamp 5EBF1471)) + (gr_line (start 178.55 40.95) (end 178.55 41.5) (layer F.SilkS) (width 0.12) (tstamp 5EBF1470)) + (gr_line (start 178.55 40.25) (end 178.55 40.8) (layer F.SilkS) (width 0.12) (tstamp 5EBF146F)) + (gr_line (start 179.1 40.25) (end 178.55 40.25) (layer F.SilkS) (width 0.12) (tstamp 5EBF146E)) + (gr_poly (pts (xy 178.55 40.8) (xy 178.55 40.25) (xy 179.1 40.25) (xy 179.1 40.8)) (layer F.SilkS) (width 0.1) (tstamp 5EBF146D)) + (gr_poly (pts (xy 177.25 43.85) (xy 177.25 43.3) (xy 177.8 43.3) (xy 177.8 43.85)) (layer F.SilkS) (width 0.1) (tstamp 5EBF146C)) + (gr_line (start 178.55 40.1) (end 179.1 40.1) (layer F.SilkS) (width 0.12) (tstamp 5EBF146B)) + (gr_line (start 179.1 43.15) (end 179.1 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF146A)) + (gr_line (start 178.55 43.15) (end 179.1 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1469)) + (gr_line (start 178.55 41.9) (end 178.55 42.45) (layer F.SilkS) (width 0.12) (tstamp 5EBF1468)) + (gr_line (start 179.1 41.9) (end 178.55 41.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF1467)) + (gr_line (start 179.1 42.45) (end 179.1 41.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF1466)) + (gr_line (start 178.55 42.45) (end 179.1 42.45) (layer F.SilkS) (width 0.12) (tstamp 5EBF1465)) + (gr_poly (pts (xy 178.55 43.85) (xy 178.55 43.3) (xy 179.1 43.3) (xy 179.1 43.85)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1464)) + (gr_poly (pts (xy 178.55 43.15) (xy 178.55 42.6) (xy 179.1 42.6) (xy 179.1 43.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1463)) + (gr_line (start 179.1 42.6) (end 178.55 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF1462)) + (gr_line (start 178.55 42.6) (end 178.55 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1461)) + (gr_line (start 178.55 43.3) (end 178.55 43.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF1460)) + (gr_line (start 179.1 43.3) (end 178.55 43.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF145F)) + (gr_line (start 179.1 43.85) (end 179.1 43.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF145E)) + (gr_line (start 178.55 43.85) (end 179.1 43.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF145D)) + (gr_line (start 179.1 40.8) (end 179.1 40.25) (layer F.SilkS) (width 0.12) (tstamp 5EBF145C)) + (gr_line (start 178.55 40.8) (end 179.1 40.8) (layer F.SilkS) (width 0.12) (tstamp 5EBF145B)) + (gr_line (start 179.1 40.1) (end 179.1 39.55) (layer F.SilkS) (width 0.12) (tstamp 5EBF145A)) + (gr_line (start 179.1 39.55) (end 178.55 39.55) (layer F.SilkS) (width 0.12) (tstamp 5EBF1459)) + (gr_line (start 178.55 39.55) (end 178.55 40.1) (layer F.SilkS) (width 0.12) (tstamp 5EBF1458)) + (gr_line (start 177.8 41.9) (end 177.25 41.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF1398)) + (gr_line (start 177.25 41.9) (end 177.25 42.45) (layer F.SilkS) (width 0.12) (tstamp 5EBF1397)) + (gr_line (start 177.25 42.45) (end 177.8 42.45) (layer F.SilkS) (width 0.12) (tstamp 5EBF1396)) + (gr_line (start 177.8 42.45) (end 177.8 41.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF1395)) + (gr_line (start 177.8 43.15) (end 177.8 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF1394)) + (gr_line (start 177.25 43.15) (end 177.8 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1393)) + (gr_poly (pts (xy 177.8 42.6) (xy 177.8 43.15) (xy 177.25 43.15) (xy 177.25 42.6)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1392)) + (gr_poly (pts (xy 179.1 39.55) (xy 179.1 40.1) (xy 178.55 40.1) (xy 178.55 39.55)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1391)) + (gr_line (start 177.8 43.3) (end 177.25 43.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF1390)) + (gr_line (start 177.25 43.3) (end 177.25 43.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF138F)) + (gr_line (start 177.25 43.85) (end 177.8 43.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF138E)) + (gr_line (start 177.8 43.85) (end 177.8 43.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF138D)) + (gr_line (start 177.8 42.6) (end 177.25 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF138C)) + (gr_line (start 177.25 42.6) (end 177.25 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF138B)) + (gr_line (start 177.8 39.55) (end 177.25 39.55) (layer F.SilkS) (width 0.12) (tstamp 5EBF1398)) + (gr_line (start 177.25 39.55) (end 177.25 40.1) (layer F.SilkS) (width 0.12) (tstamp 5EBF1397)) + (gr_line (start 177.25 40.1) (end 177.8 40.1) (layer F.SilkS) (width 0.12) (tstamp 5EBF1396)) + (gr_line (start 177.8 40.1) (end 177.8 39.55) (layer F.SilkS) (width 0.12) (tstamp 5EBF1395)) + (gr_line (start 177.8 40.8) (end 177.8 40.25) (layer F.SilkS) (width 0.12) (tstamp 5EBF1394)) + (gr_line (start 177.25 40.8) (end 177.8 40.8) (layer F.SilkS) (width 0.12) (tstamp 5EBF1393)) + (gr_poly (pts (xy 177.8 40.25) (xy 177.8 40.8) (xy 177.25 40.8) (xy 177.25 40.25)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1392)) + (gr_poly (pts (xy 177.8 39.55) (xy 177.8 40.1) (xy 177.25 40.1) (xy 177.25 39.55)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1391)) + (gr_line (start 177.8 40.95) (end 177.25 40.95) (layer F.SilkS) (width 0.12) (tstamp 5EBF1390)) + (gr_line (start 177.25 40.95) (end 177.25 41.5) (layer F.SilkS) (width 0.12) (tstamp 5EBF138F)) + (gr_line (start 177.25 41.5) (end 177.8 41.5) (layer F.SilkS) (width 0.12) (tstamp 5EBF138E)) + (gr_line (start 177.8 41.5) (end 177.8 40.95) (layer F.SilkS) (width 0.12) (tstamp 5EBF138D)) + (gr_line (start 177.8 40.25) (end 177.25 40.25) (layer F.SilkS) (width 0.12) (tstamp 5EBF138C)) + (gr_line (start 177.25 40.25) (end 177.25 40.8) (layer F.SilkS) (width 0.12) (tstamp 5EBF138B)) + (gr_line (start 174.55 40.3) (end 174.55 40.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF1298)) + (gr_line (start 174.55 40.85) (end 175.1 40.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF1297)) + (gr_line (start 175.1 40.85) (end 175.1 40.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF1296)) + (gr_line (start 175.1 40.3) (end 174.55 40.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF1295)) + (gr_line (start 175.8 40.3) (end 175.25 40.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF1294)) + (gr_line (start 175.8 40.85) (end 175.8 40.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF1293)) + (gr_line (start 175.25 40.85) (end 175.8 40.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF1292)) + (gr_line (start 175.25 40.3) (end 175.25 40.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF1291)) + (gr_line (start 176.5 40.3) (end 175.95 40.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF1290)) + (gr_line (start 176.5 40.85) (end 176.5 40.3) (layer F.SilkS) (width 0.12) (tstamp 5EBF128F)) + (gr_line (start 175.95 40.85) (end 176.5 40.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF128E)) + (gr_line (start 175.95 40.3) (end 175.95 40.85) (layer F.SilkS) (width 0.12) (tstamp 5EBF128D)) + (gr_line (start 175.15 42.6) (end 174.6 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF1447)) + (gr_line (start 175.15 43.15) (end 175.15 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF1444)) + (gr_line (start 174.6 43.15) (end 175.15 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1441)) + (gr_line (start 174.6 42.6) (end 174.6 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF143E)) + (gr_line (start 175.85 42.6) (end 175.3 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF143B)) + (gr_line (start 175.85 43.15) (end 175.85 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF1438)) + (gr_line (start 175.3 43.15) (end 175.85 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1435)) + (gr_line (start 175.3 42.6) (end 175.3 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1432)) + (gr_line (start 176.55 42.6) (end 176 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF142F)) + (gr_line (start 176.55 43.15) (end 176.55 42.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF142C)) + (gr_line (start 176 43.15) (end 176.55 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1429)) + (gr_line (start 176 42.6) (end 176 43.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF1426)) + (gr_poly (pts (xy 174.55 40.3) (xy 175.1 40.3) (xy 175.1 40.85) (xy 174.55 40.85)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1280)) + (gr_poly (pts (xy 175.25 40.3) (xy 175.8 40.3) (xy 175.8 40.85) (xy 175.25 40.85)) (layer F.SilkS) (width 0.1) (tstamp 5EBF127F)) + (gr_poly (pts (xy 175.3 42.6) (xy 175.85 42.6) (xy 175.85 43.15) (xy 175.3 43.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1423)) + (gr_poly (pts (xy 176 42.6) (xy 176.55 42.6) (xy 176.55 43.15) (xy 176 43.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF1420)) + (gr_text JP3-4-5 (at 176.8 38.65) (layer F.SilkS) (tstamp 5EBF14FA) + (effects (font (size 0.7 0.7) (thickness 0.15))) + ) + (gr_text "6581\n\n8580" (at 174.15 41.75) (layer F.SilkS) (tstamp 5EBF1B6E) + (effects (font (size 0.7 0.7) (thickness 0.15)) (justify right)) + ) + (gr_poly (pts (xy 121.4 19.6) (xy 121.95 19.6) (xy 121.95 20.15) (xy 121.4 20.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF341D)) + (gr_poly (pts (xy 120.7 19.6) (xy 121.25 19.6) (xy 121.25 20.15) (xy 120.7 20.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF341A)) + (gr_poly (pts (xy 120.7 18.35) (xy 121.25 18.35) (xy 121.25 18.9) (xy 120.7 18.9)) (layer F.SilkS) (width 0.1) (tstamp 5EBF3417)) + (gr_poly (pts (xy 120 18.35) (xy 120.55 18.35) (xy 120.55 18.9) (xy 120 18.9)) (layer F.SilkS) (width 0.1) (tstamp 5EBF3414)) + (gr_poly (pts (xy 118.5 19.6) (xy 119.05 19.6) (xy 119.05 20.15) (xy 118.5 20.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF3411)) + (gr_poly (pts (xy 117.8 19.6) (xy 118.35 19.6) (xy 118.35 20.15) (xy 117.8 20.15)) (layer F.SilkS) (width 0.1) (tstamp 5EBF340E)) + (gr_poly (pts (xy 117.8 18.35) (xy 118.35 18.35) (xy 118.35 18.9) (xy 117.8 18.9)) (layer F.SilkS) (width 0.1) (tstamp 5EBF340B)) + (gr_poly (pts (xy 117.1 18.35) (xy 117.65 18.35) (xy 117.65 18.9) (xy 117.1 18.9)) (layer F.SilkS) (width 0.1) (tstamp 5EBF3408)) + (gr_line (start 121.4 19.6) (end 121.4 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF3405)) + (gr_line (start 121.4 20.15) (end 121.95 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF3402)) + (gr_line (start 121.95 20.15) (end 121.95 19.6) (layer F.SilkS) (width 0.12) (tstamp 5ECADD5C)) + (gr_line (start 121.95 19.6) (end 121.4 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33FF)) + (gr_line (start 120.7 19.6) (end 120.7 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33FC)) + (gr_line (start 120.7 20.15) (end 121.25 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33F9)) + (gr_line (start 121.25 20.15) (end 121.25 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33F6)) + (gr_line (start 121.25 19.6) (end 120.7 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33F3)) + (gr_line (start 120 19.6) (end 120 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33F0)) + (gr_line (start 120 20.15) (end 120.55 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33ED)) + (gr_line (start 120.55 20.15) (end 120.55 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33EA)) + (gr_line (start 120.55 19.6) (end 120 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33E7)) + (gr_line (start 121.4 18.35) (end 121.4 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF33E4)) + (gr_line (start 121.4 18.9) (end 121.95 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF33E1)) + (gr_line (start 121.95 18.9) (end 121.95 18.35) (layer F.SilkS) (width 0.12) (tstamp 5ECADD5C)) + (gr_line (start 121.95 18.35) (end 121.4 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF33DE)) + (gr_line (start 120.7 18.35) (end 120.7 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF33DB)) + (gr_line (start 120.7 18.9) (end 121.25 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF33D8)) + (gr_line (start 121.25 18.9) (end 121.25 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF33D5)) + (gr_line (start 121.25 18.35) (end 120.7 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF33D2)) + (gr_line (start 120 18.35) (end 120 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF33CF)) + (gr_line (start 120 18.9) (end 120.55 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF33CC)) + (gr_line (start 120.55 18.9) (end 120.55 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF33C9)) + (gr_line (start 120.55 18.35) (end 120 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF33C6)) + (gr_line (start 118.5 19.6) (end 118.5 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33C3)) + (gr_line (start 118.5 20.15) (end 119.05 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33C0)) + (gr_line (start 119.05 20.15) (end 119.05 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33BD)) + (gr_line (start 119.05 19.6) (end 118.5 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33BA)) + (gr_line (start 117.8 19.6) (end 117.8 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33B7)) + (gr_line (start 117.8 20.15) (end 118.35 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33B4)) + (gr_line (start 118.35 20.15) (end 118.35 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33B1)) + (gr_line (start 118.35 19.6) (end 117.8 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33AE)) + (gr_line (start 117.1 19.6) (end 117.1 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33AB)) + (gr_line (start 117.1 20.15) (end 117.65 20.15) (layer F.SilkS) (width 0.12) (tstamp 5EBF33A8)) + (gr_line (start 117.65 20.15) (end 117.65 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33A5)) + (gr_line (start 117.65 19.6) (end 117.1 19.6) (layer F.SilkS) (width 0.12) (tstamp 5EBF33A2)) + (gr_line (start 118.5 18.35) (end 118.5 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF339F)) + (gr_line (start 118.5 18.9) (end 119.05 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF339C)) + (gr_line (start 119.05 18.9) (end 119.05 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF3399)) + (gr_line (start 119.05 18.35) (end 118.5 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF3396)) + (gr_line (start 117.8 18.35) (end 117.8 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF3393)) + (gr_line (start 117.8 18.9) (end 118.35 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF3390)) + (gr_line (start 118.35 18.9) (end 118.35 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF338D)) + (gr_line (start 118.35 18.35) (end 117.8 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF338A)) + (gr_line (start 117.65 18.35) (end 117.1 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF3387)) + (gr_line (start 117.65 18.9) (end 117.65 18.35) (layer F.SilkS) (width 0.12) (tstamp 5EBF3384)) + (gr_line (start 117.1 18.9) (end 117.65 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF3381)) + (gr_line (start 117.1 18.35) (end 117.1 18.9) (layer F.SilkS) (width 0.12) (tstamp 5EBF337E)) + (gr_text "YM ABC\nYM ACB" (at 114.25 19.25) (layer F.SilkS) (tstamp 5EBF3426) + (effects (font (size 0.7 0.7) (thickness 0.15))) + ) + (gr_text "JP2 JP1" (at 119.55 17.45) (layer F.SilkS) (tstamp 5EBF3423) + (effects (font (size 0.7 0.7) (thickness 0.15))) + ) + (dimension 83 (width 0.15) (layer Dwgs.User) + (gr_text "83.000 mm" (at 138.3 60.5) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 96.8 55.7) (xy 96.8 59.786421))) + (feature2 (pts (xy 179.8 55.7) (xy 179.8 59.786421))) + (crossbar (pts (xy 179.8 59.2) (xy 96.8 59.2))) + (arrow1a (pts (xy 96.8 59.2) (xy 97.926504 58.613579))) + (arrow1b (pts (xy 96.8 59.2) (xy 97.926504 59.786421))) + (arrow2a (pts (xy 179.8 59.2) (xy 178.673496 58.613579))) + (arrow2b (pts (xy 179.8 59.2) (xy 178.673496 59.786421))) + ) + (dimension 39.5 (width 0.15) (layer Dwgs.User) + (gr_text "39.500 mm" (at 91.4 35.95 90) (layer Dwgs.User) + (effects (font (size 1 1) (thickness 0.15))) + ) + (feature1 (pts (xy 96.8 16.2) (xy 92.113579 16.2))) + (feature2 (pts (xy 96.8 55.7) (xy 92.113579 55.7))) + (crossbar (pts (xy 92.7 55.7) (xy 92.7 16.2))) + (arrow1a (pts (xy 92.7 16.2) (xy 93.286421 17.326504))) + (arrow1b (pts (xy 92.7 16.2) (xy 92.113579 17.326504))) + (arrow2a (pts (xy 92.7 55.7) (xy 93.286421 54.573496))) + (arrow2b (pts (xy 92.7 55.7) (xy 92.113579 54.573496))) + ) + (gr_line (start 96.8 16.2) (end 96.8 55.7) (layer Edge.Cuts) (width 0.05) (tstamp 5EBDE165)) + (gr_line (start 179.8 16.2) (end 96.8 16.2) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 179.8 55.7) (end 179.8 16.2) (layer Edge.Cuts) (width 0.05)) + (gr_line (start 96.8 55.7) (end 179.8 55.7) (layer Edge.Cuts) (width 0.05)) + + (segment (start 128.925 36.015) (end 129 35.94) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 128.925 39) (end 128.925 36.015) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 162.2 42.12) (end 162.08 42.24) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 162.2 40.275) (end 162.2 42.12) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 128.4 45.15) (end 128.3 45.05) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 128.3 45.05) (end 128.3 44.365679) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 128.699999 43.399995) (end 128.3 43.799994) (width 0.4) (layer F.Cu) (net 1)) + (via (at 128.3 43.799994) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 128.925 42.775) (end 128.925 43.174994) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 128.925 43.174994) (end 128.699999 43.399995) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 128.3 44.365679) (end 128.3 43.799994) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 128.925 40.675) (end 128.925 42.775) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 128.925 39) (end 128.925 40.675) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 159.48 35.94) (end 159.48 38.68) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 161.075 40.275) (end 160.45 39.65) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 162.2 40.275) (end 161.075 40.275) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 159.48 38.68) (end 160.45 39.65) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 162.879999 41.440001) (end 162.08 42.24) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 163.559999 41.440001) (end 162.879999 41.440001) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 166.300001 38.699999) (end 163.559999 41.440001) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 167.1 35.94) (end 166.300001 36.739999) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 166.300001 36.739999) (end 166.300001 38.699999) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 114.1955 19.79999) (end 112.40001 19.79999) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 114.52501 20.1295) (end 114.1955 19.79999) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 116.125 22.1) (end 115.625928 21.600928) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 115.625928 21.600928) (end 115.625928 21.421438) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 115.625928 21.421438) (end 114.52501 20.32052) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 114.52501 20.32052) (end 114.52501 20.1295) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 109.725 45.575) (end 108.2 47.1) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 108.2 47.534315) (end 108.2 48.1) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 108.72 48.1) (end 108.2 48.1) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 109.18 51.5) (end 109.18 48.56) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 109.18 48.56) (end 108.72 48.1) (width 0.4) (layer B.Cu) (net 1)) + (via (at 108.2 48.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 108.2 47.1) (end 108.2 47.534315) (width 0.4) (layer F.Cu) (net 1)) + (via (at 111.5 19.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 112.09999 19.79999) (end 111.5 19.2) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 112.40001 19.79999) (end 112.09999 19.79999) (width 0.25) (layer B.Cu) (net 1)) + (via (at 100.1 20.75) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 110.54999 18.24999) (end 104.230012 18.24999) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 111.5 19.2) (end 110.54999 18.24999) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 101.270008 18.24999) (end 104.230012 18.24999) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 100.1 20.75) (end 100.1 19.419998) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 100.1 19.419998) (end 101.270008 18.24999) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 99.700001 21.149999) (end 100.1 20.75) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 109.18 53.151) (end 107.331 55) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 109.18 51.5) (end 109.18 53.151) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 107.331 55) (end 101.3 55) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 101.3 55) (end 97.22501 50.92501) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 97.22501 50.92501) (end 97.22501 23.62499) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 97.22501 23.62499) (end 99.700001 21.149999) (width 0.4) (layer B.Cu) (net 1)) + (segment (start 112.77501 21.54999) (end 111.925 22.4) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 112.77501 20.1295) (end 112.77501 21.54999) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 112.40001 19.79999) (end 112.4455 19.79999) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 112.4455 19.79999) (end 112.77501 20.1295) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 159.48 34.80863) (end 159.48 35.94) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 154.721358 30.049988) (end 159.48 34.80863) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 151.534533 30.049988) (end 154.721358 30.049988) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 150.209555 31.374966) (end 151.534533 30.049988) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 139.409032 31.374966) (end 150.209555 31.374966) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 135.419999 35.363999) (end 139.409032 31.374966) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 135.419999 36.376003) (end 135.419999 35.363999) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 129.125 40.675) (end 129.74999 40.05001) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 129.74999 40.05001) (end 129.74999 38.498434) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 129.74999 38.498434) (end 130.133391 38.115033) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 128.925 40.675) (end 129.125 40.675) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 130.133391 38.115033) (end 133.680969 38.115033) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 133.680969 38.115033) (end 135.419999 36.376003) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 127.92285 43.799994) (end 128.3 43.799994) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 127.103089 42.980233) (end 127.92285 43.799994) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 124.657166 42.980233) (end 127.103089 42.980233) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 124.341088 43.296311) (end 124.657166 42.980233) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 112.003689 43.296311) (end 124.341088 43.296311) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 109.725 45.575) (end 112.003689 43.296311) (width 0.4) (layer F.Cu) (net 1)) + (segment (start 113.80142 55.23242) (end 111.72 53.151) (width 0.4) (layer B.Cu) (net 2)) + (segment (start 174.3 53.353935) (end 172.421515 55.23242) (width 0.4) (layer B.Cu) (net 2)) + (segment (start 169.68 45.9) (end 170.882081 45.9) (width 0.4) (layer B.Cu) (net 2)) + (segment (start 172.421515 55.23242) (end 113.80142 55.23242) (width 0.4) (layer B.Cu) (net 2)) + (segment (start 174.3 49.317919) (end 174.3 53.353935) (width 0.4) (layer B.Cu) (net 2)) + (segment (start 111.72 53.151) (end 111.72 51.5) (width 0.4) (layer B.Cu) (net 2)) + (segment (start 170.882081 45.9) (end 174.3 49.317919) (width 0.4) (layer B.Cu) (net 2)) + (via (at 144.900153 22.799847) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 145.9 22.725) (end 144.975 22.725) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 144.975 22.725) (end 144.900153 22.799847) (width 0.25) (layer F.Cu) (net 3)) + (via (at 159.6 22.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 160.6 22.725) (end 159.625 22.725) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 159.625 22.725) (end 159.6 22.7) (width 0.25) (layer F.Cu) (net 3)) + (via (at 170.9 38.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 169.8 38.525) (end 170.875 38.525) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 170.875 38.525) (end 170.9 38.5) (width 0.25) (layer B.Cu) (net 3)) + (via (at 164.607347 37.407347) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 164.6 38.525) (end 164.6 37.414694) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 164.6 37.414694) (end 164.607347 37.407347) (width 0.25) (layer B.Cu) (net 3)) + (via (at 162.2 37.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 162.2 38.525) (end 162.2 37.5) (width 0.25) (layer B.Cu) (net 3)) + (via (at 170.4 32.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 123.675 29.4) (end 124.6 29.4) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 125.625 29.4) (end 124.6 29.4) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 133 42.9) (end 133.1 42.8) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 133 45.15) (end 133 42.9) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 123.675 24.4) (end 124.5 24.4) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 124.9 24.4) (end 124.7 24.2) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 125.625 24.4) (end 124.9 24.4) (width 0.25) (layer F.Cu) (net 3)) + (via (at 146.4 33.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 158.916002 33.6) (end 146.4 33.6) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 160.680001 35.363999) (end 158.916002 33.6) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 160.680001 36.545686) (end 160.680001 35.363999) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 162.2 37.5) (end 161.634315 37.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 161.634315 37.5) (end 160.680001 36.545686) (width 0.4) (layer B.Cu) (net 3)) + (via (at 141.6 28.774922) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 146.4 33.6) (end 141.6 28.8) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 141.6 28.8) (end 141.6 28.774922) (width 0.4) (layer B.Cu) (net 3)) + (via (at 137.685154 28.685154) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 141.6 28.774922) (end 137.774922 28.774922) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 137.774922 28.774922) (end 137.685154 28.685154) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 136.68 27.68) (end 137.685154 28.685154) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 136.68 27) (end 136.68 27.68) (width 0.4) (layer B.Cu) (net 3)) + (via (at 154.837569 44.090001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 154.771283 44.023715) (end 154.837569 44.090001) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 148.18 44.725) (end 148.881285 44.023715) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 148.881285 44.023715) (end 154.771283 44.023715) (width 0.25) (layer B.Cu) (net 3)) + (via (at 160.5 38.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 162.2 37.5) (end 161.3 37.5) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 161.3 37.5) (end 160.5 38.3) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 159.934315 38.3) (end 160.5 38.3) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 159.274998 38.3) (end 159.934315 38.3) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 155.874999 41.699999) (end 159.274998 38.3) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 154.837569 44.090001) (end 155.874999 43.052571) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 155.874999 43.052571) (end 155.874999 41.699999) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 135.850003 48.150003) (end 134.599999 46.899999) (width 0.4) (layer F.Cu) (net 3)) + (via (at 135.850003 49.071668) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (via (at 134.2 46.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 137.12 50.341665) (end 136.250002 49.471667) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 137.12 51.5) (end 137.12 50.341665) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 136.250002 49.471667) (end 135.850003 49.071668) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 133.1 42.8) (end 133.1 45.4) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 135.850003 49.071668) (end 135.850003 48.150003) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 134.599999 46.899999) (end 134.2 46.5) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 133.800001 46.100001) (end 134.2 46.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 133.1 45.4) (end 133.800001 46.100001) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.8 51.5) (end 119.34 51.5) (width 0.4) (layer B.Cu) (net 3)) + (via (at 129.9 23.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 164.607347 37.407347) (end 165.007346 37.807346) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 134.002537 23.1) (end 134.202557 22.89998) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 129.9 23.1) (end 134.002537 23.1) (width 0.4) (layer F.Cu) (net 3)) + (via (at 134.202557 22.89998) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (via (at 135.900006 24.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 136.68 27) (end 136.68 25.279994) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 136.68 25.279994) (end 136.300005 24.899999) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 136.300005 24.899999) (end 135.900006 24.5) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 134.202557 22.89998) (end 135.802577 24.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 135.802577 24.5) (end 135.900006 24.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 124.7 24.2) (end 124.7 23.3) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 135.975 44.725) (end 134.2 46.5) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 138.02 44.725) (end 135.975 44.725) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 170.9 33.3) (end 170.4 32.8) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 170.9 38.5) (end 170.9 33.3) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 159.999999 23.099999) (end 159.6 22.7) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 167.295 32.8) (end 162.620001 28.125001) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 170.4 32.8) (end 167.295 32.8) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 162.620001 28.125001) (end 161.539999 28.125001) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 161.539999 28.125001) (end 160.665001 27.250003) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 160.665001 27.250003) (end 160.665001 23.765001) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 160.665001 23.765001) (end 159.999999 23.099999) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 169.64 37.24) (end 170.9 38.5) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 169.64 35.94) (end 169.64 37.24) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 134.30269 22.799847) (end 134.202557 22.89998) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 144.900153 22.799847) (end 134.30269 22.799847) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 159.500153 22.799847) (end 159.6 22.7) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 144.900153 22.799847) (end 159.500153 22.799847) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 129 22.2) (end 129.9 23.1) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 129 20.7) (end 129 22.2) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 171.465685 38.5) (end 170.9 38.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 176.75 38.5) (end 171.465685 38.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 177.9 40.925) (end 177.9 39.65) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 177.9 39.65) (end 176.75 38.5) (width 0.4) (layer B.Cu) (net 3)) + (via (at 175.55 41.525) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 176.15 40.925) (end 175.949999 41.125001) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 175.949999 41.125001) (end 175.55 41.525) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 177.9 40.925) (end 176.15 40.925) (width 0.4) (layer B.Cu) (net 3)) + (via (at 138.8 24.5) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 138.8 24.5) (end 135.900006 24.5) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 138.825 24.475) (end 138.8 24.5) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 139.8 24.475) (end 138.825 24.475) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 124.9 23.1) (end 129.9 23.1) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 124.7 23.3) (end 124.9 23.1) (width 0.4) (layer F.Cu) (net 3)) + (via (at 110.8 23.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 110.8 21.725) (end 110.8 23.3) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 111.925 20.6) (end 110.8 21.725) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 111.3 22.8) (end 110.8 23.3) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 119.9 23.3) (end 119.4 22.8) (width 0.4) (layer F.Cu) (net 3)) + (via (at 124.65 24.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 124.5 24.4) (end 124.65 24.25) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 124.65 24.25) (end 124.7 24.2) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 101.5 26.65) (end 101.5 24.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 100.499999 27.650001) (end 101.5 26.65) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 100.499999 32.480001) (end 100.499999 27.650001) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 101.5 37) (end 101.5 33.480002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 101.5 33.480002) (end 100.499999 32.480001) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.5126 30.9866) (end 116.5126 32.1232) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 123.7 41.775) (end 123.675 41.8) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 123.7 39.5) (end 123.7 41.775) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 116.425 41.775) (end 116.4 41.8) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 116.425 39.5) (end 116.425 41.775) (width 0.4) (layer F.Cu) (net 3)) + (via (at 112.459285 41.440714) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 123.675 42.675) (end 123.675 41.8) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 123.67501 42.67501) (end 123.675 42.675) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 116.4 42.65002) (end 116.4 41.8) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 116.37501 42.67501) (end 116.4 42.65002) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 117.27501 42.67501) (end 123.67501 42.67501) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 117.27501 42.67501) (end 116.37501 42.67501) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 101.5 39.984004) (end 101.5 37) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.5126 35.309656) (end 118.851473 37.648529) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.5126 32.1232) (end 116.5126 35.309656) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 117.898529 37.648529) (end 118.851473 37.648529) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 112.8622 32.6122) (end 117.898529 37.648529) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 111.6866 32.6122) (end 112.8622 32.6122) (width 0.4) (layer B.Cu) (net 3)) + (via (at 106.5 45.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 106.532998 45.917002) (end 106.5 45.95) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 106.532998 45.017002) (end 106.532998 45.917002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 106.532998 45.017002) (end 101.5 39.984004) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 106.125 45.575) (end 106.5 45.95) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 104.625 45.575) (end 106.125 45.575) (width 0.4) (layer F.Cu) (net 3)) + (via (at 115.3 39.500002) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 116.425 39.5) (end 115.300002 39.5) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 115.300002 39.5) (end 115.3 39.500002) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 114.734315 39.500002) (end 115.3 39.500002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 111.6866 38.2002) (end 114.000198 38.2002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 114.000198 38.2002) (end 114.900001 39.100003) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 114.900001 39.100003) (end 115.3 39.500002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 114.399997 39.500002) (end 114.734315 39.500002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 112.459285 41.440714) (end 114.399997 39.500002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.115682 39.749999) (end 115.865685 39.500002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 119.6876 42.2134) (end 119.6876 40.921602) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 115.865685 39.500002) (end 115.3 39.500002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 119.6876 40.921602) (end 118.515997 39.749999) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 118.515997 39.749999) (end 116.115682 39.749999) (width 0.4) (layer B.Cu) (net 3)) + (via (at 120.24999 39.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 118.851473 37.648529) (end 118.849978 37.650024) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 118.849978 37.650024) (end 118.849978 39.416018) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 118.849978 39.416018) (end 118.515997 39.749999) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 123.7 39.5) (end 122.800001 38.600001) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 120.699989 38.600001) (end 120.649989 38.650001) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 122.800001 38.600001) (end 120.699989 38.600001) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 120.649989 38.650001) (end 120.24999 39.05) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 121.776799 33.399601) (end 121.776799 36.324658) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 121.776799 36.324658) (end 120.24999 37.851467) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 122.9134 33.3996) (end 121.776799 33.399601) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 120.24999 37.851467) (end 120.24999 39.05) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 120.810965 40.921602) (end 119.6876 40.921602) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 121.650009 40.082558) (end 120.810965 40.921602) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 121.650009 38.326991) (end 121.650009 40.082558) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 122.9134 38.2002) (end 121.7768 38.2002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 121.7768 38.2002) (end 121.650009 38.326991) (width 0.4) (layer B.Cu) (net 3)) + (via (at 124.6 29.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 124.65 29.35) (end 124.6 29.4) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 124.65 24.25) (end 124.65 29.35) (width 0.25) (layer B.Cu) (net 3)) + (via (at 124.65 30.92501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 124.62498 30.89999) (end 124.65 30.92501) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 121.925 31.7) (end 122.72501 30.89999) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 122.72501 30.89999) (end 124.62498 30.89999) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 124.65 29.45) (end 124.6 29.4) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 124.65 30.92501) (end 124.65 29.45) (width 0.25) (layer B.Cu) (net 3)) + (via (at 130.05 42.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 129.484315 42.8) (end 130.05 42.8) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 128.065675 42.8) (end 129.484315 42.8) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 128.020201 42.845474) (end 128.065675 42.8) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 133.1 42.8) (end 132.700001 42.400001) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 132.700001 42.400001) (end 130.449999 42.400001) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 130.675 39) (end 130.675 42.175) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 130.449999 42.400001) (end 130.05 42.8) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 130.675 42.175) (end 130.449999 42.400001) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 130.449999 42.400001) (end 130.05 42.8) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 110.400001 22.900001) (end 110.8 23.3) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 108.25 20.75) (end 110.400001 22.900001) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 104.35 20.75) (end 108.25 20.75) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 101.5 24.5) (end 101.5 23.6) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 101.5 23.6) (end 104.35 20.75) (width 0.4) (layer F.Cu) (net 3)) + (via (at 132.1 22.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 114.01219 42.67501) (end 113.693581 42.67501) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 116.37501 42.67501) (end 114.01219 42.67501) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 113.693581 42.67501) (end 112.459285 41.440714) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 110.040998 48.525002) (end 106.532998 45.017002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 115.511 51.5) (end 112.536002 48.525002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 112.536002 48.525002) (end 110.040998 48.525002) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.8 51.5) (end 115.511 51.5) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 112.6 42.147114) (end 112.459285 42.006399) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 112.6 44.355651) (end 112.6 42.147114) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.8 51.5) (end 116.8 48.555651) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 116.8 48.555651) (end 112.6 44.355651) (width 0.4) (layer B.Cu) (net 3)) + (segment (start 112.459285 42.006399) (end 112.459285 41.440714) (width 0.4) (layer B.Cu) (net 3)) + (via (at 114.2 51.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (via (at 101.6 49.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (via (at 115 24.2) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 116.125 24.2) (end 115 24.2) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 115 22.9) (end 115.1 22.8) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 115 24.2) (end 115 22.9) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 119.4 22.8) (end 115.1 22.8) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 115.1 22.8) (end 111.3 22.8) (width 0.4) (layer F.Cu) (net 3)) + (via (at 108.1 24.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (via (at 120.6 26.125) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 121.925 26.7) (end 121.175 26.7) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 121.175 26.7) (end 120.6 26.125) (width 0.25) (layer F.Cu) (net 3)) + (via (at 120.5 24) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 120.6 26.125) (end 120.6 24.1) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 120.6 24.1) (end 120.5 24) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 120.5 23.4) (end 120.4 23.3) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 120.5 24) (end 120.5 23.4) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 124.7 23.3) (end 120.4 23.3) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 120.4 23.3) (end 119.9 23.3) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 153.334999 42.780001) (end 153.334999 38.965019) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 153.5 38.525) (end 152.89498 38.525) (width 0.25) (layer B.Cu) (net 3)) + (via (at 152.645 38.27502) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 153.334999 38.965019) (end 153.044999 38.675019) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 153.044999 38.675019) (end 152.645 38.27502) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 154.245 43.690002) (end 153.334999 42.780001) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 154.43757 43.690002) (end 154.245 43.690002) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 154.837569 44.090001) (end 154.43757 43.690002) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 152.89498 38.525) (end 152.645 38.27502) (width 0.25) (layer B.Cu) (net 3)) + (segment (start 127.634464 42.380223) (end 127.655538 42.401297) (width 0.4) (layer F.Cu) (net 3)) + (via (at 128.055537 42.801296) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 3)) + (segment (start 127.655538 42.401297) (end 128.055537 42.801296) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 123.969797 42.380223) (end 127.634464 42.380223) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 128.055537 42.803538) (end 128.055537 42.801296) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 127.958147 42.900928) (end 128.055537 42.803538) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 123.67501 42.67501) (end 123.969797 42.380223) (width 0.4) (layer F.Cu) (net 3)) + (segment (start 160.2 45.9) (end 164.6 45.9) (width 0.4) (layer F.Cu) (net 4)) + (segment (start 157.44 51.5) (end 157.44 48.66) (width 0.4) (layer F.Cu) (net 4)) + (segment (start 157.44 48.66) (end 160.2 45.9) (width 0.4) (layer F.Cu) (net 4)) + (via (at 141.8 44) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 140.56 44.725) (end 141.075 44.725) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 141.075 44.725) (end 141.8 44) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 118.15 41.8) (end 121.925 41.8) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 121.95 41.775) (end 121.925 41.8) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 121.95 39.5) (end 121.95 41.775) (width 0.4) (layer F.Cu) (net 5)) + (via (at 131.525928 44.374968) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 141.8 44) (end 141.400001 44.399999) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 131.898994 44.374968) (end 131.525928 44.374968) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 132.710678 43.563284) (end 131.898994 44.374968) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 135.73827 44.399999) (end 134.901555 43.563284) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 141.400001 44.399999) (end 135.73827 44.399999) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 131.125929 44.774967) (end 131.525928 44.374968) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 130.750896 45.15) (end 131.125929 44.774967) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 130.7 45.15) (end 130.750896 45.15) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 134.901555 43.563284) (end 132.710678 43.563284) (width 0.25) (layer F.Cu) (net 5)) + (via (at 118.177199 40.55001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 117.611514 40.55001) (end 118.177199 40.55001) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 118.175 40.547811) (end 118.177199 40.55001) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 118.15 41.8) (end 118.15 40.577209) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 118.15 40.577209) (end 118.177199 40.55001) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 116.22659 40.55001) (end 117.611514 40.55001) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 115.6998 41.0768) (end 116.22659 40.55001) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 115.6998 42.2134) (end 115.6998 41.0768) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 118.175 39.5) (end 118.175 40.547811) (width 0.4) (layer F.Cu) (net 5)) + (via (at 120 40.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 121.4 40.05) (end 120.565685 40.05) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 120.565685 40.05) (end 120 40.05) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 121.95 39.5) (end 121.4 40.05) (width 0.4) (layer F.Cu) (net 5)) + (via (at 118.049968 38.811124) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 118.061124 38.811124) (end 118.049968 38.811124) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 119.600001 39.650001) (end 120 40.05) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 118.175 39.5) (end 118.175 38.925) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 118.175 38.925) (end 118.061124 38.811124) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 111.6866 34.2124) (end 113.451244 34.2124) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 117.649969 38.411125) (end 118.049968 38.811124) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 113.451244 34.2124) (end 117.649969 38.411125) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 119.449989 39.499989) (end 119.600001 39.650001) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 119.449989 38.665999) (end 119.449989 39.499989) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 119.499989 38.615999) (end 119.449989 38.665999) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 119.499989 37.448502) (end 119.499989 38.615999) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 117.3 35.248513) (end 119.499989 37.448502) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 117.3 30.9866) (end 117.3 35.248513) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 120.565685 40.05) (end 120 40.05) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 120.834025 40.05) (end 120.565685 40.05) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 121.05 39.834025) (end 120.834025 40.05) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 121.05 37.9) (end 121.05 39.834025) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 122.9134 37.3874) (end 121.5626 37.3874) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 121.5626 37.3874) (end 121.05 37.9) (width 0.4) (layer B.Cu) (net 5)) + (via (at 127.77501 38.758417) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 130.7 38.85) (end 127.866593 38.85) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 127.866593 38.85) (end 127.77501 38.758417) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 124.162379 37.3874) (end 124.174979 37.4) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 122.9134 37.3874) (end 124.162379 37.3874) (width 0.4) (layer B.Cu) (net 5)) + (via (at 124.174979 37.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 127.77501 38.758417) (end 127.209325 38.758417) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 124.740664 37.4) (end 124.174979 37.4) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 125.850908 37.4) (end 124.740664 37.4) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 127.209325 38.758417) (end 125.850908 37.4) (width 0.4) (layer F.Cu) (net 5)) + (via (at 133.5 39.331033) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 133.5 40.50013) (end 133.5 39.331033) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 130.977114 43.023016) (end 133.5 40.50013) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 131.525928 44.374968) (end 130.977114 43.826154) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 130.977114 43.826154) (end 130.977114 43.023016) (width 0.4) (layer F.Cu) (net 5)) + (segment (start 133.018967 38.85) (end 133.5 39.331033) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 130.7 38.85) (end 133.018967 38.85) (width 0.4) (layer B.Cu) (net 5)) + (segment (start 142.95 41.924998) (end 143.759999 41.114999) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 143.759999 41.114999) (end 149.358005 41.114999) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 141.8 44) (end 142.95 42.85) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 142.95 42.85) (end 142.95 41.924998) (width 0.25) (layer F.Cu) (net 5)) + (via (at 150.900001 40.620505) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 5)) + (segment (start 149.358005 41.114999) (end 150.405507 41.114999) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 150.405507 41.114999) (end 150.900001 40.620505) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 151.999072 40.774072) (end 151.5 40.275) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 152.35001 41.12501) (end 151.999072 40.774072) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 155.000928 39.024072) (end 155.000928 39.453562) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 155.5 38.525) (end 155.000928 39.024072) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 155.000928 39.453562) (end 154.69999 39.7545) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 154.69999 39.7545) (end 154.69999 40.39552) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 154.69999 40.39552) (end 153.9705 41.12501) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 153.9705 41.12501) (end 152.35001 41.12501) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 151.154495 40.620505) (end 151.5 40.275) (width 0.25) (layer B.Cu) (net 5)) + (segment (start 150.900001 40.620505) (end 151.154495 40.620505) (width 0.25) (layer B.Cu) (net 5)) + (via (at 105.103152 35.946848) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 6)) + (segment (start 111.736598 30.9866) (end 108.849978 33.87322) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 113.3122 30.9866) (end 111.736598 30.9866) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 108.849978 34.711737) (end 108.849978 33.87322) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 105.103152 35.946848) (end 107.614867 35.946848) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 107.614867 35.946848) (end 108.849978 34.711737) (width 0.25) (layer B.Cu) (net 6)) + (segment (start 103.17499 47.78499) (end 103.17499 37.87501) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 104.1 51.5) (end 104.1 48.71) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 103.17499 37.87501) (end 105.103152 35.946848) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 104.1 48.71) (end 103.17499 47.78499) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 154.4 42.18) (end 154.46 42.24) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 154.4 35.94) (end 154.4 42.18) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 109.18 51.5) (end 109.18 50.672131) (width 0.25) (layer F.Cu) (net 7)) + (via (at 107.455728 38.051071) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) + (segment (start 109.18 51.5) (end 109.18 50.150991) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 109.18 50.150991) (end 107.400022 48.371013) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 107.400022 48.371013) (end 107.400022 45.736832) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 104.42499 42.7618) (end 104.456595 42.793405) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 104.42499 39.013295) (end 104.42499 42.7618) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 105.387214 38.051071) (end 104.42499 39.013295) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 107.455728 38.051071) (end 105.387214 38.051071) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 107.400022 45.736832) (end 104.456595 42.793405) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 107.455728 38.051071) (end 109.299989 36.20681) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 109.299989 36.20681) (end 109.299989 34.799611) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 110.7 33.3996) (end 111.6866 33.3996) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 109.299989 34.799611) (end 110.7 33.3996) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 123.301986 37.600011) (end 123.826977 38.125002) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 107.906788 37.600011) (end 123.301986 37.600011) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 107.455728 38.051071) (end 107.906788 37.600011) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 123.826977 38.125002) (end 124.925014 38.125002) (width 0.25) (layer F.Cu) (net 7)) + (via (at 126.7 39.899988) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7)) + (segment (start 126.300001 39.499989) (end 126.7 39.899988) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 124.925014 38.125002) (end 126.300001 39.499989) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 127.099999 40.299987) (end 126.7 39.899988) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 127.750023 40.950011) (end 127.099999 40.299987) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 134.149989 40.950011) (end 127.750023 40.950011) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 138.034999 37.065001) (end 134.149989 40.950011) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 142.434999 37.065001) (end 138.034999 37.065001) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 153.274999 37.065001) (end 154.4 35.94) (width 0.25) (layer B.Cu) (net 7)) + (segment (start 142.434999 37.065001) (end 153.274999 37.065001) (width 0.25) (layer B.Cu) (net 7)) + (via (at 114.9876 33.100773) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8)) + (via (at 104.562653 30.737347) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8)) + (segment (start 114.9124 30) (end 114.387389 29.474989) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 114.9124 30.9866) (end 114.9124 30) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 114.387389 29.474989) (end 109.236706 29.474989) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 109.236706 29.474989) (end 107.886705 30.82499) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 107.886705 30.82499) (end 104.650296 30.82499) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 104.650296 30.82499) (end 104.562653 30.737347) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 104.562653 30.737347) (end 100.3 35) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 100.124989 35.175011) (end 100.3 35) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 116.8 53.151) (end 115.50103 54.44997) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 100.124989 42.244013) (end 100.124989 35.175011) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 115.50103 54.44997) (end 103.59997 54.44997) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 103.59997 54.44997) (end 98.10001 48.95001) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 98.10001 48.95001) (end 98.10001 44.268992) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 116.8 51.5) (end 116.8 53.151) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 98.10001 44.268992) (end 100.124989 42.244013) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 114.9124 33.025573) (end 114.9876 33.100773) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 114.9124 30.9866) (end 114.9124 33.025573) (width 0.25) (layer B.Cu) (net 8)) + (via (at 127.823772 35.180731) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 8)) + (segment (start 127.548782 34.905741) (end 127.823772 35.180731) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 122.194259 34.905741) (end 127.548782 34.905741) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 121.02498 36.07502) (end 122.194259 34.905741) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 116.17542 36.07502) (end 121.02498 36.07502) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 114.9876 33.100773) (end 114.9876 34.8872) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 114.9876 34.8872) (end 116.17542 36.07502) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 135.044989 37.515011) (end 136.62 35.94) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 128.223771 34.780732) (end 129.505734 34.780732) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 127.823772 35.180731) (end 128.223771 34.780732) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 129.505734 34.780732) (end 130.414999 35.689997) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 130.414999 35.689997) (end 130.414999 36.480001) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 130.414999 36.480001) (end 131.450009 37.515011) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 131.450009 37.515011) (end 135.044989 37.515011) (width 0.25) (layer B.Cu) (net 8)) + (segment (start 135.820001 36.739999) (end 136.62 35.94) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 136.68 42.24) (end 135.820001 41.380001) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 135.820001 41.380001) (end 135.820001 36.739999) (width 0.25) (layer F.Cu) (net 8)) + (segment (start 139.16 42.18) (end 139.22 42.24) (width 0.25) (layer F.Cu) (net 9)) + (via (at 104.783162 28.30197) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 115.6998 30.9866) (end 115.6998 30) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 108.674979 29.024979) (end 107.95197 28.30197) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 114.724779 29.024979) (end 108.674979 29.024979) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 115.6998 30) (end 114.724779 29.024979) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 107.95197 28.30197) (end 104.783162 28.30197) (width 0.25) (layer B.Cu) (net 9)) + (via (at 115.7126 32.4) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 115.6998 30.9866) (end 115.6998 32.3872) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 115.6998 32.3872) (end 115.7126 32.4) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 119.34 53.151) (end 119.34 51.5) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 117.59102 54.89998) (end 119.34 53.151) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 103.49998 54.89998) (end 117.59102 54.89998) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 103.413569 54.899979) (end 103.49998 54.89998) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 97.65 49.13641) (end 103.413569 54.899979) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 102.010133 31.074999) (end 101.055999 31.074999) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 104.783162 28.30197) (end 102.010133 31.074999) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 101.055999 31.074999) (end 100.55 31.580998) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 100.55 31.580998) (end 100.55 32.5) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 100.55 32.5) (end 97.65 35.4) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 97.65 35.4) (end 97.65 49.13641) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 115.7126 34.97579) (end 115.7126 32.4) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 116.36182 35.62501) (end 115.7126 34.97579) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 120.832359 35.62501) (end 122.001638 34.455731) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 119.47501 35.62501) (end 120.832359 35.62501) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 119.47501 35.62501) (end 116.36182 35.62501) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 122.001638 34.455731) (end 131 34.455731) (width 0.25) (layer F.Cu) (net 9)) + (via (at 132.587622 34.948055) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 131 34.455731) (end 132.095298 34.455731) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 132.095298 34.455731) (end 132.587622 34.948055) (width 0.25) (layer F.Cu) (net 9)) + (via (at 139.260148 38.385158) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 9)) + (segment (start 139.16 35.94) (end 139.16 38.28501) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 139.16 38.28501) (end 139.260148 38.385158) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 139.260148 42.199852) (end 139.22 42.24) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 139.260148 38.385158) (end 139.260148 42.199852) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 137.99501 34.77501) (end 139.16 35.94) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 136.119988 34.77501) (end 137.99501 34.77501) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 135.205001 35.689997) (end 136.119988 34.77501) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 135.205001 36.480001) (end 135.205001 35.689997) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 132.587622 35.51374) (end 132.954999 35.881117) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 132.587622 34.948055) (end 132.587622 35.51374) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 132.954999 35.881117) (end 132.954999 36.480001) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 132.954999 36.480001) (end 133.539999 37.065001) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 133.539999 37.065001) (end 134.620001 37.065001) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 134.620001 37.065001) (end 135.205001 36.480001) (width 0.25) (layer B.Cu) (net 9)) + (segment (start 141.76 36) (end 141.7 35.94) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 118.0874 30.198398) (end 116.439002 28.55) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 118.0874 30.9866) (end 118.0874 30.198398) (width 0.25) (layer B.Cu) (net 10)) + (via (at 108.7 26.150001) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10)) + (segment (start 116.439002 28.55) (end 111.099999 28.55) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 111.099999 28.55) (end 108.7 26.150001) (width 0.25) (layer B.Cu) (net 10)) + (via (at 120.976799 34.407568) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10)) + (segment (start 119.68101 55.34999) (end 121.88 53.151) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 103.227169 55.34999) (end 119.68101 55.34999) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 97.199989 32.431009) (end 97.199989 49.32281) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 98.946497 30.684501) (end 97.199989 32.431009) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 108.300001 25.750002) (end 103.899998 25.750002) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 108.7 26.150001) (end 108.300001 25.750002) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 103.899998 25.750002) (end 100.1 29.55) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 97.199989 49.32281) (end 103.227169 55.34999) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 100.1 29.55) (end 100.1 29.769002) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 121.88 53.151) (end 121.88 51.5) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 100.1 29.769002) (end 99.184501 30.684501) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 99.184501 30.684501) (end 98.946497 30.684501) (width 0.25) (layer F.Cu) (net 10)) + (via (at 132.65 33.95) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10)) + (segment (start 120.976799 34.407568) (end 121.378646 34.005721) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 132.084315 33.95) (end 132.65 33.95) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 121.378646 34.005721) (end 132.028594 34.005721) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 132.028594 34.005721) (end 132.084315 33.95) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 118.0874 30.9866) (end 118.0874 32.263091) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 120.231877 34.407568) (end 120.976799 34.407568) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 118.0874 32.263091) (end 120.231877 34.407568) (width 0.25) (layer B.Cu) (net 10)) + (via (at 141.8 38.27501) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 10)) + (segment (start 141.7 35.94) (end 141.7 38.17501) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 141.7 38.17501) (end 141.8 38.27501) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 141.8 42.2) (end 141.76 42.24) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 141.8 38.27501) (end 141.8 42.2) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 140.06 34.3) (end 141.7 35.94) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 135.95 34.3) (end 140.06 34.3) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 135.449991 34.800009) (end 135.95 34.3) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 132.65 33.95) (end 133.500009 34.800009) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 133.500009 34.800009) (end 135.449991 34.800009) (width 0.25) (layer B.Cu) (net 10)) + (segment (start 151.92 36) (end 151.86 35.94) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 151.92 42.24) (end 151.92 36) (width 0.25) (layer F.Cu) (net 11)) + (via (at 161.512653 47.087347) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 11)) + (via (at 155.3 45) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 11)) + (segment (start 159.03641 45) (end 155.3 45) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 161.512653 47.087347) (end 159.425306 45) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 159.425306 45) (end 159.03641 45) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 154.734315 45) (end 155.3 45) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 154.674566 45) (end 154.734315 45) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 152.719999 43.045433) (end 154.674566 45) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 151.92 42.24) (end 152.719999 43.039999) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 152.719999 43.039999) (end 152.719999 43.045433) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 160.946968 47.087347) (end 161.512653 47.087347) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 158.76599 49.268325) (end 160.946968 47.087347) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 158.76599 53.541879) (end 158.76599 49.268325) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 127.26503 55.26503) (end 157.042839 55.26503) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 157.042839 55.26503) (end 158.76599 53.541879) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 124.42 53.151) (end 124.42 51.5) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 127.26503 55.26503) (end 126.53403 55.26503) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 126.53403 55.26503) (end 124.42 53.151) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 124.42 51.5) (end 124.42 49.849) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 123.815325 48.105325) (end 114.599125 48.105325) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 124.42 51.5) (end 124.42 48.71) (width 0.25) (layer F.Cu) (net 11)) + (via (at 113.9469 47.4531) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 11)) + (segment (start 114.346899 47.853099) (end 113.9469 47.4531) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 114.599125 48.105325) (end 114.346899 47.853099) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 124.42 48.71) (end 123.815325 48.105325) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 112.85 46.8) (end 113.5031 47.4531) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 112.827008 46.80001) (end 112.827018 46.8) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 112.827018 46.8) (end 112.85 46.8) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 111.009402 36.6) (end 109.149967 38.459435) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 109.149967 38.459435) (end 109.149967 44.832046) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 113.5031 47.4531) (end 113.9469 47.4531) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 111.6866 36.6) (end 111.009402 36.6) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 111.117931 46.80001) (end 112.827008 46.80001) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 110.833961 46.516039) (end 111.117931 46.80001) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 109.149967 44.832046) (end 110.833961 46.516039) (width 0.25) (layer B.Cu) (net 11)) + (segment (start 149.32 42.18) (end 149.38 42.24) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 150.179999 43.039999) (end 149.38 42.24) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 126.96 51.5) (end 126.96 53.151) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 128.62402 54.81502) (end 155.064829 54.81502) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 150.839912 43.699912) (end 150.179999 43.039999) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 151.799912 43.699912) (end 150.839912 43.699912) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 155.064829 54.81502) (end 156.11401 53.765839) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 126.96 53.151) (end 128.62402 54.81502) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 156.11401 53.765839) (end 156.11401 48.01401) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 156.11401 48.01401) (end 151.799912 43.699912) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 110.7 38.9876) (end 110.049989 39.637611) (width 0.25) (layer B.Cu) (net 12)) + (via (at 112.5 45.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 125.713643 48.250055) (end 126.500055 48.250055) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 124.668891 47.205303) (end 125.713643 48.250055) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 111.6866 38.9876) (end 110.7 38.9876) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 110.049989 39.637611) (end 110.049989 44.372993) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 110.049989 44.372993) (end 111.276996 45.6) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 111.276996 45.6) (end 111.934315 45.6) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 115.360832 47.205303) (end 124.668891 47.205303) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 111.934315 45.6) (end 112.5 45.6) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 126.96 48.71) (end 126.96 51.5) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 126.500055 48.250055) (end 126.96 48.71) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 113.75553 45.6) (end 115.360832 47.205303) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 112.5 45.6) (end 113.75553 45.6) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 149.32 35.94) (end 149.32 39.580002) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 149.38 42.24) (end 149.38 39.640002) (width 0.25) (layer B.Cu) (net 12)) + (segment (start 149.38 39.640002) (end 149.32 39.580002) (width 0.25) (layer B.Cu) (net 12)) + (via (at 149.32 39.580002) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 12)) + (segment (start 144.24 42.18) (end 144.3 42.24) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 129.5 53.151) (end 129.5 51.5) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 130.71401 54.36501) (end 129.5 53.151) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 146.26 44.2) (end 151.036411 44.2) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 144.3 42.24) (end 146.26 44.2) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 151.036411 44.2) (end 153.57401 46.737599) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 153.57401 46.737599) (end 153.57401 53.653859) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 153.57401 53.653859) (end 152.862859 54.36501) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 152.862859 54.36501) (end 130.71401 54.36501) (width 0.25) (layer F.Cu) (net 13)) + (via (at 144.25 38.499999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13)) + (segment (start 144.24 35.94) (end 144.24 38.489999) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 144.24 38.489999) (end 144.25 38.499999) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 144.25 42.19) (end 144.3 42.24) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 144.25 38.499999) (end 144.25 42.19) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 113.3122 43.883849) (end 113.543884 44.115533) (width 0.25) (layer B.Cu) (net 13)) + (via (at 113.543884 44.115533) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 13)) + (segment (start 113.543884 44.115533) (end 115.733632 46.305281) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 129.5 48.71) (end 129.5 51.5) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 115.733632 46.305281) (end 125.041691 46.305281) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 113.3122 42.2134) (end 113.3122 43.883849) (width 0.25) (layer B.Cu) (net 13)) + (segment (start 128.140033 47.350033) (end 129.5 48.71) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 126.086443 47.350033) (end 128.140033 47.350033) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 125.041691 46.305281) (end 126.086443 47.350033) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 146.84 36) (end 146.78 35.94) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 139.124971 46.4) (end 139.2 46.475029) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 132.04 51.5) (end 132.04 47.586998) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 135.248044 46.475042) (end 138.634315 46.475042) (width 0.25) (layer F.Cu) (net 14)) + (via (at 139.2 46.475042) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14)) + (segment (start 146.225002 46.8) (end 140.090643 46.8) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 138.634315 46.475042) (end 139.2 46.475042) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 146.84 42.24) (end 146.84 46.185002) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 133.926998 45.7) (end 134.473002 45.7) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 134.473002 45.7) (end 135.248044 46.475042) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 140.090643 46.8) (end 139.765685 46.475042) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 139.765685 46.475042) (end 139.2 46.475042) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 146.84 46.185002) (end 146.225002 46.8) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 132.04 47.586998) (end 133.926998 45.7) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 139.2 46.475029) (end 139.2 46.475042) (width 0.25) (layer F.Cu) (net 14)) + (via (at 115.576989 44.097999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14)) + (segment (start 115.576989 44.174991) (end 115.576989 44.097999) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 116.807257 45.405259) (end 115.576989 44.174991) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 125.414491 45.405259) (end 116.807257 45.405259) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 126.459243 46.450011) (end 125.414491 45.405259) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 130.903013 46.450011) (end 126.459243 46.450011) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 132.04 47.586998) (end 130.903013 46.450011) (width 0.25) (layer F.Cu) (net 14)) + (via (at 146.85 38.499999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 14)) + (segment (start 146.78 35.94) (end 146.78 38.429999) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 146.78 38.429999) (end 146.85 38.499999) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 146.84 41.10863) (end 146.84 42.24) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 146.85 38.499999) (end 146.85 41.09863) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 146.85 41.09863) (end 146.84 41.10863) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 114.9124 43.43341) (end 115.17699 43.698) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 114.9124 42.2134) (end 114.9124 43.43341) (width 0.25) (layer B.Cu) (net 14)) + (segment (start 115.17699 43.698) (end 115.576989 44.097999) (width 0.25) (layer B.Cu) (net 14)) + (via (at 116.576998 44.100004) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15)) + (segment (start 135.17907 45.750032) (end 134.342355 44.913317) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 134.342355 44.913317) (end 133.386683 44.913317) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 133.386683 44.913317) (end 132.3 46) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 132.3 46) (end 126.645643 46) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 125.600891 44.955248) (end 117.432242 44.955248) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 117.432242 44.955248) (end 116.976997 44.500003) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 116.976997 44.500003) (end 116.576998 44.100004) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 126.645643 46) (end 125.600891 44.955248) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 140.641032 45.750032) (end 135.17907 45.750032) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 144.74 49.849) (end 140.641032 45.750032) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 144.74 51.5) (end 144.74 49.849) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 116.5126 44.035606) (end 116.576998 44.100004) (width 0.25) (layer B.Cu) (net 15)) + (segment (start 116.5126 42.2134) (end 116.5126 44.035606) (width 0.25) (layer B.Cu) (net 15)) + (via (at 104.699981 33.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16)) + (segment (start 107.811715 33.25) (end 104.699981 33.25) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 111.136716 29.924999) (end 107.811715 33.25) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 114.024599 29.924999) (end 111.136716 29.924999) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 114.0996 30.9866) (end 114.0996 30) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 114.0996 30) (end 114.024599 29.924999) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 102.72498 35.225001) (end 102.72498 35.22502) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 104.699981 33.25) (end 102.72498 35.225001) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 104.1 51.5) (end 104.1 46.593805) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 104.1 46.593805) (end 102.353098 44.846902) (width 0.25) (layer B.Cu) (net 16)) + (segment (start 102.72498 35.225001) (end 102.72498 38.932347) (width 0.25) (layer F.Cu) (net 16)) + (via (at 102.353098 44.846902) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16)) + (segment (start 102.72498 43.909335) (end 102.72498 38.932347) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 102.353098 44.846902) (end 102.353098 44.281217) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 102.353098 44.281217) (end 102.72498 43.909335) (width 0.25) (layer F.Cu) (net 16)) + (via (at 135.5 28.05) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 136.860155 29.410155) (end 135.5 28.05) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 138.033155 29.410155) (end 136.860155 29.410155) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 139.22 27) (end 139.22 28.22331) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 139.22 28.22331) (end 138.033155 29.410155) (width 0.25) (layer B.Cu) (net 17)) + (via (at 131.85 28) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 135.5 28.05) (end 131.9 28.05) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 131.9 28.05) (end 131.85 28) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 105.42599 54.36501) (end 106.64 53.151) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 103.11501 54.36501) (end 105.42599 54.36501) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 98.02501 49.27501) (end 103.11501 54.36501) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 104.754003 17.614999) (end 98.02501 24.343992) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 131.65 27.8) (end 131.65 23.15) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 131.85 28) (end 131.65 27.8) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 98.02501 24.343992) (end 98.02501 49.27501) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 131.65 23.15) (end 130.3 21.8) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 106.64 53.151) (end 106.64 51.5) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 130.3 21.8) (end 130.3 19.6) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 130.3 19.6) (end 128.314999 17.614999) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 128.314999 17.614999) (end 104.754003 17.614999) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 121.88 48.71) (end 121.88 51.5) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 118.9002 42.2134) (end 118.9002 44.36379) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 121.88 47.34359) (end 121.88 48.71) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 118.9002 44.36379) (end 121.88 47.34359) (width 0.25) (layer B.Cu) (net 18)) + (segment (start 124.42 51.5) (end 124.42 53.151) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 124.42 51.5) (end 123.281 51.5) (width 0.25) (layer B.Cu) (net 19)) + (via (at 123.15106 49.069954) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 19)) + (segment (start 123.15106 51.37006) (end 123.15106 49.069954) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 123.281 51.5) (end 123.15106 51.37006) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 123.15106 49.069954) (end 122.636442 48.555336) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 112.799999 47.949999) (end 112.4 47.55) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 122.636442 48.555336) (end 113.405336 48.555336) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 113.405336 48.555336) (end 112.799999 47.949999) (width 0.25) (layer F.Cu) (net 19)) + (via (at 112.4 47.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 19)) + (segment (start 109.75 37.222991) (end 108.699956 38.273035) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 109.75 35.9498) (end 109.75 37.222991) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 108.699956 38.273035) (end 108.699956 45.115641) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 111.6866 34.9998) (end 110.7 34.9998) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 110.7 34.9998) (end 109.75 35.9498) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 111.834315 47.55) (end 112.4 47.55) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 108.699956 45.115641) (end 111.134315 47.55) (width 0.25) (layer B.Cu) (net 19)) + (segment (start 111.134315 47.55) (end 111.834315 47.55) (width 0.25) (layer B.Cu) (net 19)) + (via (at 125.69 49.071682) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20)) + (segment (start 125.69 49.071682) (end 125.69 51.369) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 125.69 51.369) (end 125.821 51.5) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 126.96 51.5) (end 125.821 51.5) (width 0.25) (layer B.Cu) (net 20)) + (via (at 113.45 46.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 20)) + (segment (start 124.273632 47.655314) (end 115.174432 47.655314) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 113.869118 46.35) (end 113.45 46.35) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 125.69 49.071682) (end 124.273632 47.655314) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 115.174432 47.655314) (end 113.869118 46.35) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 112.884315 46.35) (end 113.45 46.35) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 110.858425 37.3874) (end 109.599978 38.645847) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 109.599978 38.645847) (end 109.599978 44.645647) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 111.6866 37.3874) (end 110.858425 37.3874) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 111.304331 46.35) (end 112.884315 46.35) (width 0.25) (layer B.Cu) (net 20)) + (segment (start 109.599978 44.645647) (end 111.304331 46.35) (width 0.25) (layer B.Cu) (net 20)) + (via (at 128.23 49.071682) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) + (segment (start 128.361 51.5) (end 128.23 51.369) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 129.5 51.5) (end 128.361 51.5) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 128.23 51.369) (end 128.23 49.637367) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 128.23 49.637367) (end 128.23 49.071682) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 110.5 40.0004) (end 110.5 43.49924) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 110.5 43.49924) (end 111.445063 44.444303) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 124.855291 46.755292) (end 115.547232 46.755292) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 128.23 49.071682) (end 126.958362 47.800044) (width 0.25) (layer F.Cu) (net 21)) + (via (at 111.845062 44.844302) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 21)) + (segment (start 111.6866 39.8004) (end 110.7 39.8004) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 110.7 39.8004) (end 110.5 40.0004) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 111.445063 44.444303) (end 111.845062 44.844302) (width 0.25) (layer B.Cu) (net 21)) + (segment (start 126.958362 47.800044) (end 125.900043 47.800044) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 125.900043 47.800044) (end 124.855291 46.755292) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 115.547232 46.755292) (end 113.636242 44.844302) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 113.636242 44.844302) (end 112.410747 44.844302) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 112.410747 44.844302) (end 111.845062 44.844302) (width 0.25) (layer F.Cu) (net 21)) + (via (at 130.763158 49.060594) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 132.04 51.5) (end 130.901 51.5) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 130.763158 51.362158) (end 130.763158 49.626279) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 130.901 51.5) (end 130.763158 51.362158) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 130.763158 49.626279) (end 130.763158 49.060594) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 130.763158 49.060594) (end 128.602586 46.900022) (width 0.25) (layer F.Cu) (net 22)) + (via (at 114.564332 44.110662) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 128.602586 46.900022) (end 126.272843 46.900022) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 116.30894 45.85527) (end 114.964331 44.510661) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 126.272843 46.900022) (end 125.228091 45.85527) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 125.228091 45.85527) (end 116.30894 45.85527) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 114.964331 44.510661) (end 114.564332 44.110662) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 114.0996 43.64593) (end 114.164333 43.710663) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 114.164333 43.710663) (end 114.564332 44.110662) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 114.0996 42.2134) (end 114.0996 43.64593) (width 0.25) (layer B.Cu) (net 22)) + (segment (start 120.5004 43.2004) (end 120.5004 43.2) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 125.635594 48.335594) (end 120.5004 43.2004) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 132.416948 48.335594) (end 125.635594 48.335594) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 134.58 51.5) (end 134.58 50.498646) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 134.58 50.498646) (end 132.416948 48.335594) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 120.5004 43.2) (end 120.5004 42.2134) (width 0.25) (layer B.Cu) (net 23)) + (segment (start 158.561357 45.8) (end 158.5 45.8) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 149.82 51.5) (end 149.82 48.71) (width 0.25) (layer F.Cu) (net 24)) + (via (at 158.394436 45.774946) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 157.5 30.04) (end 157.5 30.3) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 154.46 27) (end 157.5 30.04) (width 0.25) (layer B.Cu) (net 24)) + (via (at 157.5 30.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 160.8 43.369382) (end 158.394436 45.774946) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 160.8 33.6) (end 160.8 36.6) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 157.5 30.3) (end 160.8 33.6) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 161.3 37.1) (end 161.3 41.2) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 160.8 36.6) (end 161.3 37.1) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 161.3 41.2) (end 160.8 41.7) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 160.8 41.7) (end 160.8 43.369382) (width 0.25) (layer F.Cu) (net 24)) + (via (at 150.7 45.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 151.224946 45.774946) (end 151.099999 45.649999) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 151.099999 45.649999) (end 150.7 45.25) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 158.394436 45.774946) (end 151.224946 45.774946) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 150.7 45.815685) (end 150.7 45.25) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 150.7 47.83) (end 150.7 45.815685) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 149.82 48.71) (end 150.7 47.83) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 118.0874 44.08737) (end 118.1 44.09997) (width 0.25) (layer B.Cu) (net 24)) + (segment (start 118.0874 42.2134) (end 118.0874 44.08737) (width 0.25) (layer B.Cu) (net 24)) + (via (at 118.1 44.09997) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 24)) + (segment (start 133.200283 44.463306) (end 132.1136 45.549989) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 135.36547 45.300021) (end 134.528755 44.463306) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 149.82 48.71) (end 146.410021 45.300021) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 134.528755 44.463306) (end 133.200283 44.463306) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 146.410021 45.300021) (end 135.36547 45.300021) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 132.1136 45.549989) (end 126.832043 45.549989) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 126.832043 45.549989) (end 125.787291 44.505237) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 118.505267 44.505237) (end 118.1 44.09997) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 125.787291 44.505237) (end 118.505267 44.505237) (width 0.25) (layer F.Cu) (net 24)) + (via (at 161.7 48.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) + (segment (start 162.11 48.3) (end 161.7 48.3) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 162.52 51.5) (end 162.52 48.71) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 162.52 48.71) (end 162.11 48.3) (width 0.25) (layer F.Cu) (net 25)) + (via (at 149.45 45.249998) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) + (segment (start 161.7 48.3) (end 160.024966 46.624966) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 160.024966 46.624966) (end 150.824968 46.624966) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 150.824968 46.624966) (end 149.849999 45.649997) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 149.849999 45.649997) (end 149.45 45.249998) (width 0.25) (layer B.Cu) (net 25)) + (via (at 126.977605 44.651194) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 25)) + (segment (start 127.377604 45.051193) (end 126.977605 44.651194) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 127.426389 45.099978) (end 127.377604 45.051193) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 149.45 45.249998) (end 149.050001 44.849999) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 149.050001 44.849999) (end 135.551859 44.849999) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 135.551859 44.849999) (end 134.715155 44.013295) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 133.013883 44.013295) (end 131.9272 45.099978) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 131.9272 45.099978) (end 127.426389 45.099978) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 134.715155 44.013295) (end 133.013883 44.013295) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 126.977605 44.085509) (end 126.977605 44.651194) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 126.977605 43.398931) (end 126.977605 44.085509) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 125.350837 41.772163) (end 126.977605 43.398931) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 125.350837 36.900837) (end 125.350837 41.772163) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 124.2626 35.8126) (end 125.350837 36.900837) (width 0.25) (layer B.Cu) (net 25)) + (segment (start 122.9134 35.8126) (end 124.2626 35.8126) (width 0.25) (layer B.Cu) (net 25)) + (via (at 158.4 37.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26)) + (via (at 170.1 48.125) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26)) + (segment (start 170.1 51.46) (end 170.14 51.5) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 170.1 48.125) (end 170.1 51.46) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 158.354999 35.456677) (end 154.49916 31.600838) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 158.4 37.134315) (end 158.354999 37.089314) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 154.49916 31.600838) (end 154.099161 31.200839) (width 0.25) (layer F.Cu) (net 26)) + (via (at 154.099161 31.200839) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 26)) + (segment (start 158.354999 37.089314) (end 158.354999 35.456677) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 158.4 37.7) (end 158.4 37.134315) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 149.38 27) (end 153.580839 31.200839) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 153.580839 31.200839) (end 154.099161 31.200839) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 169.534315 48.125) (end 170.1 48.125) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 160.954999 44.540001) (end 164.539998 48.125) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 164.539998 48.125) (end 169.534315 48.125) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 160.954999 40.897476) (end 160.954999 44.540001) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 158.954989 38.897466) (end 160.954999 40.897476) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 158.954989 38.254989) (end 158.954989 38.897466) (width 0.25) (layer B.Cu) (net 26)) + (segment (start 158.4 37.7) (end 158.954989 38.254989) (width 0.25) (layer B.Cu) (net 26)) + (via (at 166.203614 44.193989) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 27)) + (segment (start 166.203614 44.193989) (end 169.712991 44.193989) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 172.68 47.160998) (end 172.68 51.5) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 171.409501 45.890499) (end 172.68 47.160998) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 169.712991 44.193989) (end 171.409501 45.890499) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 149.825001 32.525001) (end 162.810003 32.525001) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 165.803615 43.79399) (end 166.203614 44.193989) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 162.810003 32.525001) (end 165.745001 35.459999) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 165.745001 43.735376) (end 165.803615 43.79399) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 144.3 27) (end 149.825001 32.525001) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 165.745001 35.459999) (end 165.745001 43.735376) (width 0.25) (layer B.Cu) (net 27)) + (segment (start 159.64 27) (end 159.54 27) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 161.74 29.1) (end 159.64 27) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 172.18 35.94) (end 165.34 29.1) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 165.34 29.1) (end 161.74 29.1) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 152.36 53.151) (end 152.36 51.5) (width 0.25) (layer B.Cu) (net 28)) + (via (at 171.5 54.425011) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 28)) + (segment (start 175.260001 34.814999) (end 173.305001 34.814999) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 175.845001 35.399999) (end 175.260001 34.814999) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 171.899999 54.82501) (end 173.37499 54.82501) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 171.5 54.425011) (end 171.899999 54.82501) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 173.37499 54.82501) (end 174.1 54.1) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 173.305001 34.814999) (end 172.18 35.94) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 174.1 54.1) (end 174.1 38.225002) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 174.1 38.225002) (end 175.845001 36.480001) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 175.845001 36.480001) (end 175.845001 35.399999) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 171.225011 54.7) (end 171.5 54.425011) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 152.36 53.151) (end 153.909 54.7) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 153.909 54.7) (end 171.225011 54.7) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 117.3 43.2) (end 117.301999 43.201999) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 117.3 42.2134) (end 117.3 43.2) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 121.653319 54.653319) (end 150.857681 54.653319) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 150.857681 54.653319) (end 152.36 53.151) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 120.55401 53.55401) (end 121.653319 54.653319) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 120.55401 47.626998) (end 120.55401 53.55401) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 117.301999 44.374987) (end 120.55401 47.626998) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 117.301999 43.201999) (end 117.301999 44.374987) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 136.617141 48.634991) (end 153.685991 48.634991) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 135.502001 48.346666) (end 136.328818 48.346667) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 132.603348 47.885583) (end 133.292799 48.575034) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 136.328818 48.346667) (end 136.35353 48.37138) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 153.685991 48.634991) (end 154.9 49.849) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 154.9 49.849) (end 154.9 51.5) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 136.35353 48.37138) (end 136.617141 48.634991) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 135.273633 48.575034) (end 135.502001 48.346666) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 133.292799 48.575034) (end 135.273633 48.575034) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 125.821993 47.885583) (end 132.603348 47.885583) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 121.2878 43.35139) (end 125.821993 47.885583) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 121.2878 42.2134) (end 121.2878 43.35139) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 133.479199 48.125023) (end 135.087233 48.125023) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 126.008392 47.435572) (end 132.789748 47.435572) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 155.77598 48.18498) (end 157.44 49.849) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 132.789748 47.435572) (end 133.479199 48.125023) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 136.515216 47.896655) (end 136.803541 48.18498) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 157.44 49.849) (end 157.44 51.5) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 135.087233 48.125023) (end 135.315601 47.896655) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 135.315601 47.896655) (end 136.515216 47.896655) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 136.803541 48.18498) (end 155.77598 48.18498) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 122.9134 40.5878) (end 122.9134 44.34058) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 122.9134 44.34058) (end 126.008392 47.435572) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 134.900833 47.675012) (end 135.129197 47.446648) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 136.72633 47.47136) (end 136.989941 47.734971) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 136.989941 47.734971) (end 157.865971 47.734971) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 159.98 49.849) (end 159.98 51.5) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 136.701619 47.446648) (end 136.72633 47.47136) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 157.865971 47.734971) (end 159.98 49.849) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 122.9134 38.9876) (end 123.9 38.9876) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 123.9 38.9876) (end 124.449978 39.537578) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 124.449978 39.537578) (end 124.449978 42.144126) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 124.449978 42.144126) (end 125.766178 43.460326) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 133.665599 47.675012) (end 134.900833 47.675012) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 135.129197 47.446648) (end 136.701619 47.446648) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 132.976146 46.98556) (end 133.665599 47.675012) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 127.14915 46.98556) (end 132.976146 46.98556) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 125.766178 45.602589) (end 127.14915 46.98556) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 125.766178 43.460326) (end 125.766178 45.602589) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 137.176341 47.28496) (end 159.611958 47.28496) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 159.611958 47.28496) (end 162.52 50.193002) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 162.52 50.193002) (end 162.52 51.5) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 133.162547 46.53555) (end 133.851999 47.225001) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 134.714433 47.225001) (end 134.942797 46.996637) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 134.942797 46.996637) (end 136.888018 46.996637) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 136.888018 46.996637) (end 137.176341 47.28496) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 127.335549 46.535549) (end 133.162547 46.53555) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 133.851999 47.225001) (end 134.714433 47.225001) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 126.216189 45.416189) (end 127.335549 46.535549) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 126.216189 43.273926) (end 126.216189 45.416189) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 124.899989 41.957726) (end 126.216189 43.273926) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 124.899989 37.086399) (end 124.899989 41.957726) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 124.41359 36.6) (end 124.899989 37.086399) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 122.9134 36.6) (end 124.41359 36.6) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 157.699999 38.199999) (end 157.3 37.8) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 170.14 51.5) (end 170.14 49.849) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 158.414999 42.780001) (end 158.414999 38.914999) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 164.234998 48.6) (end 158.414999 42.780001) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 168.891 48.6) (end 164.234998 48.6) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 170.14 49.849) (end 168.891 48.6) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 158.414999 38.914999) (end 157.699999 38.199999) (width 0.25) (layer B.Cu) (net 33)) + (via (at 157.3 37.8) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33)) + (segment (start 146.84 27) (end 151.14 31.3) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 155.525001 36.590686) (end 155.525001 34.725001) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 157.3 37.8) (end 156.734315 37.8) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 151.534315 31.3) (end 152.1 31.3) (width 0.25) (layer B.Cu) (net 33)) + (via (at 152.1 31.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 33)) + (segment (start 155.525001 34.725001) (end 152.499999 31.699999) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 152.499999 31.699999) (end 152.1 31.3) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 156.734315 37.8) (end 155.525001 36.590686) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 151.14 31.3) (end 151.534315 31.3) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 172.68 48.68) (end 172.68 51.5) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 171.4 47.4) (end 172.68 48.68) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 165.964999 46.464001) (end 166.900998 47.4) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 165.964999 44.979997) (end 165.964999 46.464001) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 165.710001 44.724999) (end 165.964999 44.979997) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 147.76 33) (end 161 33) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 166.900998 47.4) (end 171.4 47.4) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 141.76 27) (end 147.76 33) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 161 33) (end 163.434999 35.434999) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 163.434999 35.434999) (end 163.434999 43.234999) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 163.434999 43.234999) (end 164.924999 44.724999) (width 0.25) (layer B.Cu) (net 34)) + (segment (start 164.924999 44.724999) (end 165.710001 44.724999) (width 0.25) (layer B.Cu) (net 34)) + (via (at 111.3 41.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35)) + (segment (start 111.6866 40.5878) (end 111.6866 40.9634) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 111.6866 40.9634) (end 111.3 41.35) (width 0.25) (layer B.Cu) (net 35)) + (via (at 136.85 40.55) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35)) + (segment (start 148.15001 39.675) (end 147.975009 39.850001) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 147.975009 39.850001) (end 147.57501 40.25) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 148.18 39.675) (end 148.15001 39.675) (width 0.25) (layer B.Cu) (net 35)) + (via (at 147.57501 40.25) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35)) + (via (at 126.075838 41.424162) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 35)) + (segment (start 123.640577 38.575013) (end 124.140698 38.575013) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 136.85 40.55) (end 136.284315 40.55) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 136.284315 40.55) (end 134.971655 41.86266) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 134.971655 41.86266) (end 126.514336 41.86266) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 126.475837 41.824161) (end 126.075838 41.424162) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 114.599978 38.050022) (end 123.115586 38.050022) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 126.514336 41.86266) (end 126.475837 41.824161) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 126.075838 40.858477) (end 126.075838 41.424162) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 126.075838 40.510153) (end 126.075838 40.858477) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 124.140698 38.575013) (end 126.075838 40.510153) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 123.115586 38.050022) (end 123.640577 38.575013) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 111.3 41.35) (end 114.599978 38.050022) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 155.5 40.275) (end 155.5 40.9) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 155.585001 42.780001) (end 155.000001 43.365001) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 150.045001 40.894999) (end 150.045001 39.171999) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 150.505001 41.354999) (end 150.045001 40.894999) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 150.505001 42.490003) (end 150.505001 41.354999) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 151.379999 43.365001) (end 150.505001 42.490003) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 155.000001 43.365001) (end 151.379999 43.365001) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 155.585001 40.985001) (end 155.585001 42.780001) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 155.5 40.9) (end 155.585001 40.985001) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 149.668001 38.794999) (end 148.971999 38.794999) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 148.18 39.586998) (end 148.18 39.675) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 148.971999 38.794999) (end 148.18 39.586998) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 150.045001 39.171999) (end 149.668001 38.794999) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 146.7 40.55) (end 147 40.25) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 136.85 40.55) (end 146.7 40.55) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 147 40.25) (end 147.57501 40.25) (width 0.25) (layer F.Cu) (net 35)) + (via (at 113 36.15) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 111.6866 35.8126) (end 112.6626 35.8126) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 112.6626 35.8126) (end 113 36.15) (width 0.25) (layer B.Cu) (net 36)) + (via (at 142.525 39.825) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 143.1 39.675) (end 142.675 39.675) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 142.675 39.675) (end 142.525 39.825) (width 0.25) (layer B.Cu) (net 36)) + (via (at 136.545001 37.404287) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 142.525 39.825) (end 138.965714 39.825) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 138.965714 39.825) (end 136.545001 37.404287) (width 0.25) (layer F.Cu) (net 36)) + (via (at 126.700003 36.549999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 113 36.15) (end 113.399999 36.549999) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 113.399999 36.549999) (end 126.700003 36.549999) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 126.700003 37.115684) (end 126.700003 36.549999) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 126.700003 38.756412) (end 126.700003 37.115684) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 128.443591 40.5) (end 126.700003 38.756412) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 133.449288 40.5) (end 128.443591 40.5) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 136.545001 37.404287) (end 133.449288 40.5) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 142.924999 39.425001) (end 148.091997 39.425001) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 142.525 39.825) (end 142.924999 39.425001) (width 0.25) (layer F.Cu) (net 36)) + (via (at 150.6 38.6) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 148.091997 39.425001) (end 148.971999 40.305003) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 148.971999 40.305003) (end 149.668001 40.305003) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 149.668001 40.305003) (end 150.6 39.373004) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 150.6 39.373004) (end 150.6 38.6) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 151.425 38.6) (end 151.5 38.525) (width 0.25) (layer B.Cu) (net 36)) + (segment (start 150.6 38.6) (end 151.425 38.6) (width 0.25) (layer B.Cu) (net 36)) + (via (at 140.652458 41.285328) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) + (segment (start 140.652458 39.767458) (end 140.56 39.675) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 140.652458 41.285328) (end 140.652458 39.767458) (width 0.25) (layer B.Cu) (net 37)) + (via (at 129.559258 43.675053) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) + (via (at 131.777115 43.407017) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) + (segment (start 131.534165 43.649967) (end 131.777115 43.407017) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 132.177114 43.007018) (end 131.777115 43.407017) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 130.124943 43.675053) (end 130.150029 43.649967) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 130.150029 43.649967) (end 131.534165 43.649967) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 129.559258 43.675053) (end 130.124943 43.675053) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 139.760002 43.365) (end 136.165 43.365) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 140.634999 42.490003) (end 139.760002 43.365) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 140.634999 41.868472) (end 140.634999 42.490003) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 140.652458 41.851013) (end 140.634999 41.868472) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 140.652458 41.285328) (end 140.652458 41.851013) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 124.641169 43.380236) (end 125.041168 43.780235) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 123.975001 42.714068) (end 124.641169 43.380236) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 123.975001 39.875401) (end 123.975001 42.714068) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 123.9 39.8004) (end 123.975001 39.875401) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 122.9134 39.8004) (end 123.9 39.8004) (width 0.25) (layer B.Cu) (net 37)) + (segment (start 125.606853 43.780235) (end 125.041168 43.780235) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 125.735429 43.908811) (end 125.606853 43.780235) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 127.28919 43.908811) (end 125.735429 43.908811) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 127.905374 44.524995) (end 127.28919 43.908811) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 128.709316 44.524995) (end 127.905374 44.524995) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 129.559258 43.675053) (end 128.709316 44.524995) (width 0.25) (layer F.Cu) (net 37)) + (via (at 125.041168 43.780235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 37)) + (segment (start 135.807018 43.007018) (end 136.165 43.365) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 132.177114 43.007018) (end 135.807018 43.007018) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 125.924996 36.201998) (end 125.924996 40.248) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 125.924996 40.248) (end 127.077018 41.400022) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 127.077018 41.400022) (end 134.336389 41.400022) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 134.336389 41.400022) (end 136.061411 39.675) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 137.27 39.675) (end 138.02 39.675) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 136.061411 39.675) (end 137.27 39.675) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 124.722798 34.9998) (end 125.924996 36.201998) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 122.9134 34.9998) (end 124.722798 34.9998) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 154.30001 39.47499) (end 153.5 40.275) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 154.30001 38.0045) (end 154.30001 39.47499) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 138.02 38.6) (end 139.06999 37.55001) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 138.02 39.675) (end 138.02 38.6) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 153.84552 37.55001) (end 154.30001 38.0045) (width 0.25) (layer B.Cu) (net 38)) + (segment (start 139.06999 37.55001) (end 153.84552 37.55001) (width 0.25) (layer B.Cu) (net 38)) + (via (at 158.5 29.1) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 39)) + (segment (start 158.474966 29.074966) (end 158.5 29.1) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 156.725034 29.074966) (end 158.474966 29.074966) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 156.725034 29.074966) (end 158.374966 29.074966) (width 0.25) (layer F.Cu) (net 39)) + (via (at 163 30.9) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 39)) + (segment (start 160.3 30.9) (end 162.434315 30.9) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 162.434315 30.9) (end 163 30.9) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 158.5 29.1) (end 160.3 30.9) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 173.594999 37.065001) (end 171.350001 37.065001) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 174.72 35.94) (end 173.594999 37.065001) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 163.565685 30.9) (end 163 30.9) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 171.350001 37.065001) (end 170.925 36.64) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 170.925 35.559998) (end 166.265002 30.9) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 170.925 36.64) (end 170.925 35.559998) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 166.265002 30.9) (end 163.565685 30.9) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 137.85006 30.399944) (end 137.300003 30.950001) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 137.300003 30.950001) (end 136.900004 31.35) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 149.805689 30.399944) (end 137.85006 30.399944) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 151.130667 29.074966) (end 149.805689 30.399944) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 156.725034 29.074966) (end 151.130667 29.074966) (width 0.25) (layer F.Cu) (net 39)) + (via (at 136.900004 31.35) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 39)) + (segment (start 136.334319 31.35) (end 136.900004 31.35) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 135.525001 32.159318) (end 136.334319 31.35) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 122.3012 32) (end 133.12282 32) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 121.2878 30.9866) (end 122.3012 32) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 133.12282 32) (end 134.522819 33.399999) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 134.522819 33.399999) (end 134.940769 33.399999) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 134.940769 33.399999) (end 135.525001 32.815766) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 135.525001 32.815766) (end 135.525001 32.159318) (width 0.25) (layer B.Cu) (net 39)) + (segment (start 169.7 42.24) (end 169.7 42.34) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 169.8 42.14) (end 169.7 42.24) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 169.8 40.275) (end 169.8 42.14) (width 0.4) (layer B.Cu) (net 63)) + (via (at 134.7 25.7) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 63)) + (segment (start 133 25.7) (end 134.7 25.7) (width 0.4) (layer F.Cu) (net 63)) + (segment (start 168.391371 44.679999) (end 168.360001 44.679999) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 169.7 42.24) (end 169.7 43.37137) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 169.7 43.37137) (end 168.391371 44.679999) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 168.360001 44.679999) (end 167.14 45.9) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 163.684004 30.1) (end 168.360001 34.775997) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 160.863998 30.1) (end 163.684004 30.1) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 158.339999 27.576001) (end 160.863998 30.1) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 168.360001 34.775997) (end 168.360001 44.679999) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 134.7 25.7) (end 157.5 25.7) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 157.5 25.7) (end 158.339999 26.539999) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 158.339999 26.539999) (end 158.339999 27.576001) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 171.015 40.925) (end 169.7 42.24) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 172.8 40.925) (end 171.015 40.925) (width 0.4) (layer B.Cu) (net 63)) + (via (at 134.6 30.683631) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 63)) + (segment (start 134.583631 30.7) (end 134.6 30.683631) (width 0.4) (layer F.Cu) (net 63)) + (segment (start 134.7 30.583631) (end 134.6 30.683631) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 133.1 30.7) (end 134.583631 30.7) (width 0.4) (layer F.Cu) (net 63)) + (segment (start 134.7 25.7) (end 134.7 30.583631) (width 0.4) (layer B.Cu) (net 63)) + (segment (start 149.992089 30.849955) (end 139.068736 30.849955) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 162.02 35.94) (end 162.02 33.646996) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 151.317067 29.524977) (end 149.992089 30.849955) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 157.897981 29.524977) (end 151.317067 29.524977) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 162.02 33.646996) (end 157.897981 29.524977) (width 0.25) (layer F.Cu) (net 41)) + (via (at 136.783372 32.391943) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 41)) + (segment (start 139.068736 30.849955) (end 137.526748 32.391943) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 137.526748 32.391943) (end 136.783372 32.391943) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 135.127168 33.85001) (end 134.33642 33.85001) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 136.383373 32.791942) (end 136.185236 32.791942) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 136.783372 32.391943) (end 136.383373 32.791942) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 134.33642 33.85001) (end 133.09861 32.6122) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 136.185236 32.791942) (end 135.127168 33.85001) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 123.9 32.6122) (end 122.9134 32.6122) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 133.09861 32.6122) (end 123.9 32.6122) (width 0.25) (layer B.Cu) (net 41)) + (segment (start 150.226998 32.1) (end 143.334315 32.1) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 156.94 35.94) (end 156.140001 35.140001) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 156.140001 34.266999) (end 152.448001 30.574999) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 152.448001 30.574999) (end 151.751999 30.574999) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 151.751999 30.574999) (end 150.226998 32.1) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 156.140001 35.140001) (end 156.140001 34.266999) (width 0.25) (layer F.Cu) (net 42)) + (via (at 139.807685 32.174966) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 42)) + (segment (start 143.334315 32.1) (end 139.75 32.1) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 139.75 32.1) (end 138.250001 33.599999) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 138.250001 33.599999) (end 136.01359 33.599999) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 136.01359 33.599999) (end 135.263592 34.349998) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 135.263592 34.349998) (end 134.199998 34.349998) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 134.199998 34.349998) (end 133 33.15) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 123.975991 34.2124) (end 123.9 34.2124) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 125.038391 33.15) (end 123.975991 34.2124) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 133 33.15) (end 125.038391 33.15) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 123.9 34.2124) (end 122.9134 34.2124) (width 0.25) (layer B.Cu) (net 42)) + (segment (start 131.402111 33.555711) (end 121.018707 33.555711) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 133.07417 31.883652) (end 131.402111 33.555711) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 134.383791 31.858652) (end 134.358791 31.883652) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 135.268346 31.858652) (end 134.383791 31.858652) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 121.018707 33.555711) (end 120.453022 33.555711) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 137.177065 29.949933) (end 135.268346 31.858652) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 149.619289 29.949933) (end 137.177065 29.949933) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 150.944267 28.624955) (end 149.619289 29.949933) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 155.375045 28.624955) (end 150.944267 28.624955) (width 0.25) (layer F.Cu) (net 43)) + (via (at 120.453022 33.555711) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 43)) + (segment (start 134.358791 31.883652) (end 133.07417 31.883652) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 119.6876 32.790289) (end 119.6876 30.9866) (width 0.25) (layer B.Cu) (net 43)) + (segment (start 120.453022 33.555711) (end 119.6876 32.790289) (width 0.25) (layer B.Cu) (net 43)) + (segment (start 157 27) (end 155.375045 28.624955) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 120.5004 30.9866) (end 120.5004 32.189461) (width 0.25) (layer B.Cu) (net 44)) + (via (at 121.139444 32.828505) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 44)) + (segment (start 121.705129 32.828505) (end 121.139444 32.828505) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 149.420078 29.499922) (end 136.990665 29.499922) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 132.88777 31.433641) (end 131.371391 32.95002) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 151.92 27) (end 149.420078 29.499922) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 120.5004 32.189461) (end 121.139444 32.828505) (width 0.25) (layer B.Cu) (net 44)) + (segment (start 135.081946 31.408641) (end 134.197391 31.408641) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 134.197391 31.408641) (end 134.172391 31.433641) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 134.172391 31.433641) (end 132.88777 31.433641) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 136.990665 29.499922) (end 135.081946 31.408641) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 131.371391 32.95002) (end 121.826644 32.95002) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 121.826644 32.95002) (end 121.705129 32.828505) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 112.4 34.5) (end 112.5 34.5) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 106.5 29.5) (end 107.4 29.5) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 107.4 29.5) (end 112.4 34.5) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 117.6 29.4) (end 112.5 34.5) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 121.925 29.4) (end 117.6 29.4) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 106.5 27) (end 106.5 29.5) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 112.4 27) (end 112.5 27) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 106.5 22) (end 107.4 22) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 107.4 22) (end 112.4 27) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 106.5 19.5) (end 106.5 22) (width 0.25) (layer B.Cu) (net 46)) + (segment (start 121.375011 24.949989) (end 114.550011 24.949989) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 114.550011 24.949989) (end 113.37071 26.12929) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 121.925 24.4) (end 121.375011 24.949989) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 113.37071 26.12929) (end 112.5 27) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 126.124072 27.199072) (end 125.625 26.7) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 130.55 24.75) (end 131.275001 25.475001) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 130 24.75) (end 130.55 24.75) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 131.275001 25.475001) (end 131.275001 27.310001) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 131.275001 27.310001) (end 131.084992 27.50001) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 131.084992 27.50001) (end 126.42501 27.50001) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 126.42501 27.50001) (end 126.124072 27.199072) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 155.10001 24.9955) (end 155.10001 22.2045) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 154.7705 25.32501) (end 155.10001 24.9955) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 145.4295 25.32501) (end 154.7705 25.32501) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 158.000928 22.225928) (end 158.5 22.725) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 157.64999 21.87499) (end 158.000928 22.225928) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 155.10001 22.2045) (end 155.42952 21.87499) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 155.42952 21.87499) (end 157.64999 21.87499) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 143.300928 24.974072) (end 143.8 24.475) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 141.3295 25.32501) (end 142.94999 25.32501) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 142.94999 25.32501) (end 143.300928 24.974072) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 140.8 24.79551) (end 141.3295 25.32501) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 140.8 24.15449) (end 140.8 24.79551) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 130 24.75) (end 133.05 24.75) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 133.05 24.75) (end 134.17501 23.62499) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 140.2705 23.62499) (end 140.8 24.15449) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 134.17501 23.62499) (end 140.2705 23.62499) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 144.57949 24.475) (end 145.4295 25.32501) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 143.8 24.475) (end 144.57949 24.475) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 120.6 20.6) (end 120.625 20.575) (width 0.25) (layer B.Cu) (net 53)) + (segment (start 117.875 20.6) (end 120.6 20.6) (width 0.25) (layer B.Cu) (net 53)) + (segment (start 124.6 26.7) (end 125.625 26.7) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 123.675 26.7) (end 124.6 26.7) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 120.625 20.575) (end 121.47501 21.42501) (width 0.25) (layer B.Cu) (net 53)) + (segment (start 121.47501 21.42501) (end 121.47501 26.52499) (width 0.25) (layer B.Cu) (net 53)) + (segment (start 120.999999 27.599999) (end 120.7 27.3) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 122.775001 27.599999) (end 120.999999 27.599999) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 123.675 26.7) (end 122.775001 27.599999) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 121.47501 26.52499) (end 121.099999 26.900001) (width 0.25) (layer B.Cu) (net 53)) + (segment (start 121.099999 26.900001) (end 120.7 27.3) (width 0.25) (layer B.Cu) (net 53)) + (via (at 120.7 27.3) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 53)) + (segment (start 172.3 27.1) (end 173.4 27.1) (width 0.25) (layer F.Cu) (net 67)) + (segment (start 170.824999 25.624999) (end 172.3 27.1) (width 0.25) (layer F.Cu) (net 67)) + (segment (start 170.824999 20.219997) (end 170.824999 25.624999) (width 0.25) (layer F.Cu) (net 67)) + (segment (start 169.605001 18.999999) (end 170.824999 20.219997) (width 0.25) (layer F.Cu) (net 67)) + (segment (start 167.925 18.2) (end 168.724999 18.999999) (width 0.25) (layer F.Cu) (net 67)) + (segment (start 168.724999 18.999999) (end 169.605001 18.999999) (width 0.25) (layer F.Cu) (net 67)) + (segment (start 167.16 23.965) (end 167.925 23.2) (width 0.25) (layer F.Cu) (net 66)) + (segment (start 167.16 27) (end 167.16 23.965) (width 0.25) (layer F.Cu) (net 66)) + (segment (start 165.85 21.125) (end 167.925 23.2) (width 0.25) (layer F.Cu) (net 66)) + (segment (start 165.85 20.324998) (end 165.85 21.125) (width 0.25) (layer F.Cu) (net 66)) + (segment (start 163.9 18.2) (end 163.9 18.374998) (width 0.25) (layer F.Cu) (net 66)) + (segment (start 163.9 18.374998) (end 165.85 20.324998) (width 0.25) (layer F.Cu) (net 66)) + (segment (start 172.550001 31.330001) (end 173.4 32.18) (width 0.25) (layer F.Cu) (net 47)) + (segment (start 169.824999 31.330001) (end 172.550001 31.330001) (width 0.25) (layer F.Cu) (net 47)) + (segment (start 166.034999 27.540001) (end 169.824999 31.330001) (width 0.25) (layer F.Cu) (net 47)) + (segment (start 163.9 23.2) (end 166.034999 25.334999) (width 0.25) (layer F.Cu) (net 47)) + (segment (start 166.034999 25.334999) (end 166.034999 27.540001) (width 0.25) (layer F.Cu) (net 47)) + (segment (start 149.690001 18.949999) (end 150.54 18.1) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 149.364999 19.275001) (end 149.690001 18.949999) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 135.205001 21.825001) (end 139.700001 21.825001) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 134.08 20.7) (end 135.205001 21.825001) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 139.700001 21.825001) (end 140.574999 20.950003) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 140.574999 20.950003) (end 140.574999 20.159999) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 140.574999 20.159999) (end 141.459997 19.275001) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 141.459997 19.275001) (end 149.364999 19.275001) (width 0.25) (layer B.Cu) (net 59)) + (segment (start 137.745001 19.574999) (end 144.974999 19.574999) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 136.62 20.7) (end 137.745001 19.574999) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 148 22.1) (end 148 22.725) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 148 20.254998) (end 148 22.1) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 144.974999 19.574999) (end 147.320001 19.574999) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 147.320001 19.574999) (end 148 20.254998) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 150.1 24.475) (end 148 24.475) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 148 24.475) (end 145.9 24.475) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 141.8 22.725) (end 143.8 22.725) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 145.55 24.475) (end 145.9 24.475) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 143.8 22.725) (end 145.55 24.475) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 152.2 24.475) (end 154.3 24.475) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 139.95 22.725) (end 139.5 22.725) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 141.8 24.15) (end 141.8 24.475) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 139.8 22.725) (end 140.375 22.725) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 140.375 22.725) (end 141.8 24.15) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 139.8 22.725) (end 137.6 22.725) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 140.299072 22.225928) (end 139.8 22.725) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 140.699999 21.825001) (end 140.299072 22.225928) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 146.320511 21.825001) (end 140.699999 21.825001) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 152.2 24.475) (end 151.42051 24.475) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 151.42051 24.475) (end 150.52052 23.57501) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 150.52052 23.57501) (end 147.5295 23.57501) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 147.5295 23.57501) (end 146.70001 22.74552) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 146.70001 22.74552) (end 146.70001 22.2045) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 146.70001 22.2045) (end 146.320511 21.825001) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 152.230001 17.250001) (end 153.08 18.1) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 151.904999 16.924999) (end 152.230001 17.250001) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 139.275001 16.924999) (end 151.904999 16.924999) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 139.2 18.1) (end 139.2 17) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 139.2 17) (end 139.275001 16.924999) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 153.08 21.505) (end 154.3 22.725) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 153.08 18.1) (end 153.08 21.505) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 152.2 22.725) (end 150.1 22.725) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 148.385002 18.1) (end 148 18.1) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 150.445001 20.159999) (end 148.385002 18.1) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 150.445001 21.754999) (end 150.445001 20.159999) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 150.1 22.725) (end 150.1 22.1) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 150.1 22.1) (end 150.445001 21.754999) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 144.28 18.1) (end 148 18.1) (width 0.25) (layer F.Cu) (net 60)) + (via (at 134.731793 32.583654) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 49)) + (segment (start 140.265001 19.574999) (end 133.539999 19.574999) (width 0.25) (layer B.Cu) (net 49)) + (segment (start 133.539999 19.574999) (end 132.900001 20.214997) (width 0.25) (layer B.Cu) (net 49)) + (segment (start 132.900001 20.214997) (end 132.900001 30.751862) (width 0.25) (layer B.Cu) (net 49)) + (segment (start 132.900001 30.751862) (end 134.331794 32.183655) (width 0.25) (layer B.Cu) (net 49)) + (segment (start 134.08 35.94) (end 134.08 33.235447) (width 0.25) (layer F.Cu) (net 49)) + (segment (start 134.331794 32.183655) (end 134.731793 32.583654) (width 0.25) (layer B.Cu) (net 49)) + (segment (start 134.08 33.235447) (end 134.331794 32.983653) (width 0.25) (layer F.Cu) (net 49)) + (segment (start 134.331794 32.983653) (end 134.731793 32.583654) (width 0.25) (layer F.Cu) (net 49)) + (segment (start 141.74 18.1) (end 140.265001 19.574999) (width 0.25) (layer B.Cu) (net 49)) + (segment (start 171.29 29.64) (end 173.4 29.64) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 169.7 27) (end 169.7 28.05) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 169.7 28.05) (end 171.29 29.64) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 176.350001 30.489999) (end 177.2 29.64) (width 0.25) (layer B.Cu) (net 62)) + (segment (start 175.835001 31.004999) (end 176.350001 30.489999) (width 0.25) (layer B.Cu) (net 62)) + (segment (start 164.62 27) (end 168.624999 31.004999) (width 0.25) (layer B.Cu) (net 62)) + (segment (start 168.624999 31.004999) (end 175.835001 31.004999) (width 0.25) (layer B.Cu) (net 62)) + (segment (start 127.425 26.65) (end 127.375 26.7) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 130 26.65) (end 127.425 26.65) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 127.874072 26.200928) (end 127.375 26.7) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 127.375 24.4) (end 127.874072 24.899072) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 127.874072 24.899072) (end 127.874072 26.200928) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 118.97071 26.12929) (end 118.1 27) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 119.7 25.4) (end 118.97071 26.12929) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 127.375 24.4) (end 126.375 25.4) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 126.375 25.4) (end 119.7 25.4) (width 0.25) (layer F.Cu) (net 65)) + (segment (start 175.200001 23.999999) (end 176 23.2) (width 0.25) (layer B.Cu) (net 48)) + (segment (start 174.874999 24.325001) (end 175.200001 23.999999) (width 0.25) (layer B.Cu) (net 48)) + (segment (start 162.08 27) (end 164.754999 24.325001) (width 0.25) (layer B.Cu) (net 48)) + (segment (start 164.754999 24.325001) (end 174.874999 24.325001) (width 0.25) (layer B.Cu) (net 48)) + (segment (start 174.86863 23.2) (end 176 23.2) (width 0.25) (layer F.Cu) (net 48)) + (segment (start 173.5 21.83137) (end 174.86863 23.2) (width 0.25) (layer F.Cu) (net 48)) + (segment (start 171.95 18.2) (end 173.5 19.75) (width 0.25) (layer F.Cu) (net 48)) + (segment (start 173.5 19.75) (end 173.5 21.83137) (width 0.25) (layer F.Cu) (net 48)) + (segment (start 176.350001 31.330001) (end 177.2 32.18) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 176.024999 31.004999) (end 176.350001 31.330001) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 171.95 23.2) (end 176.024999 27.274999) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 176.024999 27.274999) (end 176.024999 31.004999) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 155.59999 25.13193) (end 154.93192 25.8) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 156.4 22.725) (end 155.59999 23.52501) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 155.59999 23.52501) (end 155.59999 25.13193) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 131.375001 30.475001) (end 130.65 29.75) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 131.375001 32.310001) (end 131.375001 30.475001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 131.184992 32.50001) (end 131.375001 32.310001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 126.8545 32.50001) (end 131.184992 32.50001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 126.05449 31.7) (end 126.8545 32.50001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 130.65 29.75) (end 130.1 29.75) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 125.625 31.7) (end 126.05449 31.7) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 132.15 29.75) (end 130.1 29.75) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 132.15 29.75) (end 135.595002 29.75) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 135.595002 29.75) (end 137.805001 27.540001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 137.805001 25.305001) (end 137.6 25.1) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 137.805001 27.540001) (end 137.805001 25.305001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 137.6 25.1) (end 137.6 24.475) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 138.925 25.8) (end 138.099072 24.974072) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 138.099072 24.974072) (end 137.6 24.475) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 154.93192 25.8) (end 138.925 25.8) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 123.675 31.7) (end 123.675 31.65001) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 124.6 31.7) (end 125.625 31.7) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 123.675 31.7) (end 124.6 31.7) (width 0.25) (layer F.Cu) (net 40)) + (via (at 115.2 26.17498) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 40)) + (segment (start 115.2 26.96319) (end 115.2 26.17498) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 116.36182 28.12501) (end 115.2 26.96319) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 127.92501 28.12501) (end 116.36182 28.12501) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 130.1 29.75) (end 129.55 29.75) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 129.55 29.75) (end 127.92501 28.12501) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 113.675 24.64998) (end 113.675 24.2) (width 0.25) (layer B.Cu) (net 40)) + (segment (start 115.2 26.17498) (end 113.675 24.64998) (width 0.25) (layer B.Cu) (net 40)) + (segment (start 118.9 24.125) (end 120.625 22.4) (width 0.25) (layer B.Cu) (net 40)) + (segment (start 118.9 24.49551) (end 118.9 24.125) (width 0.25) (layer B.Cu) (net 40)) + (segment (start 115.2 26.17498) (end 117.22053 26.17498) (width 0.25) (layer B.Cu) (net 40)) + (segment (start 117.22053 26.17498) (end 118.9 24.49551) (width 0.25) (layer B.Cu) (net 40)) + (segment (start 127.425 31.65) (end 127.375 31.7) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 130.1 31.65) (end 127.425 31.65) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 126.875928 29.899072) (end 127.375 29.4) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 126.57499 30.20001) (end 126.875928 29.899072) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 121.7614 30.20001) (end 126.57499 30.20001) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 118.97071 32.9907) (end 121.7614 30.20001) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 118.1 34.5) (end 118.97071 33.62929) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 118.97071 33.62929) (end 118.97071 32.9907) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 129.625 31.65) (end 130.1 31.65) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 127.375 29.4) (end 127.375 31.7) (width 0.25) (layer F.Cu) (net 64)) + (segment (start 177.2 26) (end 177.2 27.1) (width 0.25) (layer F.Cu) (net 68)) + (segment (start 177.2 22.734998) (end 177.2 26) (width 0.25) (layer F.Cu) (net 68)) + (segment (start 176 18.2) (end 176 21.534998) (width 0.25) (layer F.Cu) (net 68)) + (segment (start 176 21.534998) (end 177.2 22.734998) (width 0.25) (layer F.Cu) (net 68)) + (segment (start 116.125 20.6) (end 116.375 20.6) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 106.5 39.5) (end 106.5 42) (width 0.25) (layer F.Cu) (net 55)) + (segment (start 113.84999 18.32499) (end 115.625928 20.100928) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 105.113295 18.32499) (end 113.84999 18.32499) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 115.625928 20.100928) (end 116.125 20.6) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 103.099989 20.338296) (end 105.113295 18.32499) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 103.099989 34.999989) (end 103.099989 20.338296) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 106.5 38.4) (end 103.099989 34.999989) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 106.5 39.5) (end 106.5 38.4) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 116.125 20.65) (end 116.125 20.6) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 117.875 22.4) (end 116.125 20.65) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 117.875 24.2) (end 117.875 22.4) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 106.5 33.1) (end 106.5 34.5) (width 0.25) (layer F.Cu) (net 56)) + (segment (start 106.5 32) (end 106.5 33.1) (width 0.25) (layer F.Cu) (net 56)) + (segment (start 113.675 22.4) (end 113.675 20.6) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 113.675 22.45) (end 113.675 22.4) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 111.925 24.2) (end 113.675 22.45) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 111.3 24.2) (end 111.925 24.2) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 110.626998 24.2) (end 111.3 24.2) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 104.752304 32) (end 103.837652 31.085348) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 106.5 32) (end 104.752304 32) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 103.837652 31.085348) (end 103.837652 25.793346) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 103.837652 25.793346) (end 106.055999 23.574999) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 106.055999 23.574999) (end 110.001997 23.574999) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 110.001997 23.574999) (end 110.626998 24.2) (width 0.25) (layer B.Cu) (net 56)) + (segment (start 122.375 20.575) (end 122.375 22.4) (width 0.25) (layer B.Cu) (net 57)) + (segment (start 118.9002 30.172802) (end 118.9002 30.9866) (width 0.25) (layer B.Cu) (net 57)) + (segment (start 122.375 22.4) (end 122.375 26.698002) (width 0.25) (layer B.Cu) (net 57)) + (segment (start 122.375 26.698002) (end 118.9002 30.172802) (width 0.25) (layer B.Cu) (net 57)) + (segment (start 160.6 24.475) (end 158.5 24.475) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 158.5 24.475) (end 156.4 24.475) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 167.959999 41.440001) (end 167.16 42.24) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 168.4 35.285) (end 168.4 41) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 158.5 26.374998) (end 158.414999 26.459999) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 158.5 24.475) (end 158.5 26.374998) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 158.414999 26.459999) (end 158.414999 27.540001) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 158.414999 27.540001) (end 165.174998 34.3) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 168.4 41) (end 167.959999 41.440001) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 165.174998 34.3) (end 167.415 34.3) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 167.415 34.3) (end 168.4 35.285) (width 0.25) (layer F.Cu) (net 69)) + (segment (start 164.6 42.22) (end 164.62 42.24) (width 0.25) (layer B.Cu) (net 70)) + (segment (start 164.6 40.275) (end 164.6 42.22) (width 0.25) (layer B.Cu) (net 70)) + + (zone (net 3) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.8 55.7) (xy 96.8 16.2) (xy 179.8 16.2) (xy 179.8 55.7) + ) + ) + (filled_polygon + (pts + (xy 179.394 39.231368) (xy 179.379494 39.219463) (xy 179.26918 39.160498) (xy 179.149482 39.124188) (xy 179.025 39.111928) + (xy 178.18575 39.115) (xy 178.027 39.27375) (xy 178.027 40.798) (xy 178.047 40.798) (xy 178.047 41.052) + (xy 178.027 41.052) (xy 178.027 42.57625) (xy 178.18575 42.735) (xy 179.025 42.738072) (xy 179.149482 42.725812) + (xy 179.26918 42.689502) (xy 179.379494 42.630537) (xy 179.394 42.618632) (xy 179.394 55.294) (xy 173.181592 55.294) + (xy 174.690645 53.784948) (xy 174.712817 53.766752) (xy 174.785421 53.678283) (xy 174.839371 53.57735) (xy 174.872593 53.467831) + (xy 174.881 53.382475) (xy 174.883811 53.353935) (xy 174.881 53.325395) (xy 174.881 49.346455) (xy 174.883811 49.317918) + (xy 174.879863 49.27784) (xy 174.872593 49.204023) (xy 174.839371 49.094504) (xy 174.785421 48.993571) (xy 174.712817 48.905102) + (xy 174.690646 48.886907) (xy 172.408628 46.604889) (xy 174.219 46.604889) (xy 174.219 46.995111) (xy 174.295129 47.377836) + (xy 174.444461 47.738355) (xy 174.661257 48.062814) (xy 174.937186 48.338743) (xy 175.261645 48.555539) (xy 175.622164 48.704871) + (xy 176.004889 48.781) (xy 176.395111 48.781) (xy 176.777836 48.704871) (xy 177.138355 48.555539) (xy 177.462814 48.338743) + (xy 177.738743 48.062814) (xy 177.955539 47.738355) (xy 178.104871 47.377836) (xy 178.181 46.995111) (xy 178.181 46.604889) + (xy 178.104871 46.222164) (xy 177.955539 45.861645) (xy 177.738743 45.537186) (xy 177.462814 45.261257) (xy 177.138355 45.044461) + (xy 176.777836 44.895129) (xy 176.395111 44.819) (xy 176.004889 44.819) (xy 175.622164 44.895129) (xy 175.261645 45.044461) + (xy 174.937186 45.261257) (xy 174.661257 45.537186) (xy 174.444461 45.861645) (xy 174.295129 46.222164) (xy 174.219 46.604889) + (xy 172.408628 46.604889) (xy 171.313098 45.50936) (xy 171.294898 45.487183) (xy 171.206429 45.414579) (xy 171.105496 45.360629) + (xy 170.995977 45.327407) (xy 170.910621 45.319) (xy 170.882081 45.316189) (xy 170.853541 45.319) (xy 170.765265 45.319) + (xy 170.708491 45.212784) (xy 170.55466 45.02534) (xy 170.367216 44.871509) (xy 170.153363 44.757202) (xy 169.921318 44.686812) + (xy 169.740472 44.669) (xy 169.619528 44.669) (xy 169.438682 44.686812) (xy 169.206637 44.757202) (xy 169.054513 44.838514) + (xy 170.090645 43.802383) (xy 170.112817 43.784187) (xy 170.185421 43.695718) (xy 170.239371 43.594785) (xy 170.272593 43.485266) + (xy 170.281 43.39991) (xy 170.283811 43.37137) (xy 170.281 43.34283) (xy 170.281 43.268571) (xy 170.359303 43.226717) + (xy 170.539134 43.079134) (xy 170.686717 42.899303) (xy 170.796381 42.694136) (xy 170.863912 42.471516) (xy 170.886714 42.24) + (xy 170.863912 42.008484) (xy 170.838138 41.923519) (xy 171.255658 41.506) (xy 171.292157 41.506) (xy 171.292157 41.850001) + (xy 171.304317 41.973462) (xy 171.340329 42.092179) (xy 171.39881 42.201589) (xy 171.477512 42.297488) (xy 171.573411 42.37619) + (xy 171.682821 42.434671) (xy 171.801538 42.470683) (xy 171.924999 42.482843) (xy 173.675001 42.482843) (xy 173.798462 42.470683) + (xy 173.917179 42.434671) (xy 174.026589 42.37619) (xy 174.122488 42.297488) (xy 174.20119 42.201589) (xy 174.25549 42.1) + (xy 176.136928 42.1) (xy 176.149188 42.224482) (xy 176.185498 42.34418) (xy 176.244463 42.454494) (xy 176.323815 42.551185) + (xy 176.420506 42.630537) (xy 176.53082 42.689502) (xy 176.650518 42.725812) (xy 176.775 42.738072) (xy 177.61425 42.735) + (xy 177.773 42.57625) (xy 177.773 41.052) (xy 176.29875 41.052) (xy 176.14 41.21075) (xy 176.136928 42.1) + (xy 174.25549 42.1) (xy 174.259671 42.092179) (xy 174.295683 41.973462) (xy 174.307843 41.850001) (xy 174.307843 39.999999) + (xy 174.295683 39.876538) (xy 174.259671 39.757821) (xy 174.255491 39.75) (xy 176.136928 39.75) (xy 176.14 40.63925) + (xy 176.29875 40.798) (xy 177.773 40.798) (xy 177.773 39.27375) (xy 177.61425 39.115) (xy 176.775 39.111928) + (xy 176.650518 39.124188) (xy 176.53082 39.160498) (xy 176.420506 39.219463) (xy 176.323815 39.298815) (xy 176.244463 39.395506) + (xy 176.185498 39.50582) (xy 176.149188 39.625518) (xy 176.136928 39.75) (xy 174.255491 39.75) (xy 174.20119 39.648411) + (xy 174.122488 39.552512) (xy 174.026589 39.47381) (xy 173.917179 39.415329) (xy 173.798462 39.379317) (xy 173.675001 39.367157) + (xy 171.924999 39.367157) (xy 171.801538 39.379317) (xy 171.682821 39.415329) (xy 171.573411 39.47381) (xy 171.477512 39.552512) + (xy 171.39881 39.648411) (xy 171.340329 39.757821) (xy 171.304317 39.876538) (xy 171.292157 39.999999) (xy 171.292157 40.344) + (xy 171.04354 40.344) (xy 171.015 40.341189) (xy 170.98646 40.344) (xy 170.901104 40.352407) (xy 170.791585 40.385629) + (xy 170.690652 40.439579) (xy 170.657843 40.466504) (xy 170.657843 39.9875) (xy 170.645923 39.866477) (xy 170.610622 39.750105) + (xy 170.553296 39.642856) (xy 170.54098 39.627849) (xy 170.629494 39.580537) (xy 170.726185 39.501185) (xy 170.805537 39.404494) + (xy 170.864502 39.29418) (xy 170.900812 39.174482) (xy 170.913072 39.05) (xy 170.91 38.81075) (xy 170.75125 38.652) + (xy 169.927 38.652) (xy 169.927 38.672) (xy 169.673 38.672) (xy 169.673 38.652) (xy 169.653 38.652) + (xy 169.653 38.398) (xy 169.673 38.398) (xy 169.673 37.52375) (xy 169.927 37.52375) (xy 169.927 38.398) + (xy 170.75125 38.398) (xy 170.91 38.23925) (xy 170.913072 38) (xy 170.900812 37.875518) (xy 170.864502 37.75582) + (xy 170.805537 37.645506) (xy 170.726185 37.548815) (xy 170.629494 37.469463) (xy 170.51918 37.410498) (xy 170.399482 37.374188) + (xy 170.275 37.361928) (xy 170.08575 37.365) (xy 169.927 37.52375) (xy 169.673 37.52375) (xy 169.51425 37.365) + (xy 169.325 37.361928) (xy 169.200518 37.374188) (xy 169.08082 37.410498) (xy 168.970506 37.469463) (xy 168.941001 37.493677) + (xy 168.941001 37.189196) (xy 169.156913 37.291246) (xy 169.290961 37.331904) (xy 169.513 37.209915) (xy 169.513 36.067) + (xy 169.493 36.067) (xy 169.493 35.813) (xy 169.513 35.813) (xy 169.513 34.670085) (xy 169.767 34.670085) + (xy 169.767 35.813) (xy 169.787 35.813) (xy 169.787 36.067) (xy 169.767 36.067) (xy 169.767 37.209915) + (xy 169.989039 37.331904) (xy 170.123087 37.291246) (xy 170.37742 37.171037) (xy 170.603414 37.003519) (xy 170.792385 36.795131) + (xy 170.93707 36.553881) (xy 171.031909 36.28904) (xy 170.910625 36.067002) (xy 171.005794 36.067002) (xy 171.016088 36.171516) + (xy 171.083619 36.394136) (xy 171.193283 36.599303) (xy 171.340866 36.779134) (xy 171.520697 36.926717) (xy 171.725864 37.036381) + (xy 171.948484 37.103912) (xy 172.121984 37.121) (xy 172.238016 37.121) (xy 172.411516 37.103912) (xy 172.634136 37.036381) + (xy 172.839303 36.926717) (xy 173.019134 36.779134) (xy 173.166717 36.599303) (xy 173.276381 36.394136) (xy 173.343912 36.171516) + (xy 173.366714 35.94) (xy 173.533286 35.94) (xy 173.556088 36.171516) (xy 173.623619 36.394136) (xy 173.733283 36.599303) + (xy 173.880866 36.779134) (xy 174.060697 36.926717) (xy 174.265864 37.036381) (xy 174.488484 37.103912) (xy 174.661984 37.121) + (xy 174.778016 37.121) (xy 174.951516 37.103912) (xy 175.174136 37.036381) (xy 175.379303 36.926717) (xy 175.559134 36.779134) + (xy 175.706717 36.599303) (xy 175.816381 36.394136) (xy 175.883912 36.171516) (xy 175.906714 35.94) (xy 176.073286 35.94) + (xy 176.096088 36.171516) (xy 176.163619 36.394136) (xy 176.273283 36.599303) (xy 176.420866 36.779134) (xy 176.600697 36.926717) + (xy 176.805864 37.036381) (xy 177.028484 37.103912) (xy 177.201984 37.121) (xy 177.318016 37.121) (xy 177.491516 37.103912) + (xy 177.714136 37.036381) (xy 177.919303 36.926717) (xy 178.099134 36.779134) (xy 178.246717 36.599303) (xy 178.356381 36.394136) + (xy 178.423912 36.171516) (xy 178.446714 35.94) (xy 178.423912 35.708484) (xy 178.356381 35.485864) (xy 178.246717 35.280697) + (xy 178.099134 35.100866) (xy 177.919303 34.953283) (xy 177.714136 34.843619) (xy 177.491516 34.776088) (xy 177.318016 34.759) + (xy 177.201984 34.759) (xy 177.028484 34.776088) (xy 176.805864 34.843619) (xy 176.600697 34.953283) (xy 176.420866 35.100866) + (xy 176.273283 35.280697) (xy 176.163619 35.485864) (xy 176.096088 35.708484) (xy 176.073286 35.94) (xy 175.906714 35.94) + (xy 175.883912 35.708484) (xy 175.816381 35.485864) (xy 175.706717 35.280697) (xy 175.559134 35.100866) (xy 175.379303 34.953283) + (xy 175.174136 34.843619) (xy 174.951516 34.776088) (xy 174.778016 34.759) (xy 174.661984 34.759) (xy 174.488484 34.776088) + (xy 174.265864 34.843619) (xy 174.060697 34.953283) (xy 173.880866 35.100866) (xy 173.733283 35.280697) (xy 173.623619 35.485864) + (xy 173.556088 35.708484) (xy 173.533286 35.94) (xy 173.366714 35.94) (xy 173.343912 35.708484) (xy 173.276381 35.485864) + (xy 173.166717 35.280697) (xy 173.019134 35.100866) (xy 172.839303 34.953283) (xy 172.634136 34.843619) (xy 172.411516 34.776088) + (xy 172.238016 34.759) (xy 172.121984 34.759) (xy 171.948484 34.776088) (xy 171.725864 34.843619) (xy 171.520697 34.953283) + (xy 171.340866 35.100866) (xy 171.193283 35.280697) (xy 171.083619 35.485864) (xy 171.016088 35.708484) (xy 171.005794 35.812998) + (xy 170.910625 35.812998) (xy 171.031909 35.59096) (xy 170.93707 35.326119) (xy 170.792385 35.084869) (xy 170.603414 34.876481) + (xy 170.37742 34.708963) (xy 170.123087 34.588754) (xy 169.989039 34.548096) (xy 169.767 34.670085) (xy 169.513 34.670085) + (xy 169.290961 34.548096) (xy 169.156913 34.588754) (xy 168.935669 34.693324) (xy 168.932594 34.662101) (xy 168.899372 34.552582) + (xy 168.845422 34.451649) (xy 168.772818 34.36318) (xy 168.750647 34.344985) (xy 164.115021 29.70936) (xy 164.096821 29.687183) + (xy 164.008352 29.614579) (xy 163.907419 29.560629) (xy 163.7979 29.527407) (xy 163.712544 29.519) (xy 163.684004 29.516189) + (xy 163.655464 29.519) (xy 161.104656 29.519) (xy 159.751535 28.16588) (xy 159.771516 28.163912) (xy 159.994136 28.096381) + (xy 160.199303 27.986717) (xy 160.379134 27.839134) (xy 160.526717 27.659303) (xy 160.636381 27.454136) (xy 160.703912 27.231516) + (xy 160.726714 27) (xy 160.893286 27) (xy 160.916088 27.231516) (xy 160.983619 27.454136) (xy 161.093283 27.659303) + (xy 161.240866 27.839134) (xy 161.420697 27.986717) (xy 161.625864 28.096381) (xy 161.848484 28.163912) (xy 162.021984 28.181) + (xy 162.138016 28.181) (xy 162.311516 28.163912) (xy 162.534136 28.096381) (xy 162.739303 27.986717) (xy 162.919134 27.839134) + (xy 163.066717 27.659303) (xy 163.176381 27.454136) (xy 163.243912 27.231516) (xy 163.266714 27) (xy 163.433286 27) + (xy 163.456088 27.231516) (xy 163.523619 27.454136) (xy 163.633283 27.659303) (xy 163.780866 27.839134) (xy 163.960697 27.986717) + (xy 164.165864 28.096381) (xy 164.388484 28.163912) (xy 164.561984 28.181) (xy 164.678016 28.181) (xy 164.851516 28.163912) + (xy 165.017861 28.113452) (xy 168.249627 31.345219) (xy 168.265472 31.364526) (xy 168.34252 31.427758) (xy 168.411504 31.464631) + (xy 168.430424 31.474744) (xy 168.525806 31.503677) (xy 168.624999 31.513447) (xy 168.649853 31.510999) (xy 172.361773 31.510999) + (xy 172.257202 31.706637) (xy 172.186812 31.938682) (xy 172.163044 32.18) (xy 172.186812 32.421318) (xy 172.257202 32.653363) + (xy 172.371509 32.867216) (xy 172.52534 33.05466) (xy 172.712784 33.208491) (xy 172.926637 33.322798) (xy 173.158682 33.393188) + (xy 173.339528 33.411) (xy 173.460472 33.411) (xy 173.641318 33.393188) (xy 173.873363 33.322798) (xy 174.087216 33.208491) + (xy 174.27466 33.05466) (xy 174.428491 32.867216) (xy 174.542798 32.653363) (xy 174.613188 32.421318) (xy 174.636956 32.18) + (xy 175.963044 32.18) (xy 175.986812 32.421318) (xy 176.057202 32.653363) (xy 176.171509 32.867216) (xy 176.32534 33.05466) + (xy 176.512784 33.208491) (xy 176.726637 33.322798) (xy 176.958682 33.393188) (xy 177.139528 33.411) (xy 177.260472 33.411) + (xy 177.441318 33.393188) (xy 177.673363 33.322798) (xy 177.887216 33.208491) (xy 178.07466 33.05466) (xy 178.228491 32.867216) + (xy 178.342798 32.653363) (xy 178.413188 32.421318) (xy 178.436956 32.18) (xy 178.413188 31.938682) (xy 178.342798 31.706637) + (xy 178.228491 31.492784) (xy 178.07466 31.30534) (xy 177.887216 31.151509) (xy 177.673363 31.037202) (xy 177.441318 30.966812) + (xy 177.260472 30.949) (xy 177.139528 30.949) (xy 176.958682 30.966812) (xy 176.726637 31.037202) (xy 176.512784 31.151509) + (xy 176.32534 31.30534) (xy 176.171509 31.492784) (xy 176.057202 31.706637) (xy 175.986812 31.938682) (xy 175.963044 32.18) + (xy 174.636956 32.18) (xy 174.613188 31.938682) (xy 174.542798 31.706637) (xy 174.438227 31.510999) (xy 175.810155 31.510999) + (xy 175.835001 31.513446) (xy 175.859847 31.510999) (xy 175.859855 31.510999) (xy 175.934194 31.503677) (xy 176.029576 31.474744) + (xy 176.11748 31.427758) (xy 176.194528 31.364526) (xy 176.210377 31.345214) (xy 176.725371 30.830221) (xy 176.725375 30.830216) + (xy 176.762051 30.793541) (xy 176.958682 30.853188) (xy 177.139528 30.871) (xy 177.260472 30.871) (xy 177.441318 30.853188) + (xy 177.673363 30.782798) (xy 177.887216 30.668491) (xy 178.07466 30.51466) (xy 178.228491 30.327216) (xy 178.342798 30.113363) + (xy 178.413188 29.881318) (xy 178.436956 29.64) (xy 178.413188 29.398682) (xy 178.342798 29.166637) (xy 178.228491 28.952784) + (xy 178.07466 28.76534) (xy 177.887216 28.611509) (xy 177.673363 28.497202) (xy 177.441318 28.426812) (xy 177.260472 28.409) + (xy 177.139528 28.409) (xy 176.958682 28.426812) (xy 176.726637 28.497202) (xy 176.512784 28.611509) (xy 176.32534 28.76534) + (xy 176.171509 28.952784) (xy 176.057202 29.166637) (xy 175.986812 29.398682) (xy 175.963044 29.64) (xy 175.986812 29.881318) + (xy 176.046459 30.077949) (xy 176.009784 30.114625) (xy 176.009779 30.114629) (xy 175.62541 30.498999) (xy 174.287513 30.498999) + (xy 174.428491 30.327216) (xy 174.542798 30.113363) (xy 174.613188 29.881318) (xy 174.636956 29.64) (xy 174.613188 29.398682) + (xy 174.542798 29.166637) (xy 174.428491 28.952784) (xy 174.27466 28.76534) (xy 174.087216 28.611509) (xy 173.873363 28.497202) + (xy 173.641318 28.426812) (xy 173.460472 28.409) (xy 173.339528 28.409) (xy 173.158682 28.426812) (xy 172.926637 28.497202) + (xy 172.712784 28.611509) (xy 172.52534 28.76534) (xy 172.371509 28.952784) (xy 172.257202 29.166637) (xy 172.186812 29.398682) + (xy 172.163044 29.64) (xy 172.186812 29.881318) (xy 172.257202 30.113363) (xy 172.371509 30.327216) (xy 172.512487 30.498999) + (xy 168.834591 30.498999) (xy 165.733452 27.397861) (xy 165.783912 27.231516) (xy 165.806714 27) (xy 165.973286 27) + (xy 165.996088 27.231516) (xy 166.063619 27.454136) (xy 166.173283 27.659303) (xy 166.320866 27.839134) (xy 166.500697 27.986717) + (xy 166.705864 28.096381) (xy 166.928484 28.163912) (xy 167.101984 28.181) (xy 167.218016 28.181) (xy 167.391516 28.163912) + (xy 167.614136 28.096381) (xy 167.819303 27.986717) (xy 167.999134 27.839134) (xy 168.146717 27.659303) (xy 168.256381 27.454136) + (xy 168.323912 27.231516) (xy 168.346714 27) (xy 168.323912 26.768484) (xy 168.256381 26.545864) (xy 168.146717 26.340697) + (xy 168.031251 26.2) (xy 168.517157 26.2) (xy 168.517157 27.8) (xy 168.524513 27.874689) (xy 168.546299 27.946508) + (xy 168.581678 28.012696) (xy 168.629289 28.070711) (xy 168.687304 28.118322) (xy 168.753492 28.153701) (xy 168.825311 28.175487) + (xy 168.9 28.182843) (xy 170.5 28.182843) (xy 170.574689 28.175487) (xy 170.646508 28.153701) (xy 170.712696 28.118322) + (xy 170.770711 28.070711) (xy 170.818322 28.012696) (xy 170.853701 27.946508) (xy 170.875487 27.874689) (xy 170.882843 27.8) + (xy 170.882843 26.25) (xy 172.167157 26.25) (xy 172.167157 27.95) (xy 172.174513 28.024689) (xy 172.196299 28.096508) + (xy 172.231678 28.162696) (xy 172.279289 28.220711) (xy 172.337304 28.268322) (xy 172.403492 28.303701) (xy 172.475311 28.325487) + (xy 172.55 28.332843) (xy 174.25 28.332843) (xy 174.324689 28.325487) (xy 174.396508 28.303701) (xy 174.462696 28.268322) + (xy 174.520711 28.220711) (xy 174.568322 28.162696) (xy 174.603701 28.096508) (xy 174.625487 28.024689) (xy 174.632843 27.95) + (xy 174.632843 26.25) (xy 175.967157 26.25) (xy 175.967157 27.95) (xy 175.974513 28.024689) (xy 175.996299 28.096508) + (xy 176.031678 28.162696) (xy 176.079289 28.220711) (xy 176.137304 28.268322) (xy 176.203492 28.303701) (xy 176.275311 28.325487) + (xy 176.35 28.332843) (xy 178.05 28.332843) (xy 178.124689 28.325487) (xy 178.196508 28.303701) (xy 178.262696 28.268322) + (xy 178.320711 28.220711) (xy 178.368322 28.162696) (xy 178.403701 28.096508) (xy 178.425487 28.024689) (xy 178.432843 27.95) + (xy 178.432843 26.25) (xy 178.425487 26.175311) (xy 178.403701 26.103492) (xy 178.368322 26.037304) (xy 178.320711 25.979289) + (xy 178.262696 25.931678) (xy 178.196508 25.896299) (xy 178.124689 25.874513) (xy 178.05 25.867157) (xy 176.35 25.867157) + (xy 176.275311 25.874513) (xy 176.203492 25.896299) (xy 176.137304 25.931678) (xy 176.079289 25.979289) (xy 176.031678 26.037304) + (xy 175.996299 26.103492) (xy 175.974513 26.175311) (xy 175.967157 26.25) (xy 174.632843 26.25) (xy 174.625487 26.175311) + (xy 174.603701 26.103492) (xy 174.568322 26.037304) (xy 174.520711 25.979289) (xy 174.462696 25.931678) (xy 174.396508 25.896299) + (xy 174.324689 25.874513) (xy 174.25 25.867157) (xy 172.55 25.867157) (xy 172.475311 25.874513) (xy 172.403492 25.896299) + (xy 172.337304 25.931678) (xy 172.279289 25.979289) (xy 172.231678 26.037304) (xy 172.196299 26.103492) (xy 172.174513 26.175311) + (xy 172.167157 26.25) (xy 170.882843 26.25) (xy 170.882843 26.2) (xy 170.875487 26.125311) (xy 170.853701 26.053492) + (xy 170.818322 25.987304) (xy 170.770711 25.929289) (xy 170.712696 25.881678) (xy 170.646508 25.846299) (xy 170.574689 25.824513) + (xy 170.5 25.817157) (xy 168.9 25.817157) (xy 168.825311 25.824513) (xy 168.753492 25.846299) (xy 168.687304 25.881678) + (xy 168.629289 25.929289) (xy 168.581678 25.987304) (xy 168.546299 26.053492) (xy 168.524513 26.125311) (xy 168.517157 26.2) + (xy 168.031251 26.2) (xy 167.999134 26.160866) (xy 167.819303 26.013283) (xy 167.614136 25.903619) (xy 167.391516 25.836088) + (xy 167.218016 25.819) (xy 167.101984 25.819) (xy 166.928484 25.836088) (xy 166.705864 25.903619) (xy 166.500697 26.013283) + (xy 166.320866 26.160866) (xy 166.173283 26.340697) (xy 166.063619 26.545864) (xy 165.996088 26.768484) (xy 165.973286 27) + (xy 165.806714 27) (xy 165.783912 26.768484) (xy 165.716381 26.545864) (xy 165.606717 26.340697) (xy 165.459134 26.160866) + (xy 165.279303 26.013283) (xy 165.074136 25.903619) (xy 164.851516 25.836088) (xy 164.678016 25.819) (xy 164.561984 25.819) + (xy 164.388484 25.836088) (xy 164.165864 25.903619) (xy 163.960697 26.013283) (xy 163.780866 26.160866) (xy 163.633283 26.340697) + (xy 163.523619 26.545864) (xy 163.456088 26.768484) (xy 163.433286 27) (xy 163.266714 27) (xy 163.243912 26.768484) + (xy 163.193452 26.602139) (xy 164.964591 24.831001) (xy 174.850153 24.831001) (xy 174.874999 24.833448) (xy 174.899845 24.831001) + (xy 174.899853 24.831001) (xy 174.974192 24.823679) (xy 175.069574 24.794746) (xy 175.157478 24.74776) (xy 175.234526 24.684528) + (xy 175.250375 24.665216) (xy 175.602102 24.313489) (xy 175.655515 24.335614) (xy 175.883682 24.381) (xy 176.116318 24.381) + (xy 176.344485 24.335614) (xy 176.559413 24.246588) (xy 176.752843 24.117342) (xy 176.917342 23.952843) (xy 177.046588 23.759413) + (xy 177.135614 23.544485) (xy 177.181 23.316318) (xy 177.181 23.083682) (xy 177.135614 22.855515) (xy 177.046588 22.640587) + (xy 176.917342 22.447157) (xy 176.752843 22.282658) (xy 176.559413 22.153412) (xy 176.344485 22.064386) (xy 176.116318 22.019) + (xy 175.883682 22.019) (xy 175.655515 22.064386) (xy 175.440587 22.153412) (xy 175.247157 22.282658) (xy 175.082658 22.447157) + (xy 174.953412 22.640587) (xy 174.864386 22.855515) (xy 174.819 23.083682) (xy 174.819 23.316318) (xy 174.864386 23.544485) + (xy 174.886511 23.597898) (xy 174.665408 23.819001) (xy 172.956773 23.819001) (xy 172.996588 23.759413) (xy 173.085614 23.544485) + (xy 173.131 23.316318) (xy 173.131 23.083682) (xy 173.085614 22.855515) (xy 172.996588 22.640587) (xy 172.867342 22.447157) + (xy 172.702843 22.282658) (xy 172.509413 22.153412) (xy 172.294485 22.064386) (xy 172.066318 22.019) (xy 171.833682 22.019) + (xy 171.605515 22.064386) (xy 171.390587 22.153412) (xy 171.197157 22.282658) (xy 171.032658 22.447157) (xy 170.903412 22.640587) + (xy 170.814386 22.855515) (xy 170.769 23.083682) (xy 170.769 23.316318) (xy 170.814386 23.544485) (xy 170.903412 23.759413) + (xy 170.943227 23.819001) (xy 168.931773 23.819001) (xy 168.971588 23.759413) (xy 169.060614 23.544485) (xy 169.106 23.316318) + (xy 169.106 23.083682) (xy 169.060614 22.855515) (xy 168.971588 22.640587) (xy 168.842342 22.447157) (xy 168.677843 22.282658) + (xy 168.484413 22.153412) (xy 168.269485 22.064386) (xy 168.041318 22.019) (xy 167.808682 22.019) (xy 167.580515 22.064386) + (xy 167.365587 22.153412) (xy 167.172157 22.282658) (xy 167.007658 22.447157) (xy 166.878412 22.640587) (xy 166.789386 22.855515) + (xy 166.744 23.083682) (xy 166.744 23.316318) (xy 166.789386 23.544485) (xy 166.878412 23.759413) (xy 166.918227 23.819001) + (xy 164.906773 23.819001) (xy 164.946588 23.759413) (xy 165.035614 23.544485) (xy 165.081 23.316318) (xy 165.081 23.083682) + (xy 165.035614 22.855515) (xy 164.946588 22.640587) (xy 164.817342 22.447157) (xy 164.652843 22.282658) (xy 164.459413 22.153412) + (xy 164.244485 22.064386) (xy 164.016318 22.019) (xy 163.783682 22.019) (xy 163.555515 22.064386) (xy 163.340587 22.153412) + (xy 163.147157 22.282658) (xy 162.982658 22.447157) (xy 162.853412 22.640587) (xy 162.764386 22.855515) (xy 162.719 23.083682) + (xy 162.719 23.316318) (xy 162.764386 23.544485) (xy 162.853412 23.759413) (xy 162.982658 23.952843) (xy 163.147157 24.117342) + (xy 163.340587 24.246588) (xy 163.555515 24.335614) (xy 163.783682 24.381) (xy 163.983408 24.381) (xy 162.477861 25.886548) + (xy 162.311516 25.836088) (xy 162.138016 25.819) (xy 162.021984 25.819) (xy 161.848484 25.836088) (xy 161.625864 25.903619) + (xy 161.420697 26.013283) (xy 161.240866 26.160866) (xy 161.093283 26.340697) (xy 160.983619 26.545864) (xy 160.916088 26.768484) + (xy 160.893286 27) (xy 160.726714 27) (xy 160.703912 26.768484) (xy 160.636381 26.545864) (xy 160.526717 26.340697) + (xy 160.379134 26.160866) (xy 160.199303 26.013283) (xy 159.994136 25.903619) (xy 159.771516 25.836088) (xy 159.598016 25.819) + (xy 159.481984 25.819) (xy 159.308484 25.836088) (xy 159.085864 25.903619) (xy 158.880697 26.013283) (xy 158.747363 26.122707) + (xy 158.730645 26.108987) (xy 157.931017 25.30936) (xy 157.912817 25.287183) (xy 157.824348 25.214579) (xy 157.723415 25.160629) + (xy 157.613896 25.127407) (xy 157.52854 25.119) (xy 157.5 25.116189) (xy 157.47146 25.119) (xy 135.223501 25.119) + (xy 135.197859 25.093358) (xy 135.069942 25.007887) (xy 134.927809 24.949013) (xy 134.776922 24.919) (xy 134.623078 24.919) + (xy 134.472191 24.949013) (xy 134.330058 25.007887) (xy 134.202141 25.093358) (xy 134.093358 25.202141) (xy 134.007887 25.330058) + (xy 133.949013 25.472191) (xy 133.919 25.623078) (xy 133.919 25.776922) (xy 133.949013 25.927809) (xy 134.007887 26.069942) + (xy 134.093358 26.197859) (xy 134.119 26.223501) (xy 134.119001 30.065724) (xy 134.102141 30.076989) (xy 133.993358 30.185772) + (xy 133.907887 30.313689) (xy 133.849013 30.455822) (xy 133.819 30.606709) (xy 133.819 30.760553) (xy 133.849013 30.91144) + (xy 133.901228 31.037498) (xy 133.406001 30.542271) (xy 133.406001 21.674656) (xy 133.420697 21.686717) (xy 133.625864 21.796381) + (xy 133.848484 21.863912) (xy 134.021984 21.881) (xy 134.138016 21.881) (xy 134.311516 21.863912) (xy 134.47786 21.813452) + (xy 134.829629 22.165221) (xy 134.845474 22.184528) (xy 134.922522 22.24776) (xy 135.010104 22.294574) (xy 135.010426 22.294746) + (xy 135.105808 22.323679) (xy 135.205001 22.333449) (xy 135.229855 22.331001) (xy 139.675155 22.331001) (xy 139.700001 22.333448) + (xy 139.724847 22.331001) (xy 139.724855 22.331001) (xy 139.799194 22.323679) (xy 139.894576 22.294746) (xy 139.98248 22.24776) + (xy 140.059528 22.184528) (xy 140.075377 22.165216) (xy 140.789013 21.451581) (xy 140.860866 21.539134) (xy 141.040697 21.686717) + (xy 141.245864 21.796381) (xy 141.468484 21.863912) (xy 141.641984 21.881) (xy 141.758016 21.881) (xy 141.931516 21.863912) + (xy 142.154136 21.796381) (xy 142.359303 21.686717) (xy 142.539134 21.539134) (xy 142.686717 21.359303) (xy 142.796381 21.154136) + (xy 142.863912 20.931516) (xy 142.886714 20.7) (xy 142.863912 20.468484) (xy 142.796381 20.245864) (xy 142.686717 20.040697) + (xy 142.539134 19.860866) (xy 142.441818 19.781001) (xy 143.498182 19.781001) (xy 143.400866 19.860866) (xy 143.253283 20.040697) + (xy 143.143619 20.245864) (xy 143.076088 20.468484) (xy 143.053286 20.7) (xy 143.076088 20.931516) (xy 143.143619 21.154136) + (xy 143.253283 21.359303) (xy 143.400866 21.539134) (xy 143.580697 21.686717) (xy 143.785864 21.796381) (xy 144.008484 21.863912) + (xy 144.181984 21.881) (xy 144.298016 21.881) (xy 144.471516 21.863912) (xy 144.694136 21.796381) (xy 144.899303 21.686717) + (xy 145.079134 21.539134) (xy 145.226717 21.359303) (xy 145.336381 21.154136) (xy 145.403912 20.931516) (xy 145.426714 20.7) + (xy 145.403912 20.468484) (xy 145.336381 20.245864) (xy 145.226717 20.040697) (xy 145.079134 19.860866) (xy 144.981818 19.781001) + (xy 146.038182 19.781001) (xy 145.940866 19.860866) (xy 145.793283 20.040697) (xy 145.683619 20.245864) (xy 145.616088 20.468484) + (xy 145.593286 20.7) (xy 145.616088 20.931516) (xy 145.683619 21.154136) (xy 145.793283 21.359303) (xy 145.940866 21.539134) + (xy 146.120697 21.686717) (xy 146.325864 21.796381) (xy 146.548484 21.863912) (xy 146.721984 21.881) (xy 146.838016 21.881) + (xy 147.011516 21.863912) (xy 147.234136 21.796381) (xy 147.439303 21.686717) (xy 147.619134 21.539134) (xy 147.766717 21.359303) + (xy 147.876381 21.154136) (xy 147.943912 20.931516) (xy 147.966714 20.7) (xy 147.943912 20.468484) (xy 147.876381 20.245864) + (xy 147.766717 20.040697) (xy 147.619134 19.860866) (xy 147.521818 19.781001) (xy 148.578182 19.781001) (xy 148.480866 19.860866) + (xy 148.333283 20.040697) (xy 148.223619 20.245864) (xy 148.156088 20.468484) (xy 148.133286 20.7) (xy 148.156088 20.931516) + (xy 148.223619 21.154136) (xy 148.333283 21.359303) (xy 148.480866 21.539134) (xy 148.660697 21.686717) (xy 148.865864 21.796381) + (xy 149.088484 21.863912) (xy 149.261984 21.881) (xy 149.378016 21.881) (xy 149.551516 21.863912) (xy 149.774136 21.796381) + (xy 149.979303 21.686717) (xy 150.159134 21.539134) (xy 150.306717 21.359303) (xy 150.416381 21.154136) (xy 150.483912 20.931516) + (xy 150.506714 20.7) (xy 150.673286 20.7) (xy 150.696088 20.931516) (xy 150.763619 21.154136) (xy 150.873283 21.359303) + (xy 151.020866 21.539134) (xy 151.200697 21.686717) (xy 151.405864 21.796381) (xy 151.628484 21.863912) (xy 151.801984 21.881) + (xy 151.918016 21.881) (xy 152.091516 21.863912) (xy 152.314136 21.796381) (xy 152.519303 21.686717) (xy 152.699134 21.539134) + (xy 152.846717 21.359303) (xy 152.956381 21.154136) (xy 153.023912 20.931516) (xy 153.046714 20.7) (xy 153.213286 20.7) + (xy 153.236088 20.931516) (xy 153.303619 21.154136) (xy 153.413283 21.359303) (xy 153.560866 21.539134) (xy 153.740697 21.686717) + (xy 153.945864 21.796381) (xy 154.168484 21.863912) (xy 154.341984 21.881) (xy 154.458016 21.881) (xy 154.631516 21.863912) + (xy 154.854136 21.796381) (xy 155.059303 21.686717) (xy 155.239134 21.539134) (xy 155.386717 21.359303) (xy 155.496381 21.154136) + (xy 155.563912 20.931516) (xy 155.586714 20.7) (xy 155.753286 20.7) (xy 155.776088 20.931516) (xy 155.843619 21.154136) + (xy 155.953283 21.359303) (xy 156.100866 21.539134) (xy 156.280697 21.686717) (xy 156.485864 21.796381) (xy 156.708484 21.863912) + (xy 156.881984 21.881) (xy 156.998016 21.881) (xy 157.171516 21.863912) (xy 157.394136 21.796381) (xy 157.599303 21.686717) + (xy 157.779134 21.539134) (xy 157.926717 21.359303) (xy 158.036381 21.154136) (xy 158.103912 20.931516) (xy 158.126714 20.7) + (xy 158.293286 20.7) (xy 158.316088 20.931516) (xy 158.383619 21.154136) (xy 158.493283 21.359303) (xy 158.640866 21.539134) + (xy 158.820697 21.686717) (xy 159.025864 21.796381) (xy 159.248484 21.863912) (xy 159.421984 21.881) (xy 159.538016 21.881) + (xy 159.711516 21.863912) (xy 159.934136 21.796381) (xy 160.139303 21.686717) (xy 160.319134 21.539134) (xy 160.466717 21.359303) + (xy 160.576381 21.154136) (xy 160.643912 20.931516) (xy 160.666714 20.7) (xy 160.833286 20.7) (xy 160.856088 20.931516) + (xy 160.923619 21.154136) (xy 161.033283 21.359303) (xy 161.180866 21.539134) (xy 161.360697 21.686717) (xy 161.565864 21.796381) + (xy 161.788484 21.863912) (xy 161.961984 21.881) (xy 162.078016 21.881) (xy 162.251516 21.863912) (xy 162.474136 21.796381) + (xy 162.679303 21.686717) (xy 162.859134 21.539134) (xy 163.006717 21.359303) (xy 163.116381 21.154136) (xy 163.183912 20.931516) + (xy 163.206714 20.7) (xy 163.373286 20.7) (xy 163.396088 20.931516) (xy 163.463619 21.154136) (xy 163.573283 21.359303) + (xy 163.720866 21.539134) (xy 163.900697 21.686717) (xy 164.105864 21.796381) (xy 164.328484 21.863912) (xy 164.501984 21.881) + (xy 164.618016 21.881) (xy 164.791516 21.863912) (xy 165.014136 21.796381) (xy 165.219303 21.686717) (xy 165.399134 21.539134) + (xy 165.546717 21.359303) (xy 165.656381 21.154136) (xy 165.723912 20.931516) (xy 165.746714 20.7) (xy 165.913286 20.7) + (xy 165.936088 20.931516) (xy 166.003619 21.154136) (xy 166.113283 21.359303) (xy 166.260866 21.539134) (xy 166.440697 21.686717) + (xy 166.645864 21.796381) (xy 166.868484 21.863912) (xy 167.041984 21.881) (xy 167.158016 21.881) (xy 167.331516 21.863912) + (xy 167.554136 21.796381) (xy 167.759303 21.686717) (xy 167.939134 21.539134) (xy 168.086717 21.359303) (xy 168.196381 21.154136) + (xy 168.263912 20.931516) (xy 168.286714 20.7) (xy 168.453286 20.7) (xy 168.476088 20.931516) (xy 168.543619 21.154136) + (xy 168.653283 21.359303) (xy 168.800866 21.539134) (xy 168.980697 21.686717) (xy 169.185864 21.796381) (xy 169.408484 21.863912) + (xy 169.581984 21.881) (xy 169.698016 21.881) (xy 169.871516 21.863912) (xy 170.094136 21.796381) (xy 170.299303 21.686717) + (xy 170.479134 21.539134) (xy 170.626717 21.359303) (xy 170.736381 21.154136) (xy 170.803912 20.931516) (xy 170.826714 20.7) + (xy 170.993286 20.7) (xy 171.016088 20.931516) (xy 171.083619 21.154136) (xy 171.193283 21.359303) (xy 171.340866 21.539134) + (xy 171.520697 21.686717) (xy 171.725864 21.796381) (xy 171.948484 21.863912) (xy 172.121984 21.881) (xy 172.238016 21.881) + (xy 172.411516 21.863912) (xy 172.634136 21.796381) (xy 172.839303 21.686717) (xy 173.019134 21.539134) (xy 173.166717 21.359303) + (xy 173.276381 21.154136) (xy 173.343912 20.931516) (xy 173.366714 20.7) (xy 173.533286 20.7) (xy 173.556088 20.931516) + (xy 173.623619 21.154136) (xy 173.733283 21.359303) (xy 173.880866 21.539134) (xy 174.060697 21.686717) (xy 174.265864 21.796381) + (xy 174.488484 21.863912) (xy 174.661984 21.881) (xy 174.778016 21.881) (xy 174.951516 21.863912) (xy 175.174136 21.796381) + (xy 175.379303 21.686717) (xy 175.559134 21.539134) (xy 175.706717 21.359303) (xy 175.816381 21.154136) (xy 175.883912 20.931516) + (xy 175.906714 20.7) (xy 176.073286 20.7) (xy 176.096088 20.931516) (xy 176.163619 21.154136) (xy 176.273283 21.359303) + (xy 176.420866 21.539134) (xy 176.600697 21.686717) (xy 176.805864 21.796381) (xy 177.028484 21.863912) (xy 177.201984 21.881) + (xy 177.318016 21.881) (xy 177.491516 21.863912) (xy 177.714136 21.796381) (xy 177.919303 21.686717) (xy 178.099134 21.539134) + (xy 178.246717 21.359303) (xy 178.356381 21.154136) (xy 178.423912 20.931516) (xy 178.446714 20.7) (xy 178.423912 20.468484) + (xy 178.356381 20.245864) (xy 178.246717 20.040697) (xy 178.099134 19.860866) (xy 177.919303 19.713283) (xy 177.714136 19.603619) + (xy 177.491516 19.536088) (xy 177.318016 19.519) (xy 177.201984 19.519) (xy 177.028484 19.536088) (xy 176.805864 19.603619) + (xy 176.600697 19.713283) (xy 176.420866 19.860866) (xy 176.273283 20.040697) (xy 176.163619 20.245864) (xy 176.096088 20.468484) + (xy 176.073286 20.7) (xy 175.906714 20.7) (xy 175.883912 20.468484) (xy 175.816381 20.245864) (xy 175.706717 20.040697) + (xy 175.559134 19.860866) (xy 175.379303 19.713283) (xy 175.174136 19.603619) (xy 174.951516 19.536088) (xy 174.778016 19.519) + (xy 174.661984 19.519) (xy 174.488484 19.536088) (xy 174.265864 19.603619) (xy 174.060697 19.713283) (xy 173.880866 19.860866) + (xy 173.733283 20.040697) (xy 173.623619 20.245864) (xy 173.556088 20.468484) (xy 173.533286 20.7) (xy 173.366714 20.7) + (xy 173.343912 20.468484) (xy 173.276381 20.245864) (xy 173.166717 20.040697) (xy 173.019134 19.860866) (xy 172.839303 19.713283) + (xy 172.634136 19.603619) (xy 172.411516 19.536088) (xy 172.238016 19.519) (xy 172.121984 19.519) (xy 171.948484 19.536088) + (xy 171.725864 19.603619) (xy 171.520697 19.713283) (xy 171.340866 19.860866) (xy 171.193283 20.040697) (xy 171.083619 20.245864) + (xy 171.016088 20.468484) (xy 170.993286 20.7) (xy 170.826714 20.7) (xy 170.803912 20.468484) (xy 170.736381 20.245864) + (xy 170.626717 20.040697) (xy 170.479134 19.860866) (xy 170.299303 19.713283) (xy 170.094136 19.603619) (xy 169.871516 19.536088) + (xy 169.698016 19.519) (xy 169.581984 19.519) (xy 169.408484 19.536088) (xy 169.185864 19.603619) (xy 168.980697 19.713283) + (xy 168.800866 19.860866) (xy 168.653283 20.040697) (xy 168.543619 20.245864) (xy 168.476088 20.468484) (xy 168.453286 20.7) + (xy 168.286714 20.7) (xy 168.263912 20.468484) (xy 168.196381 20.245864) (xy 168.086717 20.040697) (xy 167.939134 19.860866) + (xy 167.759303 19.713283) (xy 167.554136 19.603619) (xy 167.331516 19.536088) (xy 167.158016 19.519) (xy 167.041984 19.519) + (xy 166.868484 19.536088) (xy 166.645864 19.603619) (xy 166.440697 19.713283) (xy 166.260866 19.860866) (xy 166.113283 20.040697) + (xy 166.003619 20.245864) (xy 165.936088 20.468484) (xy 165.913286 20.7) (xy 165.746714 20.7) (xy 165.723912 20.468484) + (xy 165.656381 20.245864) (xy 165.546717 20.040697) (xy 165.399134 19.860866) (xy 165.219303 19.713283) (xy 165.014136 19.603619) + (xy 164.791516 19.536088) (xy 164.618016 19.519) (xy 164.501984 19.519) (xy 164.328484 19.536088) (xy 164.105864 19.603619) + (xy 163.900697 19.713283) (xy 163.720866 19.860866) (xy 163.573283 20.040697) (xy 163.463619 20.245864) (xy 163.396088 20.468484) + (xy 163.373286 20.7) (xy 163.206714 20.7) (xy 163.183912 20.468484) (xy 163.116381 20.245864) (xy 163.006717 20.040697) + (xy 162.859134 19.860866) (xy 162.679303 19.713283) (xy 162.474136 19.603619) (xy 162.251516 19.536088) (xy 162.078016 19.519) + (xy 161.961984 19.519) (xy 161.788484 19.536088) (xy 161.565864 19.603619) (xy 161.360697 19.713283) (xy 161.180866 19.860866) + (xy 161.033283 20.040697) (xy 160.923619 20.245864) (xy 160.856088 20.468484) (xy 160.833286 20.7) (xy 160.666714 20.7) + (xy 160.643912 20.468484) (xy 160.576381 20.245864) (xy 160.466717 20.040697) (xy 160.319134 19.860866) (xy 160.139303 19.713283) + (xy 159.934136 19.603619) (xy 159.711516 19.536088) (xy 159.538016 19.519) (xy 159.421984 19.519) (xy 159.248484 19.536088) + (xy 159.025864 19.603619) (xy 158.820697 19.713283) (xy 158.640866 19.860866) (xy 158.493283 20.040697) (xy 158.383619 20.245864) + (xy 158.316088 20.468484) (xy 158.293286 20.7) (xy 158.126714 20.7) (xy 158.103912 20.468484) (xy 158.036381 20.245864) + (xy 157.926717 20.040697) (xy 157.779134 19.860866) (xy 157.599303 19.713283) (xy 157.394136 19.603619) (xy 157.171516 19.536088) + (xy 156.998016 19.519) (xy 156.881984 19.519) (xy 156.708484 19.536088) (xy 156.485864 19.603619) (xy 156.280697 19.713283) + (xy 156.100866 19.860866) (xy 155.953283 20.040697) (xy 155.843619 20.245864) (xy 155.776088 20.468484) (xy 155.753286 20.7) + (xy 155.586714 20.7) (xy 155.563912 20.468484) (xy 155.496381 20.245864) (xy 155.386717 20.040697) (xy 155.239134 19.860866) + (xy 155.059303 19.713283) (xy 154.854136 19.603619) (xy 154.631516 19.536088) (xy 154.458016 19.519) (xy 154.341984 19.519) + (xy 154.168484 19.536088) (xy 153.945864 19.603619) (xy 153.740697 19.713283) (xy 153.560866 19.860866) (xy 153.413283 20.040697) + (xy 153.303619 20.245864) (xy 153.236088 20.468484) (xy 153.213286 20.7) (xy 153.046714 20.7) (xy 153.023912 20.468484) + (xy 152.956381 20.245864) (xy 152.846717 20.040697) (xy 152.699134 19.860866) (xy 152.519303 19.713283) (xy 152.314136 19.603619) + (xy 152.091516 19.536088) (xy 151.918016 19.519) (xy 151.801984 19.519) (xy 151.628484 19.536088) (xy 151.405864 19.603619) + (xy 151.200697 19.713283) (xy 151.020866 19.860866) (xy 150.873283 20.040697) (xy 150.763619 20.245864) (xy 150.696088 20.468484) + (xy 150.673286 20.7) (xy 150.506714 20.7) (xy 150.483912 20.468484) (xy 150.416381 20.245864) (xy 150.306717 20.040697) + (xy 150.159134 19.860866) (xy 149.979303 19.713283) (xy 149.774136 19.603619) (xy 149.757131 19.59846) (xy 150.065371 19.29022) + (xy 150.102051 19.253541) (xy 150.298682 19.313188) (xy 150.479528 19.331) (xy 150.600472 19.331) (xy 150.781318 19.313188) + (xy 151.013363 19.242798) (xy 151.227216 19.128491) (xy 151.41466 18.97466) (xy 151.568491 18.787216) (xy 151.682798 18.573363) + (xy 151.753188 18.341318) (xy 151.776956 18.1) (xy 151.843044 18.1) (xy 151.866812 18.341318) (xy 151.937202 18.573363) + (xy 152.051509 18.787216) (xy 152.20534 18.97466) (xy 152.392784 19.128491) (xy 152.606637 19.242798) (xy 152.838682 19.313188) + (xy 153.019528 19.331) (xy 153.140472 19.331) (xy 153.321318 19.313188) (xy 153.553363 19.242798) (xy 153.767216 19.128491) + (xy 153.95466 18.97466) (xy 154.108491 18.787216) (xy 154.222798 18.573363) (xy 154.293188 18.341318) (xy 154.316956 18.1) + (xy 154.315349 18.083682) (xy 162.719 18.083682) (xy 162.719 18.316318) (xy 162.764386 18.544485) (xy 162.853412 18.759413) + (xy 162.982658 18.952843) (xy 163.147157 19.117342) (xy 163.340587 19.246588) (xy 163.555515 19.335614) (xy 163.783682 19.381) + (xy 164.016318 19.381) (xy 164.244485 19.335614) (xy 164.459413 19.246588) (xy 164.652843 19.117342) (xy 164.817342 18.952843) + (xy 164.946588 18.759413) (xy 165.035614 18.544485) (xy 165.081 18.316318) (xy 165.081 18.083682) (xy 166.744 18.083682) + (xy 166.744 18.316318) (xy 166.789386 18.544485) (xy 166.878412 18.759413) (xy 167.007658 18.952843) (xy 167.172157 19.117342) + (xy 167.365587 19.246588) (xy 167.580515 19.335614) (xy 167.808682 19.381) (xy 168.041318 19.381) (xy 168.269485 19.335614) + (xy 168.484413 19.246588) (xy 168.677843 19.117342) (xy 168.842342 18.952843) (xy 168.971588 18.759413) (xy 169.060614 18.544485) + (xy 169.106 18.316318) (xy 169.106 18.083682) (xy 170.769 18.083682) (xy 170.769 18.316318) (xy 170.814386 18.544485) + (xy 170.903412 18.759413) (xy 171.032658 18.952843) (xy 171.197157 19.117342) (xy 171.390587 19.246588) (xy 171.605515 19.335614) + (xy 171.833682 19.381) (xy 172.066318 19.381) (xy 172.294485 19.335614) (xy 172.509413 19.246588) (xy 172.702843 19.117342) + (xy 172.867342 18.952843) (xy 172.996588 18.759413) (xy 173.085614 18.544485) (xy 173.131 18.316318) (xy 173.131 18.083682) + (xy 174.819 18.083682) (xy 174.819 18.316318) (xy 174.864386 18.544485) (xy 174.953412 18.759413) (xy 175.082658 18.952843) + (xy 175.247157 19.117342) (xy 175.440587 19.246588) (xy 175.655515 19.335614) (xy 175.883682 19.381) (xy 176.116318 19.381) + (xy 176.344485 19.335614) (xy 176.559413 19.246588) (xy 176.752843 19.117342) (xy 176.917342 18.952843) (xy 177.046588 18.759413) + (xy 177.135614 18.544485) (xy 177.181 18.316318) (xy 177.181 18.083682) (xy 177.135614 17.855515) (xy 177.046588 17.640587) + (xy 176.917342 17.447157) (xy 176.752843 17.282658) (xy 176.559413 17.153412) (xy 176.344485 17.064386) (xy 176.116318 17.019) + (xy 175.883682 17.019) (xy 175.655515 17.064386) (xy 175.440587 17.153412) (xy 175.247157 17.282658) (xy 175.082658 17.447157) + (xy 174.953412 17.640587) (xy 174.864386 17.855515) (xy 174.819 18.083682) (xy 173.131 18.083682) (xy 173.085614 17.855515) + (xy 172.996588 17.640587) (xy 172.867342 17.447157) (xy 172.702843 17.282658) (xy 172.509413 17.153412) (xy 172.294485 17.064386) + (xy 172.066318 17.019) (xy 171.833682 17.019) (xy 171.605515 17.064386) (xy 171.390587 17.153412) (xy 171.197157 17.282658) + (xy 171.032658 17.447157) (xy 170.903412 17.640587) (xy 170.814386 17.855515) (xy 170.769 18.083682) (xy 169.106 18.083682) + (xy 169.060614 17.855515) (xy 168.971588 17.640587) (xy 168.842342 17.447157) (xy 168.677843 17.282658) (xy 168.484413 17.153412) + (xy 168.269485 17.064386) (xy 168.041318 17.019) (xy 167.808682 17.019) (xy 167.580515 17.064386) (xy 167.365587 17.153412) + (xy 167.172157 17.282658) (xy 167.007658 17.447157) (xy 166.878412 17.640587) (xy 166.789386 17.855515) (xy 166.744 18.083682) + (xy 165.081 18.083682) (xy 165.035614 17.855515) (xy 164.946588 17.640587) (xy 164.817342 17.447157) (xy 164.652843 17.282658) + (xy 164.459413 17.153412) (xy 164.244485 17.064386) (xy 164.016318 17.019) (xy 163.783682 17.019) (xy 163.555515 17.064386) + (xy 163.340587 17.153412) (xy 163.147157 17.282658) (xy 162.982658 17.447157) (xy 162.853412 17.640587) (xy 162.764386 17.855515) + (xy 162.719 18.083682) (xy 154.315349 18.083682) (xy 154.293188 17.858682) (xy 154.222798 17.626637) (xy 154.108491 17.412784) + (xy 153.95466 17.22534) (xy 153.767216 17.071509) (xy 153.553363 16.957202) (xy 153.321318 16.886812) (xy 153.140472 16.869) + (xy 153.019528 16.869) (xy 152.838682 16.886812) (xy 152.606637 16.957202) (xy 152.392784 17.071509) (xy 152.20534 17.22534) + (xy 152.051509 17.412784) (xy 151.937202 17.626637) (xy 151.866812 17.858682) (xy 151.843044 18.1) (xy 151.776956 18.1) + (xy 151.753188 17.858682) (xy 151.682798 17.626637) (xy 151.568491 17.412784) (xy 151.41466 17.22534) (xy 151.227216 17.071509) + (xy 151.013363 16.957202) (xy 150.781318 16.886812) (xy 150.600472 16.869) (xy 150.479528 16.869) (xy 150.298682 16.886812) + (xy 150.066637 16.957202) (xy 149.852784 17.071509) (xy 149.66534 17.22534) (xy 149.511509 17.412784) (xy 149.397202 17.626637) + (xy 149.326812 17.858682) (xy 149.303044 18.1) (xy 149.326812 18.341318) (xy 149.386459 18.537949) (xy 149.34978 18.574629) + (xy 149.232843 18.691566) (xy 149.232843 17.25) (xy 149.225487 17.175311) (xy 149.203701 17.103492) (xy 149.168322 17.037304) + (xy 149.120711 16.979289) (xy 149.062696 16.931678) (xy 148.996508 16.896299) (xy 148.924689 16.874513) (xy 148.85 16.867157) + (xy 147.15 16.867157) (xy 147.075311 16.874513) (xy 147.003492 16.896299) (xy 146.937304 16.931678) (xy 146.879289 16.979289) + (xy 146.831678 17.037304) (xy 146.796299 17.103492) (xy 146.774513 17.175311) (xy 146.767157 17.25) (xy 146.767157 18.769001) + (xy 145.318227 18.769001) (xy 145.422798 18.573363) (xy 145.493188 18.341318) (xy 145.516956 18.1) (xy 145.493188 17.858682) + (xy 145.422798 17.626637) (xy 145.308491 17.412784) (xy 145.15466 17.22534) (xy 144.967216 17.071509) (xy 144.753363 16.957202) + (xy 144.521318 16.886812) (xy 144.340472 16.869) (xy 144.219528 16.869) (xy 144.038682 16.886812) (xy 143.806637 16.957202) + (xy 143.592784 17.071509) (xy 143.40534 17.22534) (xy 143.251509 17.412784) (xy 143.137202 17.626637) (xy 143.066812 17.858682) + (xy 143.043044 18.1) (xy 143.066812 18.341318) (xy 143.137202 18.573363) (xy 143.241773 18.769001) (xy 142.778227 18.769001) + (xy 142.882798 18.573363) (xy 142.953188 18.341318) (xy 142.976956 18.1) (xy 142.953188 17.858682) (xy 142.882798 17.626637) + (xy 142.768491 17.412784) (xy 142.61466 17.22534) (xy 142.427216 17.071509) (xy 142.213363 16.957202) (xy 141.981318 16.886812) + (xy 141.800472 16.869) (xy 141.679528 16.869) (xy 141.498682 16.886812) (xy 141.266637 16.957202) (xy 141.052784 17.071509) + (xy 140.86534 17.22534) (xy 140.711509 17.412784) (xy 140.597202 17.626637) (xy 140.526812 17.858682) (xy 140.503044 18.1) + (xy 140.526812 18.341318) (xy 140.586459 18.537949) (xy 140.432843 18.691566) (xy 140.432843 17.25) (xy 140.425487 17.175311) + (xy 140.403701 17.103492) (xy 140.368322 17.037304) (xy 140.320711 16.979289) (xy 140.262696 16.931678) (xy 140.196508 16.896299) + (xy 140.124689 16.874513) (xy 140.05 16.867157) (xy 138.35 16.867157) (xy 138.275311 16.874513) (xy 138.203492 16.896299) + (xy 138.137304 16.931678) (xy 138.079289 16.979289) (xy 138.031678 17.037304) (xy 137.996299 17.103492) (xy 137.974513 17.175311) + (xy 137.967157 17.25) (xy 137.967157 18.95) (xy 137.974513 19.024689) (xy 137.987954 19.068999) (xy 133.564853 19.068999) + (xy 133.539999 19.066551) (xy 133.515145 19.068999) (xy 133.440806 19.076321) (xy 133.345424 19.105254) (xy 133.25752 19.15224) + (xy 133.180472 19.215472) (xy 133.164628 19.234778) (xy 132.559786 19.839621) (xy 132.540474 19.85547) (xy 132.477242 19.932518) + (xy 132.461739 19.961521) (xy 132.379134 19.860866) (xy 132.199303 19.713283) (xy 131.994136 19.603619) (xy 131.771516 19.536088) + (xy 131.598016 19.519) (xy 131.481984 19.519) (xy 131.308484 19.536088) (xy 131.085864 19.603619) (xy 130.880697 19.713283) + (xy 130.806 19.774585) (xy 130.806 19.624845) (xy 130.808447 19.599999) (xy 130.806 19.575153) (xy 130.806 19.575146) + (xy 130.798678 19.500807) (xy 130.769745 19.405425) (xy 130.722759 19.317521) (xy 130.659527 19.240473) (xy 130.64022 19.224628) + (xy 128.690375 17.274784) (xy 128.674526 17.255472) (xy 128.597478 17.19224) (xy 128.509574 17.145254) (xy 128.414192 17.116321) + (xy 128.339853 17.108999) (xy 128.339845 17.108999) (xy 128.314999 17.106552) (xy 128.290153 17.108999) (xy 104.778848 17.108999) + (xy 104.754002 17.106552) (xy 104.729156 17.108999) (xy 104.729149 17.108999) (xy 104.664697 17.115347) (xy 104.654809 17.116321) + (xy 104.559428 17.145254) (xy 104.471524 17.19224) (xy 104.394476 17.255472) (xy 104.378631 17.274779) (xy 102.442204 19.211206) + (xy 102.369351 19.035322) (xy 102.261993 18.874649) (xy 102.125351 18.738007) (xy 101.964678 18.630649) (xy 101.786147 18.556699) + (xy 101.59662 18.519) (xy 101.40338 18.519) (xy 101.213853 18.556699) (xy 101.035322 18.630649) (xy 100.874649 18.738007) + (xy 100.738007 18.874649) (xy 100.630649 19.035322) (xy 100.556699 19.213853) (xy 100.519 19.40338) (xy 100.519 19.59662) + (xy 100.556699 19.786147) (xy 100.630649 19.964678) (xy 100.738007 20.125351) (xy 100.874649 20.261993) (xy 101.035322 20.369351) + (xy 101.211206 20.442205) (xy 100.881 20.772411) (xy 100.881 20.673078) (xy 100.850987 20.522191) (xy 100.792113 20.380058) + (xy 100.706642 20.252141) (xy 100.597859 20.143358) (xy 100.469942 20.057887) (xy 100.327809 19.999013) (xy 100.176922 19.969) + (xy 100.023078 19.969) (xy 99.872191 19.999013) (xy 99.836543 20.013779) (xy 99.869351 19.964678) (xy 99.943301 19.786147) + (xy 99.981 19.59662) (xy 99.981 19.40338) (xy 99.943301 19.213853) (xy 99.869351 19.035322) (xy 99.761993 18.874649) + (xy 99.625351 18.738007) (xy 99.464678 18.630649) (xy 99.286147 18.556699) (xy 99.09662 18.519) (xy 98.90338 18.519) + (xy 98.713853 18.556699) (xy 98.535322 18.630649) (xy 98.374649 18.738007) (xy 98.238007 18.874649) (xy 98.130649 19.035322) + (xy 98.056699 19.213853) (xy 98.019 19.40338) (xy 98.019 19.59662) (xy 98.056699 19.786147) (xy 98.130649 19.964678) + (xy 98.238007 20.125351) (xy 98.374649 20.261993) (xy 98.535322 20.369351) (xy 98.713853 20.443301) (xy 98.90338 20.481) + (xy 99.09662 20.481) (xy 99.286147 20.443301) (xy 99.401479 20.395529) (xy 99.349013 20.522191) (xy 99.319 20.673078) + (xy 99.319 20.709343) (xy 99.309357 20.718986) (xy 99.309352 20.71899) (xy 97.206 22.822343) (xy 97.206 16.606) + (xy 179.394001 16.606) + ) + ) + (filled_polygon + (pts + (xy 102.59399 22.597366) (xy 102.460252 22.460143) (xy 102.219426 22.294709) (xy 101.950953 22.179437) (xy 101.85689 22.158524) + (xy 101.627 22.279845) (xy 101.627 24.373) (xy 101.647 24.373) (xy 101.647 24.627) (xy 101.627 24.627) + (xy 101.627 26.720155) (xy 101.85689 26.841476) (xy 101.950953 26.820563) (xy 102.219426 26.705291) (xy 102.460252 26.539857) + (xy 102.59399 26.402634) (xy 102.593989 34.975143) (xy 102.591542 34.999989) (xy 102.593989 35.024835) (xy 102.593989 35.024842) + (xy 102.601311 35.099181) (xy 102.60382 35.107452) (xy 102.460252 34.960143) (xy 102.219426 34.794709) (xy 101.950953 34.679437) + (xy 101.85689 34.658524) (xy 101.627 34.779845) (xy 101.627 36.873) (xy 102.985 36.873) (xy 102.985 35.973) + (xy 102.93169 35.685731) (xy 102.839688 35.455279) (xy 105.653408 38.269) (xy 105.539528 38.269) (xy 105.358682 38.286812) + (xy 105.126637 38.357202) (xy 104.912784 38.471509) (xy 104.72534 38.62534) (xy 104.571509 38.812784) (xy 104.457202 39.026637) + (xy 104.386812 39.258682) (xy 104.363044 39.5) (xy 104.386812 39.741318) (xy 104.457202 39.973363) (xy 104.571509 40.187216) + (xy 104.72534 40.37466) (xy 104.912784 40.528491) (xy 105.126637 40.642798) (xy 105.358682 40.713188) (xy 105.539528 40.731) + (xy 107.460472 40.731) (xy 107.641318 40.713188) (xy 107.873363 40.642798) (xy 108.087216 40.528491) (xy 108.193956 40.440892) + (xy 108.193956 41.059108) (xy 108.087216 40.971509) (xy 107.873363 40.857202) (xy 107.641318 40.786812) (xy 107.460472 40.769) + (xy 105.539528 40.769) (xy 105.358682 40.786812) (xy 105.126637 40.857202) (xy 104.912784 40.971509) (xy 104.72534 41.12534) + (xy 104.571509 41.312784) (xy 104.457202 41.526637) (xy 104.386812 41.758682) (xy 104.363044 42) (xy 104.386812 42.241318) + (xy 104.457202 42.473363) (xy 104.571509 42.687216) (xy 104.72534 42.87466) (xy 104.912784 43.028491) (xy 105.126637 43.142798) + (xy 105.358682 43.213188) (xy 105.539528 43.231) (xy 107.460472 43.231) (xy 107.641318 43.213188) (xy 107.873363 43.142798) + (xy 108.087216 43.028491) (xy 108.193957 42.940891) (xy 108.193957 45.090785) (xy 108.191509 45.115641) (xy 108.201278 45.214833) + (xy 108.230211 45.310215) (xy 108.24625 45.340221) (xy 108.277198 45.39812) (xy 108.34043 45.475168) (xy 108.359737 45.491013) + (xy 110.758943 47.89022) (xy 110.774788 47.909527) (xy 110.851836 47.972759) (xy 110.935445 48.017449) (xy 110.93974 48.019745) + (xy 111.035122 48.048678) (xy 111.134315 48.058448) (xy 111.159169 48.056) (xy 111.801499 48.056) (xy 111.902141 48.156642) + (xy 112.030058 48.242113) (xy 112.172191 48.300987) (xy 112.323078 48.331) (xy 112.476922 48.331) (xy 112.627809 48.300987) + (xy 112.769942 48.242113) (xy 112.897859 48.156642) (xy 113.006642 48.047859) (xy 113.092113 47.919942) (xy 113.138916 47.806952) + (xy 113.143573 47.812627) (xy 113.220621 47.875859) (xy 113.283514 47.909476) (xy 113.308525 47.922845) (xy 113.324764 47.927771) + (xy 113.340258 47.950959) (xy 113.449041 48.059742) (xy 113.576958 48.145213) (xy 113.719091 48.204087) (xy 113.869978 48.2341) + (xy 114.023822 48.2341) (xy 114.174709 48.204087) (xy 114.316842 48.145213) (xy 114.444759 48.059742) (xy 114.553542 47.950959) + (xy 114.639013 47.823042) (xy 114.697887 47.680909) (xy 114.7279 47.530022) (xy 114.7279 47.376178) (xy 114.697887 47.225291) + (xy 114.639013 47.083158) (xy 114.553542 46.955241) (xy 114.444759 46.846458) (xy 114.316842 46.760987) (xy 114.174709 46.702113) + (xy 114.151417 46.69748) (xy 114.200987 46.577809) (xy 114.231 46.426922) (xy 114.231 46.273078) (xy 114.200987 46.122191) + (xy 114.142113 45.980058) (xy 114.056642 45.852141) (xy 113.947859 45.743358) (xy 113.819942 45.657887) (xy 113.677809 45.599013) + (xy 113.526922 45.569) (xy 113.373078 45.569) (xy 113.281 45.587315) (xy 113.281 45.523078) (xy 113.250987 45.372191) + (xy 113.192113 45.230058) (xy 113.106642 45.102141) (xy 112.997859 44.993358) (xy 112.869942 44.907887) (xy 112.727809 44.849013) + (xy 112.626062 44.828774) (xy 112.626062 44.76738) (xy 112.596049 44.616493) (xy 112.537175 44.47436) (xy 112.451704 44.346443) + (xy 112.342921 44.23766) (xy 112.215004 44.152189) (xy 112.072871 44.093315) (xy 111.921984 44.063302) (xy 111.779654 44.063302) + (xy 111.006 43.289649) (xy 111.006 42.07357) (xy 111.072191 42.100987) (xy 111.223078 42.131) (xy 111.376922 42.131) + (xy 111.527809 42.100987) (xy 111.669942 42.042113) (xy 111.797859 41.956642) (xy 111.906642 41.847859) (xy 111.992113 41.719942) + (xy 112.050987 41.577809) (xy 112.081 41.426922) (xy 112.081 41.280434) (xy 112.109359 41.245879) (xy 112.12071 41.224643) + (xy 112.4232 41.224643) (xy 112.497889 41.217287) (xy 112.569708 41.195501) (xy 112.635896 41.160122) (xy 112.693911 41.112511) + (xy 112.741522 41.054496) (xy 112.776901 40.988308) (xy 112.798687 40.916489) (xy 112.806043 40.8418) (xy 112.806043 40.3338) + (xy 112.798687 40.259111) (xy 112.778966 40.1941) (xy 112.798687 40.129089) (xy 112.806043 40.0544) (xy 112.806043 39.5464) + (xy 112.798687 39.471711) (xy 112.776901 39.399892) (xy 112.773752 39.394) (xy 112.776901 39.388108) (xy 112.798687 39.316289) + (xy 112.806043 39.2416) (xy 112.806043 38.961066) (xy 112.86754 38.912128) (xy 112.948339 38.816643) (xy 113.008958 38.707229) + (xy 113.047066 38.588091) (xy 113.0582 38.48595) (xy 112.89945 38.3272) (xy 111.8136 38.3272) (xy 111.8136 38.3472) + (xy 111.5596 38.3472) (xy 111.5596 38.3272) (xy 111.5396 38.3272) (xy 111.5396 38.0732) (xy 111.5596 38.0732) + (xy 111.5596 38.0532) (xy 111.8136 38.0532) (xy 111.8136 38.0732) (xy 112.89945 38.0732) (xy 113.0582 37.91445) + (xy 113.047066 37.812309) (xy 113.008958 37.693171) (xy 112.948339 37.583757) (xy 112.86754 37.488272) (xy 112.806043 37.439334) + (xy 112.806043 37.1334) (xy 112.798687 37.058711) (xy 112.778966 36.9937) (xy 112.798687 36.928689) (xy 112.800854 36.906688) + (xy 112.923078 36.931) (xy 113.076922 36.931) (xy 113.227809 36.900987) (xy 113.369942 36.842113) (xy 113.497859 36.756642) + (xy 113.606642 36.647859) (xy 113.692113 36.519942) (xy 113.750987 36.377809) (xy 113.781 36.226922) (xy 113.781 36.073078) + (xy 113.750987 35.922191) (xy 113.692113 35.780058) (xy 113.606642 35.652141) (xy 113.497859 35.543358) (xy 113.369942 35.457887) + (xy 113.227809 35.399013) (xy 113.076922 35.369) (xy 112.923078 35.369) (xy 112.910696 35.371463) (xy 112.857175 35.342855) + (xy 112.79901 35.325211) (xy 112.806043 35.2538) (xy 112.806043 34.7934) (xy 113.210587 34.7934) (xy 117.25932 38.842134) + (xy 117.259325 38.842138) (xy 117.268968 38.851781) (xy 117.268968 38.888046) (xy 117.298981 39.038933) (xy 117.357855 39.181066) + (xy 117.443326 39.308983) (xy 117.552109 39.417766) (xy 117.680026 39.503237) (xy 117.822159 39.562111) (xy 117.973046 39.592124) + (xy 118.12689 39.592124) (xy 118.277777 39.562111) (xy 118.41991 39.503237) (xy 118.547827 39.417766) (xy 118.65661 39.308983) + (xy 118.742081 39.181066) (xy 118.800955 39.038933) (xy 118.830968 38.888046) (xy 118.830968 38.734202) (xy 118.800955 38.583315) + (xy 118.742081 38.441182) (xy 118.65661 38.313265) (xy 118.547827 38.204482) (xy 118.41991 38.119011) (xy 118.277777 38.060137) + (xy 118.12689 38.030124) (xy 118.090625 38.030124) (xy 118.080982 38.020481) (xy 118.080978 38.020476) (xy 113.882261 33.82176) + (xy 113.864061 33.799583) (xy 113.775592 33.726979) (xy 113.674659 33.673029) (xy 113.56514 33.639807) (xy 113.479784 33.6314) + (xy 113.451244 33.628589) (xy 113.422704 33.6314) (xy 112.806043 33.6314) (xy 112.806043 33.373066) (xy 112.86754 33.324128) + (xy 112.948339 33.228643) (xy 113.008958 33.119229) (xy 113.047066 33.000091) (xy 113.0582 32.89795) (xy 112.89945 32.7392) + (xy 111.8136 32.7392) (xy 111.8136 32.7592) (xy 111.5596 32.7592) (xy 111.5596 32.7392) (xy 111.5396 32.7392) + (xy 111.5396 32.4852) (xy 111.5596 32.4852) (xy 111.5596 32.4652) (xy 111.8136 32.4652) (xy 111.8136 32.4852) + (xy 112.89945 32.4852) (xy 113.0582 32.32645) (xy 113.047066 32.224309) (xy 113.008958 32.105171) (xy 113.006627 32.100964) + (xy 113.0582 32.106043) (xy 113.5662 32.106043) (xy 113.640889 32.098687) (xy 113.7059 32.078966) (xy 113.770911 32.098687) + (xy 113.8456 32.106043) (xy 114.3536 32.106043) (xy 114.406401 32.100843) (xy 114.406401 32.577471) (xy 114.380958 32.602914) + (xy 114.295487 32.730831) (xy 114.236613 32.872964) (xy 114.2066 33.023851) (xy 114.2066 33.177695) (xy 114.236613 33.328582) + (xy 114.295487 33.470715) (xy 114.380958 33.598632) (xy 114.489741 33.707415) (xy 114.617658 33.792886) (xy 114.759791 33.85176) + (xy 114.910678 33.881773) (xy 115.064522 33.881773) (xy 115.215409 33.85176) (xy 115.357542 33.792886) (xy 115.485459 33.707415) + (xy 115.594242 33.598632) (xy 115.679713 33.470715) (xy 115.738587 33.328582) (xy 115.767943 33.181) (xy 115.789522 33.181) + (xy 115.940409 33.150987) (xy 116.082542 33.092113) (xy 116.210459 33.006642) (xy 116.319242 32.897859) (xy 116.404713 32.769942) + (xy 116.463587 32.627809) (xy 116.4936 32.476922) (xy 116.4936 32.323078) (xy 116.463587 32.172191) (xy 116.404713 32.030058) + (xy 116.3856 32.001453) (xy 116.3856 31.1136) (xy 116.3656 31.1136) (xy 116.3656 30.8596) (xy 116.3856 30.8596) + (xy 116.3856 29.77375) (xy 116.22685 29.615) (xy 116.124709 29.626134) (xy 116.063601 29.64568) (xy 116.059327 29.640473) + (xy 116.04002 29.624628) (xy 115.471391 29.056) (xy 116.229411 29.056) (xy 116.79338 29.61997) (xy 116.6396 29.77375) + (xy 116.6396 30.8596) (xy 116.6596 30.8596) (xy 116.6596 31.1136) (xy 116.6396 31.1136) (xy 116.6396 32.19945) + (xy 116.719 32.27885) (xy 116.719001 35.219963) (xy 116.716189 35.248513) (xy 116.727407 35.362408) (xy 116.760629 35.471927) + (xy 116.814579 35.57286) (xy 116.820827 35.580473) (xy 116.887184 35.66133) (xy 116.909356 35.679526) (xy 118.918989 37.68916) + (xy 118.91899 38.426922) (xy 118.910618 38.442585) (xy 118.877396 38.552104) (xy 118.866178 38.665999) (xy 118.868989 38.694539) + (xy 118.868989 39.471449) (xy 118.866178 39.499989) (xy 118.868989 39.528529) (xy 118.877396 39.613885) (xy 118.886337 39.643358) + (xy 118.910618 39.723403) (xy 118.964568 39.824336) (xy 118.964569 39.824337) (xy 119.037173 39.912806) (xy 119.059345 39.931002) + (xy 119.219 40.090657) (xy 119.219 40.126922) (xy 119.249013 40.277809) (xy 119.307887 40.419942) (xy 119.393358 40.547859) + (xy 119.502141 40.656642) (xy 119.630058 40.742113) (xy 119.772191 40.800987) (xy 119.923078 40.831) (xy 120.076922 40.831) + (xy 120.227809 40.800987) (xy 120.369942 40.742113) (xy 120.497859 40.656642) (xy 120.523501 40.631) (xy 120.805485 40.631) + (xy 120.834025 40.633811) (xy 120.862565 40.631) (xy 120.947921 40.622593) (xy 121.05744 40.589371) (xy 121.158373 40.535421) + (xy 121.246842 40.462817) (xy 121.265041 40.440641) (xy 121.440645 40.265038) (xy 121.462817 40.246842) (xy 121.535421 40.158373) + (xy 121.589371 40.05744) (xy 121.622593 39.947921) (xy 121.631 39.862565) (xy 121.633811 39.834025) (xy 121.631 39.805485) + (xy 121.631 38.779351) (xy 121.651661 38.816643) (xy 121.73246 38.912128) (xy 121.793957 38.961066) (xy 121.793957 39.2416) + (xy 121.801313 39.316289) (xy 121.823099 39.388108) (xy 121.826248 39.394) (xy 121.823099 39.399892) (xy 121.801313 39.471711) + (xy 121.793957 39.5464) (xy 121.793957 40.0544) (xy 121.801313 40.129089) (xy 121.821034 40.1941) (xy 121.801313 40.259111) + (xy 121.793957 40.3338) (xy 121.793957 40.8418) (xy 121.801313 40.916489) (xy 121.823099 40.988308) (xy 121.858478 41.054496) + (xy 121.906089 41.112511) (xy 121.964104 41.160122) (xy 122.030292 41.195501) (xy 122.102111 41.217287) (xy 122.1768 41.224643) + (xy 122.4074 41.224643) (xy 122.407401 43.7554) (xy 121.839647 43.187646) (xy 121.860122 43.162696) (xy 121.895501 43.096508) + (xy 121.917287 43.024689) (xy 121.924643 42.95) (xy 121.924643 41.4768) (xy 121.917287 41.402111) (xy 121.895501 41.330292) + (xy 121.860122 41.264104) (xy 121.812511 41.206089) (xy 121.754496 41.158478) (xy 121.688308 41.123099) (xy 121.616489 41.101313) + (xy 121.5418 41.093957) (xy 121.0338 41.093957) (xy 120.959111 41.101313) (xy 120.8941 41.121034) (xy 120.829089 41.101313) + (xy 120.7544 41.093957) (xy 120.448466 41.093957) (xy 120.399528 41.03246) (xy 120.304043 40.951661) (xy 120.194629 40.891042) + (xy 120.075491 40.852934) (xy 119.97335 40.8418) (xy 119.8146 41.00055) (xy 119.8146 42.0864) (xy 119.8346 42.0864) + (xy 119.8346 42.3404) (xy 119.8146 42.3404) (xy 119.8146 43.42625) (xy 119.97335 43.585) (xy 120.075491 43.573866) + (xy 120.136339 43.554403) (xy 120.140873 43.559927) (xy 120.160185 43.575776) (xy 125.120795 48.536386) (xy 125.083358 48.573823) + (xy 124.997887 48.70174) (xy 124.992423 48.714931) (xy 124.908358 48.669997) (xy 124.668962 48.597377) (xy 124.42 48.572856) + (xy 124.171037 48.597377) (xy 123.931641 48.669997) (xy 123.84903 48.714153) (xy 123.843173 48.700012) (xy 123.757702 48.572095) + (xy 123.648919 48.463312) (xy 123.521002 48.377841) (xy 123.378869 48.318967) (xy 123.227982 48.288954) (xy 123.074138 48.288954) + (xy 122.923251 48.318967) (xy 122.781118 48.377841) (xy 122.653201 48.463312) (xy 122.544418 48.572095) (xy 122.458947 48.700012) + (xy 122.452705 48.715081) (xy 122.386 48.679427) (xy 122.386 47.368444) (xy 122.388448 47.34359) (xy 122.378678 47.244397) + (xy 122.349745 47.149015) (xy 122.340116 47.131) (xy 122.302759 47.061111) (xy 122.239527 46.984063) (xy 122.22022 46.968218) + (xy 119.4062 44.154199) (xy 119.4062 43.58065) (xy 119.5606 43.42625) (xy 119.5606 42.3404) (xy 119.5406 42.3404) + (xy 119.5406 42.0864) (xy 119.5606 42.0864) (xy 119.5606 41.00055) (xy 119.40185 40.8418) (xy 119.299709 40.852934) + (xy 119.180571 40.891042) (xy 119.071157 40.951661) (xy 118.975672 41.03246) (xy 118.926734 41.093957) (xy 118.737753 41.093957) + (xy 118.783841 41.047869) (xy 118.869312 40.919952) (xy 118.928186 40.777819) (xy 118.958199 40.626932) (xy 118.958199 40.473088) + (xy 118.928186 40.322201) (xy 118.869312 40.180068) (xy 118.783841 40.052151) (xy 118.675058 39.943368) (xy 118.547141 39.857897) + (xy 118.405008 39.799023) (xy 118.254121 39.76901) (xy 118.100277 39.76901) (xy 117.94939 39.799023) (xy 117.807257 39.857897) + (xy 117.67934 39.943368) (xy 117.653698 39.96901) (xy 116.25513 39.96901) (xy 116.22659 39.966199) (xy 116.19805 39.96901) + (xy 116.112694 39.977417) (xy 116.003175 40.010639) (xy 115.902242 40.064589) (xy 115.813773 40.137193) (xy 115.795577 40.159365) + (xy 115.309155 40.645788) (xy 115.286984 40.663983) (xy 115.246603 40.713188) (xy 115.214379 40.752453) (xy 115.160429 40.853386) + (xy 115.127207 40.962905) (xy 115.115989 41.0768) (xy 115.117679 41.093957) (xy 114.6584 41.093957) (xy 114.583711 41.101313) + (xy 114.511892 41.123099) (xy 114.506 41.126248) (xy 114.500108 41.123099) (xy 114.428289 41.101313) (xy 114.3536 41.093957) + (xy 113.8456 41.093957) (xy 113.770911 41.101313) (xy 113.7059 41.121034) (xy 113.640889 41.101313) (xy 113.5662 41.093957) + (xy 113.0582 41.093957) (xy 112.983511 41.101313) (xy 112.911692 41.123099) (xy 112.845504 41.158478) (xy 112.787489 41.206089) + (xy 112.739878 41.264104) (xy 112.704499 41.330292) (xy 112.682713 41.402111) (xy 112.675357 41.4768) (xy 112.675357 42.95) + (xy 112.682713 43.024689) (xy 112.704499 43.096508) (xy 112.739878 43.162696) (xy 112.787489 43.220711) (xy 112.806201 43.236067) + (xy 112.806201 43.855606) (xy 112.792897 43.887724) (xy 112.762884 44.038611) (xy 112.762884 44.192455) (xy 112.792897 44.343342) + (xy 112.851771 44.485475) (xy 112.937242 44.613392) (xy 113.046025 44.722175) (xy 113.173942 44.807646) (xy 113.316075 44.86652) + (xy 113.466962 44.896533) (xy 113.620806 44.896533) (xy 113.771693 44.86652) (xy 113.913826 44.807646) (xy 114.041743 44.722175) + (xy 114.056544 44.707375) (xy 114.066473 44.717304) (xy 114.19439 44.802775) (xy 114.336523 44.861649) (xy 114.48741 44.891662) + (xy 114.641254 44.891662) (xy 114.792141 44.861649) (xy 114.934274 44.802775) (xy 115.062191 44.717304) (xy 115.076992 44.702503) + (xy 115.07913 44.704641) (xy 115.207047 44.790112) (xy 115.34918 44.848986) (xy 115.500067 44.878999) (xy 115.653911 44.878999) + (xy 115.804798 44.848986) (xy 115.946931 44.790112) (xy 116.074848 44.704641) (xy 116.075991 44.703498) (xy 116.079139 44.706646) + (xy 116.207056 44.792117) (xy 116.349189 44.850991) (xy 116.500076 44.881004) (xy 116.65392 44.881004) (xy 116.804807 44.850991) + (xy 116.94694 44.792117) (xy 116.980868 44.769447) (xy 120.04801 47.83659) (xy 120.04801 48.496994) (xy 119.805876 48.392407) + (xy 119.703013 48.368866) (xy 119.467 48.48967) (xy 119.467 51.373) (xy 119.487 51.373) (xy 119.487 51.627) + (xy 119.467 51.627) (xy 119.467 51.647) (xy 119.213 51.647) (xy 119.213 51.627) (xy 116.927 51.627) + (xy 116.927 51.647) (xy 116.673 51.647) (xy 116.673 51.627) (xy 115.276 51.627) (xy 115.276 53.278) + (xy 115.33006 53.572877) (xy 115.440609 53.851542) (xy 115.603398 54.103285) (xy 115.812172 54.318433) (xy 116.058908 54.488717) + (xy 116.334124 54.607593) (xy 116.436987 54.631134) (xy 116.672998 54.510331) (xy 116.672998 54.65142) (xy 114.042078 54.65142) + (xy 112.929496 53.538838) (xy 112.971623 53.399963) (xy 112.99 53.21338) (xy 112.99 49.78662) (xy 112.983636 49.722) + (xy 115.276 49.722) (xy 115.276 51.373) (xy 116.673 51.373) (xy 116.673 48.48967) (xy 116.927 48.48967) + (xy 116.927 51.373) (xy 119.213 51.373) (xy 119.213 48.48967) (xy 118.976987 48.368866) (xy 118.874124 48.392407) + (xy 118.598908 48.511283) (xy 118.352172 48.681567) (xy 118.143398 48.896715) (xy 118.07 49.01022) (xy 117.996602 48.896715) + (xy 117.787828 48.681567) (xy 117.541092 48.511283) (xy 117.265876 48.392407) (xy 117.163013 48.368866) (xy 116.927 48.48967) + (xy 116.673 48.48967) (xy 116.436987 48.368866) (xy 116.334124 48.392407) (xy 116.058908 48.511283) (xy 115.812172 48.681567) + (xy 115.603398 48.896715) (xy 115.440609 49.148458) (xy 115.33006 49.427123) (xy 115.276 49.722) (xy 112.983636 49.722) + (xy 112.971623 49.600037) (xy 112.899003 49.360641) (xy 112.781075 49.140012) (xy 112.62237 48.94663) (xy 112.428987 48.787925) + (xy 112.208358 48.669997) (xy 111.968962 48.597377) (xy 111.72 48.572856) (xy 111.471037 48.597377) (xy 111.231641 48.669997) + (xy 111.011012 48.787925) (xy 110.81763 48.94663) (xy 110.658925 49.140013) (xy 110.540997 49.360642) (xy 110.468377 49.600038) + (xy 110.45 49.78662) (xy 110.431623 49.600037) (xy 110.359003 49.360641) (xy 110.241075 49.140012) (xy 110.08237 48.94663) + (xy 109.888987 48.787925) (xy 109.761 48.719515) (xy 109.761 48.588536) (xy 109.763811 48.559999) (xy 109.76064 48.527809) + (xy 109.752593 48.446104) (xy 109.719371 48.336585) (xy 109.665421 48.235652) (xy 109.592817 48.147183) (xy 109.570645 48.128987) + (xy 109.151017 47.70936) (xy 109.132817 47.687183) (xy 109.044348 47.614579) (xy 108.943415 47.560629) (xy 108.833896 47.527407) + (xy 108.74854 47.519) (xy 108.720765 47.516264) (xy 108.697859 47.493358) (xy 108.569942 47.407887) (xy 108.427809 47.349013) + (xy 108.276922 47.319) (xy 108.123078 47.319) (xy 107.972191 47.349013) (xy 107.830058 47.407887) (xy 107.702141 47.493358) + (xy 107.593358 47.602141) (xy 107.507887 47.730058) (xy 107.449013 47.872191) (xy 107.419 48.023078) (xy 107.419 48.176922) + (xy 107.449013 48.327809) (xy 107.507887 48.469942) (xy 107.593358 48.597859) (xy 107.702141 48.706642) (xy 107.830058 48.792113) + (xy 107.972191 48.850987) (xy 108.123078 48.881) (xy 108.276922 48.881) (xy 108.38341 48.859818) (xy 108.27763 48.94663) + (xy 108.118925 49.140013) (xy 108.000997 49.360642) (xy 107.928377 49.600038) (xy 107.91 49.78662) (xy 107.891623 49.600037) + (xy 107.819003 49.360641) (xy 107.701075 49.140012) (xy 107.54237 48.94663) (xy 107.348987 48.787925) (xy 107.128358 48.669997) + (xy 106.888962 48.597377) (xy 106.64 48.572856) (xy 106.391037 48.597377) (xy 106.151641 48.669997) (xy 105.931012 48.787925) + (xy 105.73763 48.94663) (xy 105.578925 49.140013) (xy 105.460997 49.360642) (xy 105.388377 49.600038) (xy 105.37 49.78662) + (xy 105.351623 49.600037) (xy 105.279003 49.360641) (xy 105.161075 49.140012) (xy 105.00237 48.94663) (xy 104.808987 48.787925) + (xy 104.606 48.679427) (xy 104.606 46.61865) (xy 104.608447 46.593804) (xy 104.606 46.568958) (xy 104.606 46.568951) + (xy 104.598678 46.494612) (xy 104.569745 46.39923) (xy 104.522759 46.311326) (xy 104.459527 46.234278) (xy 104.44022 46.218433) + (xy 103.134098 44.912311) (xy 103.134098 44.76998) (xy 103.104085 44.619093) (xy 103.045211 44.47696) (xy 102.95974 44.349043) + (xy 102.850957 44.24026) (xy 102.72304 44.154789) (xy 102.580907 44.095915) (xy 102.43002 44.065902) (xy 102.276176 44.065902) + (xy 102.125289 44.095915) (xy 101.983156 44.154789) (xy 101.855239 44.24026) (xy 101.746456 44.349043) (xy 101.660985 44.47696) + (xy 101.602111 44.619093) (xy 101.572098 44.76998) (xy 101.572098 44.923824) (xy 101.602111 45.074711) (xy 101.660985 45.216844) + (xy 101.746456 45.344761) (xy 101.855239 45.453544) (xy 101.983156 45.539015) (xy 102.125289 45.597889) (xy 102.276176 45.627902) + (xy 102.418507 45.627902) (xy 103.594001 46.803398) (xy 103.594001 48.679426) (xy 103.391012 48.787925) (xy 103.19763 48.94663) + (xy 103.038925 49.140013) (xy 102.920997 49.360642) (xy 102.848377 49.600038) (xy 102.83 49.786621) (xy 102.830001 53.21338) + (xy 102.846501 53.38091) (xy 98.53101 49.065419) (xy 98.53101 47.46446) (xy 98.644461 47.738355) (xy 98.861257 48.062814) + (xy 99.137186 48.338743) (xy 99.461645 48.555539) (xy 99.822164 48.704871) (xy 100.204889 48.781) (xy 100.595111 48.781) + (xy 100.977836 48.704871) (xy 101.338355 48.555539) (xy 101.662814 48.338743) (xy 101.938743 48.062814) (xy 102.155539 47.738355) + (xy 102.304871 47.377836) (xy 102.381 46.995111) (xy 102.381 46.604889) (xy 102.304871 46.222164) (xy 102.155539 45.861645) + (xy 101.938743 45.537186) (xy 101.662814 45.261257) (xy 101.338355 45.044461) (xy 100.977836 44.895129) (xy 100.595111 44.819) + (xy 100.204889 44.819) (xy 99.822164 44.895129) (xy 99.461645 45.044461) (xy 99.137186 45.261257) (xy 98.861257 45.537186) + (xy 98.644461 45.861645) (xy 98.53101 46.13554) (xy 98.53101 42.86647) (xy 98.535322 42.869351) (xy 98.713853 42.943301) + (xy 98.90338 42.981) (xy 99.09662 42.981) (xy 99.286147 42.943301) (xy 99.464678 42.869351) (xy 99.625351 42.761993) + (xy 99.761993 42.625351) (xy 99.869351 42.464678) (xy 99.943301 42.286147) (xy 99.981 42.09662) (xy 99.981 41.90338) + (xy 100.519 41.90338) (xy 100.519 42.09662) (xy 100.556699 42.286147) (xy 100.630649 42.464678) (xy 100.738007 42.625351) + (xy 100.874649 42.761993) (xy 101.035322 42.869351) (xy 101.213853 42.943301) (xy 101.40338 42.981) (xy 101.59662 42.981) + (xy 101.786147 42.943301) (xy 101.964678 42.869351) (xy 102.125351 42.761993) (xy 102.261993 42.625351) (xy 102.369351 42.464678) + (xy 102.443301 42.286147) (xy 102.481 42.09662) (xy 102.481 41.90338) (xy 102.443301 41.713853) (xy 102.369351 41.535322) + (xy 102.261993 41.374649) (xy 102.125351 41.238007) (xy 101.964678 41.130649) (xy 101.786147 41.056699) (xy 101.59662 41.019) + (xy 101.40338 41.019) (xy 101.213853 41.056699) (xy 101.035322 41.130649) (xy 100.874649 41.238007) (xy 100.738007 41.374649) + (xy 100.630649 41.535322) (xy 100.556699 41.713853) (xy 100.519 41.90338) (xy 99.981 41.90338) (xy 99.943301 41.713853) + (xy 99.869351 41.535322) (xy 99.761993 41.374649) (xy 99.625351 41.238007) (xy 99.464678 41.130649) (xy 99.286147 41.056699) + (xy 99.09662 41.019) (xy 98.90338 41.019) (xy 98.713853 41.056699) (xy 98.535322 41.130649) (xy 98.53101 41.13353) + (xy 98.53101 37.127) (xy 100.015 37.127) (xy 100.015 38.027) (xy 100.06831 38.314269) (xy 100.176639 38.585618) + (xy 100.335824 38.830619) (xy 100.539748 39.039857) (xy 100.780574 39.205291) (xy 101.049047 39.320563) (xy 101.14311 39.341476) + (xy 101.373 39.220155) (xy 101.373 37.127) (xy 101.627 37.127) (xy 101.627 39.220155) (xy 101.85689 39.341476) + (xy 101.950953 39.320563) (xy 102.219426 39.205291) (xy 102.460252 39.039857) (xy 102.664176 38.830619) (xy 102.823361 38.585618) + (xy 102.93169 38.314269) (xy 102.985 38.027) (xy 102.985 37.127) (xy 101.627 37.127) (xy 101.373 37.127) + (xy 100.015 37.127) (xy 98.53101 37.127) (xy 98.53101 35.973) (xy 100.015 35.973) (xy 100.015 36.873) + (xy 101.373 36.873) (xy 101.373 34.779845) (xy 101.14311 34.658524) (xy 101.049047 34.679437) (xy 100.780574 34.794709) + (xy 100.539748 34.960143) (xy 100.335824 35.169381) (xy 100.176639 35.414382) (xy 100.06831 35.685731) (xy 100.015 35.973) + (xy 98.53101 35.973) (xy 98.53101 32.86647) (xy 98.535322 32.869351) (xy 98.713853 32.943301) (xy 98.90338 32.981) + (xy 99.09662 32.981) (xy 99.286147 32.943301) (xy 99.464678 32.869351) (xy 99.625351 32.761993) (xy 99.761993 32.625351) + (xy 99.869351 32.464678) (xy 99.943301 32.286147) (xy 99.981 32.09662) (xy 99.981 31.90338) (xy 100.519 31.90338) + (xy 100.519 32.09662) (xy 100.556699 32.286147) (xy 100.630649 32.464678) (xy 100.738007 32.625351) (xy 100.874649 32.761993) + (xy 101.035322 32.869351) (xy 101.213853 32.943301) (xy 101.40338 32.981) (xy 101.59662 32.981) (xy 101.786147 32.943301) + (xy 101.964678 32.869351) (xy 102.125351 32.761993) (xy 102.261993 32.625351) (xy 102.369351 32.464678) (xy 102.443301 32.286147) + (xy 102.481 32.09662) (xy 102.481 31.90338) (xy 102.443301 31.713853) (xy 102.369351 31.535322) (xy 102.261993 31.374649) + (xy 102.125351 31.238007) (xy 101.964678 31.130649) (xy 101.786147 31.056699) (xy 101.59662 31.019) (xy 101.40338 31.019) + (xy 101.213853 31.056699) (xy 101.035322 31.130649) (xy 100.874649 31.238007) (xy 100.738007 31.374649) (xy 100.630649 31.535322) + (xy 100.556699 31.713853) (xy 100.519 31.90338) (xy 99.981 31.90338) (xy 99.943301 31.713853) (xy 99.869351 31.535322) + (xy 99.761993 31.374649) (xy 99.625351 31.238007) (xy 99.464678 31.130649) (xy 99.286147 31.056699) (xy 99.09662 31.019) + (xy 98.90338 31.019) (xy 98.713853 31.056699) (xy 98.535322 31.130649) (xy 98.53101 31.13353) (xy 98.53101 30.36647) + (xy 98.535322 30.369351) (xy 98.713853 30.443301) (xy 98.90338 30.481) (xy 99.09662 30.481) (xy 99.286147 30.443301) + (xy 99.464678 30.369351) (xy 99.625351 30.261993) (xy 99.761993 30.125351) (xy 99.869351 29.964678) (xy 99.943301 29.786147) + (xy 99.981 29.59662) (xy 99.981 29.40338) (xy 100.519 29.40338) (xy 100.519 29.59662) (xy 100.556699 29.786147) + (xy 100.630649 29.964678) (xy 100.738007 30.125351) (xy 100.874649 30.261993) (xy 101.035322 30.369351) (xy 101.213853 30.443301) + (xy 101.40338 30.481) (xy 101.59662 30.481) (xy 101.786147 30.443301) (xy 101.964678 30.369351) (xy 102.125351 30.261993) + (xy 102.261993 30.125351) (xy 102.369351 29.964678) (xy 102.443301 29.786147) (xy 102.481 29.59662) (xy 102.481 29.40338) + (xy 102.443301 29.213853) (xy 102.369351 29.035322) (xy 102.261993 28.874649) (xy 102.125351 28.738007) (xy 101.964678 28.630649) + (xy 101.786147 28.556699) (xy 101.59662 28.519) (xy 101.40338 28.519) (xy 101.213853 28.556699) (xy 101.035322 28.630649) + (xy 100.874649 28.738007) (xy 100.738007 28.874649) (xy 100.630649 29.035322) (xy 100.556699 29.213853) (xy 100.519 29.40338) + (xy 99.981 29.40338) (xy 99.943301 29.213853) (xy 99.869351 29.035322) (xy 99.761993 28.874649) (xy 99.625351 28.738007) + (xy 99.464678 28.630649) (xy 99.286147 28.556699) (xy 99.09662 28.519) (xy 98.90338 28.519) (xy 98.713853 28.556699) + (xy 98.535322 28.630649) (xy 98.53101 28.63353) (xy 98.53101 24.627) (xy 100.015 24.627) (xy 100.015 25.527) + (xy 100.06831 25.814269) (xy 100.176639 26.085618) (xy 100.335824 26.330619) (xy 100.539748 26.539857) (xy 100.780574 26.705291) + (xy 101.049047 26.820563) (xy 101.14311 26.841476) (xy 101.373 26.720155) (xy 101.373 24.627) (xy 100.015 24.627) + (xy 98.53101 24.627) (xy 98.53101 24.553583) (xy 100.188562 22.896031) (xy 100.176639 22.914382) (xy 100.06831 23.185731) + (xy 100.015 23.473) (xy 100.015 24.373) (xy 101.373 24.373) (xy 101.373 22.279845) (xy 101.14311 22.158524) + (xy 101.049047 22.179437) (xy 100.79689 22.287704) (xy 102.59399 20.490604) + ) + ) + (filled_polygon + (pts + (xy 137.247 51.373) (xy 137.267 51.373) (xy 137.267 51.627) (xy 137.247 51.627) (xy 137.247 51.647) + (xy 136.993 51.647) (xy 136.993 51.627) (xy 136.973 51.627) (xy 136.973 51.373) (xy 136.993 51.373) + (xy 136.993 51.353) (xy 137.247 51.353) + ) + ) + (filled_polygon + (pts + (xy 135.923398 48.896715) (xy 135.760609 49.148458) (xy 135.711609 49.271973) (xy 135.641075 49.140012) (xy 135.534041 49.009591) + (xy 135.556112 48.997793) (xy 135.63316 48.934561) (xy 135.649009 48.915249) (xy 135.711592 48.852666) (xy 135.966142 48.852666) + ) + ) + (filled_polygon + (pts + (xy 138.123619 41.785864) (xy 138.056088 42.008484) (xy 138.033286 42.24) (xy 138.056088 42.471516) (xy 138.123619 42.694136) + (xy 138.147 42.737879) (xy 138.147 44.598) (xy 138.99625 44.598) (xy 139.155 44.43925) (xy 139.157427 43.420551) + (xy 139.161984 43.421) (xy 139.278016 43.421) (xy 139.451516 43.403912) (xy 139.674136 43.336381) (xy 139.677157 43.334766) + (xy 139.677157 45.854567) (xy 139.569942 45.782929) (xy 139.427809 45.724055) (xy 139.276922 45.694042) (xy 139.156628 45.694042) + (xy 139.155 45.01075) (xy 138.99625 44.852) (xy 138.147 44.852) (xy 138.147 44.872) (xy 137.893 44.872) + (xy 137.893 44.852) (xy 137.04375 44.852) (xy 136.885 45.01075) (xy 136.881928 46.3) (xy 136.894188 46.424482) + (xy 136.914299 46.490778) (xy 136.912872 46.490637) (xy 136.912864 46.490637) (xy 136.888018 46.48819) (xy 136.863172 46.490637) + (xy 134.967642 46.490637) (xy 134.942796 46.48819) (xy 134.91795 46.490637) (xy 134.917943 46.490637) (xy 134.853491 46.496985) + (xy 134.843603 46.497959) (xy 134.82206 46.504494) (xy 134.748222 46.526892) (xy 134.660318 46.573878) (xy 134.58327 46.63711) + (xy 134.567421 46.656422) (xy 134.504842 46.719001) (xy 134.061591 46.719001) (xy 134.051469 46.70888) (xy 134.104494 46.680537) + (xy 134.201185 46.601185) (xy 134.280537 46.504494) (xy 134.339502 46.39418) (xy 134.375812 46.274482) (xy 134.388072 46.15) + (xy 134.385 45.43575) (xy 134.22625 45.277) (xy 133.127 45.277) (xy 133.127 45.297) (xy 132.873 45.297) + (xy 132.873 45.277) (xy 132.853 45.277) (xy 132.853 45.023) (xy 132.873 45.023) (xy 132.873 43.67375) + (xy 133.127 43.67375) (xy 133.127 45.023) (xy 134.22625 45.023) (xy 134.385 44.86425) (xy 134.388072 44.15) + (xy 134.375812 44.025518) (xy 134.339502 43.90582) (xy 134.280537 43.795506) (xy 134.201185 43.698815) (xy 134.104494 43.619463) + (xy 133.99418 43.560498) (xy 133.874482 43.524188) (xy 133.75 43.511928) (xy 133.28575 43.515) (xy 133.127 43.67375) + (xy 132.873 43.67375) (xy 132.71425 43.515) (xy 132.55215 43.513927) (xy 132.558115 43.483939) (xy 132.558115 43.330095) + (xy 132.528102 43.179208) (xy 132.469228 43.037075) (xy 132.383757 42.909158) (xy 132.274974 42.800375) (xy 132.147057 42.714904) + (xy 132.004924 42.65603) (xy 131.854037 42.626017) (xy 131.700193 42.626017) (xy 131.549306 42.65603) (xy 131.407173 42.714904) + (xy 131.279256 42.800375) (xy 131.170473 42.909158) (xy 131.085002 43.037075) (xy 131.040725 43.143967) (xy 130.174875 43.143967) + (xy 130.150029 43.14152) (xy 130.132001 43.143295) (xy 130.057117 43.068411) (xy 129.9292 42.98294) (xy 129.787067 42.924066) + (xy 129.63618 42.894053) (xy 129.482336 42.894053) (xy 129.331449 42.924066) (xy 129.189316 42.98294) (xy 129.061399 43.068411) + (xy 128.952616 43.177194) (xy 128.884157 43.27965) (xy 128.797859 43.193352) (xy 128.669942 43.107881) (xy 128.527809 43.049007) + (xy 128.376922 43.018994) (xy 128.223078 43.018994) (xy 128.072191 43.049007) (xy 127.930058 43.107881) (xy 127.802141 43.193352) + (xy 127.693358 43.302135) (xy 127.607887 43.430052) (xy 127.549013 43.572185) (xy 127.519 43.723072) (xy 127.519 43.791595) + (xy 127.503492 43.796299) (xy 127.483605 43.806929) (xy 127.483605 43.423776) (xy 127.486052 43.39893) (xy 127.483605 43.374084) + (xy 127.483605 43.374077) (xy 127.476283 43.299738) (xy 127.476118 43.299192) (xy 127.461862 43.252198) (xy 127.44735 43.204356) + (xy 127.400364 43.116452) (xy 127.337132 43.039404) (xy 127.317825 43.023559) (xy 126.662926 42.36866) (xy 134.946809 42.36866) + (xy 134.971655 42.371107) (xy 134.996501 42.36866) (xy 134.996509 42.36866) (xy 135.070848 42.361338) (xy 135.16623 42.332405) + (xy 135.254134 42.285419) (xy 135.331182 42.222187) (xy 135.347031 42.202875) (xy 135.51332 42.036586) (xy 135.493286 42.24) + (xy 135.516088 42.471516) (xy 135.583619 42.694136) (xy 135.693283 42.899303) (xy 135.840866 43.079134) (xy 136.020697 43.226717) + (xy 136.225864 43.336381) (xy 136.448484 43.403912) (xy 136.621984 43.421) (xy 136.738016 43.421) (xy 136.88254 43.406766) + (xy 136.885 44.43925) (xy 137.04375 44.598) (xy 137.893 44.598) (xy 137.893 42.67375) (xy 137.808268 42.589018) + (xy 137.843912 42.471516) (xy 137.866714 42.24) (xy 137.843912 42.008484) (xy 137.776381 41.785864) (xy 137.69459 41.632843) + (xy 138.20541 41.632843) + ) + ) + (filled_polygon + (pts + (xy 157.945254 42.974575) (xy 157.99224 43.06248) (xy 158.055472 43.139528) (xy 158.074784 43.155377) (xy 159.412246 44.492839) + (xy 159.40046 44.494) (xy 155.898501 44.494) (xy 155.797859 44.393358) (xy 155.669942 44.307887) (xy 155.527809 44.249013) + (xy 155.376922 44.219) (xy 155.223078 44.219) (xy 155.072191 44.249013) (xy 154.930058 44.307887) (xy 154.802141 44.393358) + (xy 154.693358 44.502141) (xy 154.607887 44.630058) (xy 154.549013 44.772191) (xy 154.519 44.923078) (xy 154.519 45.076922) + (xy 154.549013 45.227809) (xy 154.566053 45.268946) (xy 151.481 45.268946) (xy 151.481 45.173078) (xy 151.450987 45.022191) + (xy 151.392113 44.880058) (xy 151.306642 44.752141) (xy 151.197859 44.643358) (xy 151.069942 44.557887) (xy 150.927809 44.499013) + (xy 150.776922 44.469) (xy 150.623078 44.469) (xy 150.472191 44.499013) (xy 150.330058 44.557887) (xy 150.202141 44.643358) + (xy 150.093358 44.752141) (xy 150.075001 44.779615) (xy 150.056642 44.752139) (xy 149.947859 44.643356) (xy 149.819942 44.557885) + (xy 149.677809 44.499011) (xy 149.526922 44.468998) (xy 149.373078 44.468998) (xy 149.263445 44.490805) (xy 149.315 44.43925) + (xy 149.317427 43.420551) (xy 149.321984 43.421) (xy 149.438016 43.421) (xy 149.611516 43.403912) (xy 149.834136 43.336381) + (xy 150.039303 43.226717) (xy 150.219134 43.079134) (xy 150.290987 42.99158) (xy 151.004627 43.705221) (xy 151.020472 43.724528) + (xy 151.09752 43.78776) (xy 151.185424 43.834746) (xy 151.280806 43.863679) (xy 151.355145 43.871001) (xy 151.355152 43.871001) + (xy 151.379998 43.873448) (xy 151.404844 43.871001) (xy 154.975155 43.871001) (xy 155.000001 43.873448) (xy 155.024847 43.871001) + (xy 155.024855 43.871001) (xy 155.099194 43.863679) (xy 155.194576 43.834746) (xy 155.28248 43.78776) (xy 155.359528 43.724528) + (xy 155.375377 43.705216) (xy 155.925221 43.155373) (xy 155.944528 43.139528) (xy 156.00776 43.06248) (xy 156.054746 42.974576) + (xy 156.060228 42.956505) (xy 156.160866 43.079134) (xy 156.340697 43.226717) (xy 156.545864 43.336381) (xy 156.768484 43.403912) + (xy 156.941984 43.421) (xy 157.058016 43.421) (xy 157.231516 43.403912) (xy 157.454136 43.336381) (xy 157.659303 43.226717) + (xy 157.839134 43.079134) (xy 157.939773 42.956505) + ) + ) + (filled_polygon + (pts + (xy 148.283619 41.785864) (xy 148.216088 42.008484) (xy 148.193286 42.24) (xy 148.216088 42.471516) (xy 148.283619 42.694136) + (xy 148.307 42.737879) (xy 148.307 44.598) (xy 148.327 44.598) (xy 148.327 44.852) (xy 148.307 44.852) + (xy 148.307 44.872) (xy 148.053 44.872) (xy 148.053 44.852) (xy 148.033 44.852) (xy 148.033 44.598) + (xy 148.053 44.598) (xy 148.053 42.67375) (xy 147.968268 42.589018) (xy 148.003912 42.471516) (xy 148.026714 42.24) + (xy 148.003912 42.008484) (xy 147.936381 41.785864) (xy 147.85459 41.632843) (xy 148.36541 41.632843) + ) + ) + (filled_polygon + (pts + (xy 140.773283 26.340697) (xy 140.663619 26.545864) (xy 140.596088 26.768484) (xy 140.573286 27) (xy 140.596088 27.231516) + (xy 140.663619 27.454136) (xy 140.773283 27.659303) (xy 140.920866 27.839134) (xy 141.100697 27.986717) (xy 141.305864 28.096381) + (xy 141.528484 28.163912) (xy 141.701984 28.181) (xy 141.818016 28.181) (xy 141.991516 28.163912) (xy 142.157861 28.113452) + (xy 147.384628 33.34022) (xy 147.400473 33.359527) (xy 147.477521 33.422759) (xy 147.565425 33.469745) (xy 147.632927 33.490221) + (xy 147.660806 33.498678) (xy 147.670694 33.499652) (xy 147.735146 33.506) (xy 147.735153 33.506) (xy 147.759999 33.508447) + (xy 147.784845 33.506) (xy 160.790409 33.506) (xy 162.043408 34.759) (xy 161.961984 34.759) (xy 161.788484 34.776088) + (xy 161.565864 34.843619) (xy 161.360697 34.953283) (xy 161.180866 35.100866) (xy 161.033283 35.280697) (xy 160.923619 35.485864) + (xy 160.856088 35.708484) (xy 160.833286 35.94) (xy 160.856088 36.171516) (xy 160.923619 36.394136) (xy 161.033283 36.599303) + (xy 161.180866 36.779134) (xy 161.360697 36.926717) (xy 161.565864 37.036381) (xy 161.788484 37.103912) (xy 161.961984 37.121) + (xy 162.078016 37.121) (xy 162.251516 37.103912) (xy 162.474136 37.036381) (xy 162.679303 36.926717) (xy 162.859134 36.779134) + (xy 162.928999 36.694003) (xy 162.928999 37.415747) (xy 162.91918 37.410498) (xy 162.799482 37.374188) (xy 162.675 37.361928) + (xy 162.48575 37.365) (xy 162.327 37.52375) (xy 162.327 38.398) (xy 162.347 38.398) (xy 162.347 38.652) + (xy 162.327 38.652) (xy 162.327 38.672) (xy 162.073 38.672) (xy 162.073 38.652) (xy 161.24875 38.652) + (xy 161.09 38.81075) (xy 161.086928 39.05) (xy 161.099188 39.174482) (xy 161.135498 39.29418) (xy 161.194463 39.404494) + (xy 161.273815 39.501185) (xy 161.370506 39.580537) (xy 161.45902 39.627849) (xy 161.446704 39.642856) (xy 161.419367 39.694) + (xy 161.315658 39.694) (xy 160.881013 39.259356) (xy 160.881009 39.259351) (xy 160.061 38.439343) (xy 160.061 38) + (xy 161.086928 38) (xy 161.09 38.23925) (xy 161.24875 38.398) (xy 162.073 38.398) (xy 162.073 37.52375) + (xy 161.91425 37.365) (xy 161.725 37.361928) (xy 161.600518 37.374188) (xy 161.48082 37.410498) (xy 161.370506 37.469463) + (xy 161.273815 37.548815) (xy 161.194463 37.645506) (xy 161.135498 37.75582) (xy 161.099188 37.875518) (xy 161.086928 38) + (xy 160.061 38) (xy 160.061 36.968571) (xy 160.139303 36.926717) (xy 160.319134 36.779134) (xy 160.466717 36.599303) + (xy 160.576381 36.394136) (xy 160.643912 36.171516) (xy 160.666714 35.94) (xy 160.643912 35.708484) (xy 160.576381 35.485864) + (xy 160.466717 35.280697) (xy 160.319134 35.100866) (xy 160.139303 34.953283) (xy 159.934136 34.843619) (xy 159.711516 34.776088) + (xy 159.538016 34.759) (xy 159.421984 34.759) (xy 159.248484 34.776088) (xy 159.025864 34.843619) (xy 158.820697 34.953283) + (xy 158.640866 35.100866) (xy 158.493283 35.280697) (xy 158.383619 35.485864) (xy 158.316088 35.708484) (xy 158.293286 35.94) + (xy 158.316088 36.171516) (xy 158.383619 36.394136) (xy 158.493283 36.599303) (xy 158.640866 36.779134) (xy 158.820697 36.926717) + (xy 158.899 36.968571) (xy 158.899 37.094499) (xy 158.897859 37.093358) (xy 158.769942 37.007887) (xy 158.627809 36.949013) + (xy 158.476922 36.919) (xy 158.323078 36.919) (xy 158.172191 36.949013) (xy 158.030058 37.007887) (xy 157.902141 37.093358) + (xy 157.8 37.195499) (xy 157.797859 37.193358) (xy 157.669942 37.107887) (xy 157.527809 37.049013) (xy 157.413166 37.026209) + (xy 157.599303 36.926717) (xy 157.779134 36.779134) (xy 157.926717 36.599303) (xy 158.036381 36.394136) (xy 158.103912 36.171516) + (xy 158.126714 35.94) (xy 158.103912 35.708484) (xy 158.036381 35.485864) (xy 157.926717 35.280697) (xy 157.779134 35.100866) + (xy 157.599303 34.953283) (xy 157.394136 34.843619) (xy 157.171516 34.776088) (xy 156.998016 34.759) (xy 156.881984 34.759) + (xy 156.708484 34.776088) (xy 156.485864 34.843619) (xy 156.280697 34.953283) (xy 156.100866 35.100866) (xy 155.953283 35.280697) + (xy 155.843619 35.485864) (xy 155.776088 35.708484) (xy 155.753286 35.94) (xy 155.776088 36.171516) (xy 155.843619 36.394136) + (xy 155.953283 36.599303) (xy 156.100866 36.779134) (xy 156.280697 36.926717) (xy 156.485864 37.036381) (xy 156.708484 37.103912) + (xy 156.881984 37.121) (xy 156.910433 37.121) (xy 156.802141 37.193358) (xy 156.693358 37.302141) (xy 156.607887 37.430058) + (xy 156.549013 37.572191) (xy 156.519 37.723078) (xy 156.519 37.876922) (xy 156.549013 38.027809) (xy 156.607887 38.169942) + (xy 156.693358 38.297859) (xy 156.802141 38.406642) (xy 156.930058 38.492113) (xy 157.072191 38.550987) (xy 157.223078 38.581) + (xy 157.365409 38.581) (xy 157.909 39.124592) (xy 157.908999 41.485997) (xy 157.839134 41.400866) (xy 157.659303 41.253283) + (xy 157.454136 41.143619) (xy 157.231516 41.076088) (xy 157.058016 41.059) (xy 156.941984 41.059) (xy 156.768484 41.076088) + (xy 156.545864 41.143619) (xy 156.340697 41.253283) (xy 156.160866 41.400866) (xy 156.091001 41.485997) (xy 156.091001 41.071027) + (xy 156.176149 41.001149) (xy 156.253296 40.907144) (xy 156.310622 40.799895) (xy 156.345923 40.683523) (xy 156.357843 40.5625) + (xy 156.357843 39.9875) (xy 156.345923 39.866477) (xy 156.310622 39.750105) (xy 156.253296 39.642856) (xy 156.176149 39.548851) + (xy 156.082144 39.471704) (xy 155.974895 39.414378) (xy 155.927497 39.4) (xy 155.974895 39.385622) (xy 156.082144 39.328296) + (xy 156.176149 39.251149) (xy 156.253296 39.157144) (xy 156.310622 39.049895) (xy 156.345923 38.933523) (xy 156.357843 38.8125) + (xy 156.357843 38.2375) (xy 156.345923 38.116477) (xy 156.310622 38.000105) (xy 156.253296 37.892856) (xy 156.176149 37.798851) + (xy 156.082144 37.721704) (xy 155.974895 37.664378) (xy 155.858523 37.629077) (xy 155.7375 37.617157) (xy 155.2625 37.617157) + (xy 155.141477 37.629077) (xy 155.025105 37.664378) (xy 154.917856 37.721704) (xy 154.823851 37.798851) (xy 154.781901 37.849967) + (xy 154.769755 37.809925) (xy 154.763108 37.797489) (xy 154.722769 37.722021) (xy 154.659537 37.644973) (xy 154.64023 37.629128) + (xy 154.220896 37.209795) (xy 154.205047 37.190483) (xy 154.127999 37.127251) (xy 154.040095 37.080265) (xy 153.990401 37.065191) + (xy 154.002139 37.053452) (xy 154.168484 37.103912) (xy 154.341984 37.121) (xy 154.458016 37.121) (xy 154.631516 37.103912) + (xy 154.854136 37.036381) (xy 155.059303 36.926717) (xy 155.239134 36.779134) (xy 155.386717 36.599303) (xy 155.496381 36.394136) + (xy 155.563912 36.171516) (xy 155.586714 35.94) (xy 155.563912 35.708484) (xy 155.496381 35.485864) (xy 155.386717 35.280697) + (xy 155.239134 35.100866) (xy 155.059303 34.953283) (xy 154.854136 34.843619) (xy 154.631516 34.776088) (xy 154.458016 34.759) + (xy 154.341984 34.759) (xy 154.168484 34.776088) (xy 153.945864 34.843619) (xy 153.740697 34.953283) (xy 153.560866 35.100866) + (xy 153.413283 35.280697) (xy 153.303619 35.485864) (xy 153.236088 35.708484) (xy 153.213286 35.94) (xy 153.236088 36.171516) + (xy 153.286548 36.337861) (xy 153.065408 36.559001) (xy 152.868259 36.559001) (xy 152.956381 36.394136) (xy 153.023912 36.171516) + (xy 153.046714 35.94) (xy 153.023912 35.708484) (xy 152.956381 35.485864) (xy 152.846717 35.280697) (xy 152.699134 35.100866) + (xy 152.519303 34.953283) (xy 152.314136 34.843619) (xy 152.091516 34.776088) (xy 151.918016 34.759) (xy 151.801984 34.759) + (xy 151.628484 34.776088) (xy 151.405864 34.843619) (xy 151.200697 34.953283) (xy 151.020866 35.100866) (xy 150.873283 35.280697) + (xy 150.763619 35.485864) (xy 150.696088 35.708484) (xy 150.673286 35.94) (xy 150.696088 36.171516) (xy 150.763619 36.394136) + (xy 150.851741 36.559001) (xy 150.328259 36.559001) (xy 150.416381 36.394136) (xy 150.483912 36.171516) (xy 150.506714 35.94) + (xy 150.483912 35.708484) (xy 150.416381 35.485864) (xy 150.306717 35.280697) (xy 150.159134 35.100866) (xy 149.979303 34.953283) + (xy 149.774136 34.843619) (xy 149.551516 34.776088) (xy 149.378016 34.759) (xy 149.261984 34.759) (xy 149.088484 34.776088) + (xy 148.865864 34.843619) (xy 148.660697 34.953283) (xy 148.480866 35.100866) (xy 148.333283 35.280697) (xy 148.223619 35.485864) + (xy 148.156088 35.708484) (xy 148.133286 35.94) (xy 148.156088 36.171516) (xy 148.223619 36.394136) (xy 148.311741 36.559001) + (xy 147.788259 36.559001) (xy 147.876381 36.394136) (xy 147.943912 36.171516) (xy 147.966714 35.94) (xy 147.943912 35.708484) + (xy 147.876381 35.485864) (xy 147.766717 35.280697) (xy 147.619134 35.100866) (xy 147.439303 34.953283) (xy 147.234136 34.843619) + (xy 147.011516 34.776088) (xy 146.838016 34.759) (xy 146.721984 34.759) (xy 146.548484 34.776088) (xy 146.325864 34.843619) + (xy 146.120697 34.953283) (xy 145.940866 35.100866) (xy 145.793283 35.280697) (xy 145.683619 35.485864) (xy 145.616088 35.708484) + (xy 145.593286 35.94) (xy 145.616088 36.171516) (xy 145.683619 36.394136) (xy 145.771741 36.559001) (xy 145.248259 36.559001) + (xy 145.336381 36.394136) (xy 145.403912 36.171516) (xy 145.426714 35.94) (xy 145.403912 35.708484) (xy 145.336381 35.485864) + (xy 145.226717 35.280697) (xy 145.079134 35.100866) (xy 144.899303 34.953283) (xy 144.694136 34.843619) (xy 144.471516 34.776088) + (xy 144.298016 34.759) (xy 144.181984 34.759) (xy 144.008484 34.776088) (xy 143.785864 34.843619) (xy 143.580697 34.953283) + (xy 143.400866 35.100866) (xy 143.253283 35.280697) (xy 143.143619 35.485864) (xy 143.076088 35.708484) (xy 143.053286 35.94) + (xy 143.076088 36.171516) (xy 143.143619 36.394136) (xy 143.231741 36.559001) (xy 142.708259 36.559001) (xy 142.796381 36.394136) + (xy 142.863912 36.171516) (xy 142.886714 35.94) (xy 142.863912 35.708484) (xy 142.796381 35.485864) (xy 142.686717 35.280697) + (xy 142.539134 35.100866) (xy 142.359303 34.953283) (xy 142.154136 34.843619) (xy 141.931516 34.776088) (xy 141.758016 34.759) + (xy 141.641984 34.759) (xy 141.468484 34.776088) (xy 141.30214 34.826548) (xy 140.435376 33.959785) (xy 140.419527 33.940473) + (xy 140.342479 33.877241) (xy 140.254575 33.830255) (xy 140.159193 33.801322) (xy 140.084854 33.794) (xy 140.084846 33.794) + (xy 140.06 33.791553) (xy 140.035154 33.794) (xy 138.771591 33.794) (xy 139.629723 32.935868) (xy 139.730763 32.955966) + (xy 139.884607 32.955966) (xy 140.035494 32.925953) (xy 140.177627 32.867079) (xy 140.305544 32.781608) (xy 140.414327 32.672825) + (xy 140.499798 32.544908) (xy 140.558672 32.402775) (xy 140.588685 32.251888) (xy 140.588685 32.098044) (xy 140.558672 31.947157) + (xy 140.499798 31.805024) (xy 140.414327 31.677107) (xy 140.305544 31.568324) (xy 140.177627 31.482853) (xy 140.035494 31.423979) + (xy 139.884607 31.393966) (xy 139.730763 31.393966) (xy 139.579876 31.423979) (xy 139.437743 31.482853) (xy 139.309826 31.568324) + (xy 139.201043 31.677107) (xy 139.115572 31.805024) (xy 139.056698 31.947157) (xy 139.026685 32.098044) (xy 139.026685 32.107723) + (xy 138.04041 33.093999) (xy 137.12931 33.093999) (xy 137.153314 33.084056) (xy 137.281231 32.998585) (xy 137.390014 32.889802) + (xy 137.475485 32.761885) (xy 137.534359 32.619752) (xy 137.564372 32.468865) (xy 137.564372 32.315021) (xy 137.534359 32.164134) + (xy 137.475485 32.022001) (xy 137.418215 31.93629) (xy 137.506646 31.847859) (xy 137.592117 31.719942) (xy 137.650991 31.577809) + (xy 137.681004 31.426922) (xy 137.681004 31.273078) (xy 137.650991 31.122191) (xy 137.592117 30.980058) (xy 137.506646 30.852141) + (xy 137.397863 30.743358) (xy 137.269946 30.657887) (xy 137.127813 30.599013) (xy 136.976926 30.569) (xy 136.823082 30.569) + (xy 136.672195 30.599013) (xy 136.530062 30.657887) (xy 136.402145 30.743358) (xy 136.300633 30.84487) (xy 136.245013 30.850348) + (xy 136.235125 30.851322) (xy 136.228974 30.853188) (xy 136.139744 30.880255) (xy 136.05184 30.927241) (xy 135.974792 30.990473) + (xy 135.958947 31.00978) (xy 135.184781 31.783947) (xy 135.165475 31.799791) (xy 135.102243 31.876839) (xy 135.095717 31.889048) + (xy 134.959602 31.832667) (xy 134.808715 31.802654) (xy 134.666385 31.802654) (xy 134.246133 31.382403) (xy 134.372191 31.434618) + (xy 134.523078 31.464631) (xy 134.676922 31.464631) (xy 134.827809 31.434618) (xy 134.969942 31.375744) (xy 135.097859 31.290273) + (xy 135.206642 31.18149) (xy 135.292113 31.053573) (xy 135.350987 30.91144) (xy 135.381 30.760553) (xy 135.381 30.606709) + (xy 135.350987 30.455822) (xy 135.292113 30.313689) (xy 135.281 30.297057) (xy 135.281 28.802739) (xy 135.423078 28.831) + (xy 135.565409 28.831) (xy 136.484783 29.750375) (xy 136.500628 29.769682) (xy 136.577676 29.832914) (xy 136.624938 29.858176) + (xy 136.66558 29.8799) (xy 136.760962 29.908833) (xy 136.860155 29.918603) (xy 136.885009 29.916155) (xy 138.008309 29.916155) + (xy 138.033155 29.918602) (xy 138.058001 29.916155) (xy 138.058009 29.916155) (xy 138.132348 29.908833) (xy 138.22773 29.8799) + (xy 138.315634 29.832914) (xy 138.392682 29.769682) (xy 138.408531 29.75037) (xy 139.56022 28.598682) (xy 139.579527 28.582837) + (xy 139.642759 28.505789) (xy 139.689745 28.417885) (xy 139.711944 28.344703) (xy 139.718678 28.322504) (xy 139.7207 28.30197) + (xy 139.726 28.248164) (xy 139.726 28.248157) (xy 139.728447 28.223311) (xy 139.726 28.198465) (xy 139.726 28.068659) + (xy 139.879303 27.986717) (xy 140.059134 27.839134) (xy 140.206717 27.659303) (xy 140.316381 27.454136) (xy 140.383912 27.231516) + (xy 140.406714 27) (xy 140.383912 26.768484) (xy 140.316381 26.545864) (xy 140.206717 26.340697) (xy 140.157725 26.281) + (xy 140.822275 26.281) + ) + ) + (filled_polygon + (pts + (xy 152.39 38.23925) (xy 152.54875 38.398) (xy 153.373 38.398) (xy 153.373 38.378) (xy 153.627 38.378) + (xy 153.627 38.398) (xy 153.647 38.398) (xy 153.647 38.652) (xy 153.627 38.652) (xy 153.627 38.672) + (xy 153.373 38.672) (xy 153.373 38.652) (xy 152.54875 38.652) (xy 152.39 38.81075) (xy 152.386928 39.05) + (xy 152.399188 39.174482) (xy 152.435498 39.29418) (xy 152.494463 39.404494) (xy 152.573815 39.501185) (xy 152.670506 39.580537) + (xy 152.75902 39.627849) (xy 152.746704 39.642856) (xy 152.689378 39.750105) (xy 152.654077 39.866477) (xy 152.642157 39.9875) + (xy 152.642157 40.5625) (xy 152.647723 40.61901) (xy 152.559602 40.61901) (xy 152.374446 40.433855) (xy 152.374442 40.43385) + (xy 152.357843 40.417251) (xy 152.357843 39.9875) (xy 152.345923 39.866477) (xy 152.310622 39.750105) (xy 152.253296 39.642856) + (xy 152.176149 39.548851) (xy 152.082144 39.471704) (xy 151.974895 39.414378) (xy 151.927497 39.4) (xy 151.974895 39.385622) + (xy 152.082144 39.328296) (xy 152.176149 39.251149) (xy 152.253296 39.157144) (xy 152.310622 39.049895) (xy 152.345923 38.933523) + (xy 152.357843 38.8125) (xy 152.357843 38.2375) (xy 152.345923 38.116477) (xy 152.327581 38.05601) (xy 152.387647 38.05601) + ) + ) + (filled_polygon + (pts + (xy 119.856505 34.747788) (xy 119.87235 34.767095) (xy 119.949398 34.830327) (xy 120.037302 34.877313) (xy 120.106334 34.898253) + (xy 120.132683 34.906246) (xy 120.142571 34.90722) (xy 120.207023 34.913568) (xy 120.20703 34.913568) (xy 120.231876 34.916015) + (xy 120.256722 34.913568) (xy 120.378298 34.913568) (xy 120.47894 35.01421) (xy 120.606857 35.099681) (xy 120.74899 35.158555) + (xy 120.899877 35.188568) (xy 121.053721 35.188568) (xy 121.204608 35.158555) (xy 121.346741 35.099681) (xy 121.474658 35.01421) + (xy 121.583441 34.905427) (xy 121.668912 34.77751) (xy 121.727786 34.635377) (xy 121.757799 34.48449) (xy 121.757799 34.330646) + (xy 121.727786 34.179759) (xy 121.668912 34.037626) (xy 121.665112 34.031939) (xy 121.73246 34.111528) (xy 121.793957 34.160466) + (xy 121.793957 34.4664) (xy 121.801313 34.541089) (xy 121.821034 34.6061) (xy 121.801313 34.671111) (xy 121.793957 34.7458) + (xy 121.793957 35.2538) (xy 121.801313 35.328489) (xy 121.823099 35.400308) (xy 121.826248 35.4062) (xy 121.823099 35.412092) + (xy 121.801313 35.483911) (xy 121.793957 35.5586) (xy 121.793957 36.0666) (xy 121.801313 36.141289) (xy 121.821034 36.2063) + (xy 121.801313 36.271311) (xy 121.793957 36.346) (xy 121.793957 36.8064) (xy 121.59114 36.8064) (xy 121.5626 36.803589) + (xy 121.53406 36.8064) (xy 121.448704 36.814807) (xy 121.339185 36.848029) (xy 121.238252 36.901979) (xy 121.149783 36.974583) + (xy 121.131587 36.996755) (xy 120.65936 37.468983) (xy 120.637183 37.487183) (xy 120.575458 37.562397) (xy 120.564579 37.575653) + (xy 120.510629 37.676586) (xy 120.477407 37.786105) (xy 120.466189 37.9) (xy 120.469 37.92854) (xy 120.469001 39.424076) + (xy 120.369942 39.357887) (xy 120.227809 39.299013) (xy 120.076922 39.269) (xy 120.040657 39.269) (xy 120.030989 39.259332) + (xy 120.030989 38.855075) (xy 120.03936 38.839414) (xy 120.072582 38.729895) (xy 120.080989 38.644539) (xy 120.0838 38.615999) + (xy 120.080989 38.587459) (xy 120.080989 37.477038) (xy 120.0838 37.448501) (xy 120.080057 37.410498) (xy 120.072582 37.334606) + (xy 120.03936 37.225087) (xy 119.98541 37.124154) (xy 119.912806 37.035685) (xy 119.890635 37.01749) (xy 117.881 35.007856) + (xy 117.881 32.772282) + ) + ) + (filled_polygon + (pts + (xy 123.0404 38.0732) (xy 123.776732 38.0732) (xy 123.805037 38.092113) (xy 123.94717 38.150987) (xy 124.098057 38.181) + (xy 124.251901 38.181) (xy 124.393989 38.152737) (xy 124.393989 38.765998) (xy 124.275376 38.647385) (xy 124.260642 38.629432) + (xy 124.273866 38.588091) (xy 124.285 38.48595) (xy 124.12625 38.3272) (xy 123.0404 38.3272) (xy 123.0404 38.3472) + (xy 122.7864 38.3472) (xy 122.7864 38.3272) (xy 122.7664 38.3272) (xy 122.7664 38.0732) (xy 122.7864 38.0732) + (xy 122.7864 38.0532) (xy 123.0404 38.0532) + ) + ) + (filled_polygon + (pts + (xy 165.239001 37.386176) (xy 165.199482 37.374188) (xy 165.075 37.361928) (xy 164.88575 37.365) (xy 164.727 37.52375) + (xy 164.727 38.398) (xy 164.747 38.398) (xy 164.747 38.652) (xy 164.727 38.652) (xy 164.727 38.672) + (xy 164.473 38.672) (xy 164.473 38.652) (xy 164.453 38.652) (xy 164.453 38.398) (xy 164.473 38.398) + (xy 164.473 37.52375) (xy 164.31425 37.365) (xy 164.125 37.361928) (xy 164.000518 37.374188) (xy 163.940999 37.392243) + (xy 163.940999 36.948259) (xy 164.105864 37.036381) (xy 164.328484 37.103912) (xy 164.501984 37.121) (xy 164.618016 37.121) + (xy 164.791516 37.103912) (xy 165.014136 37.036381) (xy 165.219303 36.926717) (xy 165.239001 36.910551) + ) + ) + (filled_polygon + (pts + (xy 121.631 38.39675) (xy 121.631 38.327202) (xy 121.700548 38.327202) + ) + ) + (filled_polygon + (pts + (xy 121.700548 38.073198) (xy 121.69846 38.073198) (xy 121.699504 38.072154) + ) + ) + (filled_polygon + (pts + (xy 121.5418 33.526602) (xy 121.700548 33.526602) (xy 121.5418 33.68535) (xy 121.552934 33.787491) (xy 121.591042 33.906629) + (xy 121.637926 33.991251) (xy 121.583441 33.909709) (xy 121.474658 33.800926) (xy 121.346741 33.715455) (xy 121.227382 33.666014) + (xy 121.234022 33.632633) (xy 121.234022 33.605993) (xy 121.367253 33.579492) (xy 121.509386 33.520618) (xy 121.5418 33.49896) + ) + ) + (filled_polygon + (pts + (xy 123.0404 33.2726) (xy 123.0604 33.2726) (xy 123.0604 33.5266) (xy 123.0404 33.5266) (xy 123.0404 33.5466) + (xy 122.7864 33.5466) (xy 122.7864 33.5266) (xy 122.7664 33.5266) (xy 122.7664 33.2726) (xy 122.7864 33.2726) + (xy 122.7864 33.2526) (xy 123.0404 33.2526) + ) + ) + (filled_polygon + (pts + (xy 129.267579 19.283171) (xy 129.127 19.42375) (xy 129.127 20.573) (xy 129.147 20.573) (xy 129.147 20.827) + (xy 129.127 20.827) (xy 129.127 21.97625) (xy 129.28575 22.135) (xy 129.8 22.138072) (xy 129.913677 22.126876) + (xy 129.940473 22.159527) (xy 129.959785 22.175376) (xy 131.144001 23.359593) (xy 131.144 27.663584) (xy 131.099013 27.772191) + (xy 131.069 27.923078) (xy 131.069 28.076922) (xy 131.099013 28.227809) (xy 131.157887 28.369942) (xy 131.243358 28.497859) + (xy 131.352141 28.606642) (xy 131.480058 28.692113) (xy 131.622191 28.750987) (xy 131.773078 28.781) (xy 131.926922 28.781) + (xy 132.077809 28.750987) (xy 132.219942 28.692113) (xy 132.347859 28.606642) (xy 132.394002 28.560499) (xy 132.394002 30.727006) + (xy 132.391554 30.751862) (xy 132.401323 30.851054) (xy 132.430256 30.946436) (xy 132.446552 30.976922) (xy 132.477243 31.034341) + (xy 132.540475 31.111389) (xy 132.559781 31.127234) (xy 132.926548 31.494) (xy 122.510792 31.494) (xy 121.924643 30.907852) + (xy 121.924643 30.25) (xy 121.917287 30.175311) (xy 121.895501 30.103492) (xy 121.860122 30.037304) (xy 121.812511 29.979289) + (xy 121.754496 29.931678) (xy 121.688308 29.896299) (xy 121.616489 29.874513) (xy 121.5418 29.867157) (xy 121.0338 29.867157) + (xy 120.959111 29.874513) (xy 120.8941 29.894234) (xy 120.829089 29.874513) (xy 120.7544 29.867157) (xy 120.2464 29.867157) + (xy 120.171711 29.874513) (xy 120.099892 29.896299) (xy 120.094 29.899448) (xy 120.088108 29.896299) (xy 120.016289 29.874513) + (xy 119.9416 29.867157) (xy 119.921436 29.867157) (xy 122.71522 27.073374) (xy 122.734527 27.057529) (xy 122.797759 26.980481) + (xy 122.844745 26.892577) (xy 122.873678 26.797195) (xy 122.881 26.722856) (xy 122.881 26.722849) (xy 122.883447 26.698003) + (xy 122.881 26.673157) (xy 122.881 23.216354) (xy 122.899895 23.210622) (xy 123.007144 23.153296) (xy 123.101149 23.076149) + (xy 123.178296 22.982144) (xy 123.235622 22.874895) (xy 123.270923 22.758523) (xy 123.282843 22.6375) (xy 123.282843 22.1625) + (xy 123.270923 22.041477) (xy 123.235622 21.925105) (xy 123.178296 21.817856) (xy 123.101149 21.723851) (xy 123.007144 21.646704) + (xy 122.899895 21.589378) (xy 122.881 21.583646) (xy 122.881 21.5) (xy 127.561928 21.5) (xy 127.574188 21.624482) + (xy 127.610498 21.74418) (xy 127.669463 21.854494) (xy 127.748815 21.951185) (xy 127.845506 22.030537) (xy 127.95582 22.089502) + (xy 128.075518 22.125812) (xy 128.2 22.138072) (xy 128.71425 22.135) (xy 128.873 21.97625) (xy 128.873 20.827) + (xy 127.72375 20.827) (xy 127.565 20.98575) (xy 127.561928 21.5) (xy 122.881 21.5) (xy 122.881 21.391354) + (xy 122.899895 21.385622) (xy 123.007144 21.328296) (xy 123.101149 21.251149) (xy 123.178296 21.157144) (xy 123.235622 21.049895) + (xy 123.270923 20.933523) (xy 123.282843 20.8125) (xy 123.282843 20.3375) (xy 123.270923 20.216477) (xy 123.235622 20.100105) + (xy 123.178296 19.992856) (xy 123.102092 19.9) (xy 127.561928 19.9) (xy 127.565 20.41425) (xy 127.72375 20.573) + (xy 128.873 20.573) (xy 128.873 19.42375) (xy 128.71425 19.265) (xy 128.2 19.261928) (xy 128.075518 19.274188) + (xy 127.95582 19.310498) (xy 127.845506 19.369463) (xy 127.748815 19.448815) (xy 127.669463 19.545506) (xy 127.610498 19.65582) + (xy 127.574188 19.775518) (xy 127.561928 19.9) (xy 123.102092 19.9) (xy 123.101149 19.898851) (xy 123.007144 19.821704) + (xy 122.899895 19.764378) (xy 122.783523 19.729077) (xy 122.6625 19.717157) (xy 122.0875 19.717157) (xy 121.966477 19.729077) + (xy 121.850105 19.764378) (xy 121.742856 19.821704) (xy 121.648851 19.898851) (xy 121.571704 19.992856) (xy 121.514378 20.100105) + (xy 121.5 20.147503) (xy 121.485622 20.100105) (xy 121.428296 19.992856) (xy 121.351149 19.898851) (xy 121.257144 19.821704) + (xy 121.149895 19.764378) (xy 121.033523 19.729077) (xy 120.9125 19.717157) (xy 120.3375 19.717157) (xy 120.216477 19.729077) + (xy 120.100105 19.764378) (xy 119.992856 19.821704) (xy 119.898851 19.898851) (xy 119.821704 19.992856) (xy 119.767641 20.094) + (xy 118.718996 20.094) (xy 118.678296 20.017856) (xy 118.601149 19.923851) (xy 118.507144 19.846704) (xy 118.399895 19.789378) + (xy 118.283523 19.754077) (xy 118.1625 19.742157) (xy 117.5875 19.742157) (xy 117.466477 19.754077) (xy 117.350105 19.789378) + (xy 117.242856 19.846704) (xy 117.148851 19.923851) (xy 117.071704 20.017856) (xy 117.014378 20.125105) (xy 117 20.172503) + (xy 116.985622 20.125105) (xy 116.928296 20.017856) (xy 116.851149 19.923851) (xy 116.757144 19.846704) (xy 116.649895 19.789378) + (xy 116.533523 19.754077) (xy 116.4125 19.742157) (xy 115.982749 19.742157) (xy 114.36159 18.120999) (xy 128.105408 18.120999) + ) + ) + (filled_polygon + (pts + (xy 120.969011 26.315397) (xy 120.765409 26.519) (xy 120.623078 26.519) (xy 120.472191 26.549013) (xy 120.330058 26.607887) + (xy 120.202141 26.693358) (xy 120.093358 26.802141) (xy 120.007887 26.930058) (xy 119.949013 27.072191) (xy 119.919 27.223078) + (xy 119.919 27.376922) (xy 119.949013 27.527809) (xy 120.007887 27.669942) (xy 120.093358 27.797859) (xy 120.202141 27.906642) + (xy 120.330058 27.992113) (xy 120.354976 28.002434) (xy 118.559985 29.797426) (xy 118.540673 29.813275) (xy 118.483297 29.883188) + (xy 118.446927 29.838871) (xy 118.42762 29.823026) (xy 116.814378 28.209785) (xy 116.798529 28.190473) (xy 116.721481 28.127241) + (xy 116.633577 28.080255) (xy 116.538195 28.051322) (xy 116.463856 28.044) (xy 116.463848 28.044) (xy 116.439002 28.041553) + (xy 116.414156 28.044) (xy 111.309591 28.044) (xy 109.481 26.21541) (xy 109.481 26.073079) (xy 109.450987 25.922192) + (xy 109.392113 25.780059) (xy 109.306642 25.652142) (xy 109.197859 25.543359) (xy 109.069942 25.457888) (xy 108.927809 25.399014) + (xy 108.776922 25.369001) (xy 108.623078 25.369001) (xy 108.472191 25.399014) (xy 108.330058 25.457888) (xy 108.202141 25.543359) + (xy 108.093358 25.652142) (xy 108.007887 25.780059) (xy 107.95734 25.902089) (xy 107.873363 25.857202) (xy 107.641318 25.786812) + (xy 107.460472 25.769) (xy 105.539528 25.769) (xy 105.358682 25.786812) (xy 105.126637 25.857202) (xy 104.912784 25.971509) + (xy 104.72534 26.12534) (xy 104.571509 26.312784) (xy 104.457202 26.526637) (xy 104.386812 26.758682) (xy 104.363044 27) + (xy 104.386812 27.241318) (xy 104.457202 27.473363) (xy 104.508962 27.570199) (xy 104.41322 27.609857) (xy 104.343652 27.656341) + (xy 104.343652 26.002937) (xy 105.557795 24.788794) (xy 105.630649 24.964678) (xy 105.738007 25.125351) (xy 105.874649 25.261993) + (xy 106.035322 25.369351) (xy 106.213853 25.443301) (xy 106.40338 25.481) (xy 106.59662 25.481) (xy 106.786147 25.443301) + (xy 106.964678 25.369351) (xy 107.125351 25.261993) (xy 107.261993 25.125351) (xy 107.369351 24.964678) (xy 107.443301 24.786147) + (xy 107.481 24.59662) (xy 107.481 24.40338) (xy 107.443301 24.213853) (xy 107.388271 24.080999) (xy 109.792406 24.080999) + (xy 110.251626 24.54022) (xy 110.267471 24.559527) (xy 110.344519 24.622759) (xy 110.395446 24.64998) (xy 110.432423 24.669745) + (xy 110.527805 24.698678) (xy 110.626998 24.708448) (xy 110.651852 24.706) (xy 111.081004 24.706) (xy 111.121704 24.782144) + (xy 111.198851 24.876149) (xy 111.292856 24.953296) (xy 111.400105 25.010622) (xy 111.516477 25.045923) (xy 111.6375 25.057843) + (xy 112.2125 25.057843) (xy 112.333523 25.045923) (xy 112.449895 25.010622) (xy 112.557144 24.953296) (xy 112.651149 24.876149) + (xy 112.728296 24.782144) (xy 112.785622 24.674895) (xy 112.8 24.627497) (xy 112.814378 24.674895) (xy 112.871704 24.782144) + (xy 112.948851 24.876149) (xy 113.042856 24.953296) (xy 113.150105 25.010622) (xy 113.266477 25.045923) (xy 113.365062 25.055633) + (xy 114.419 26.109572) (xy 114.419 26.251902) (xy 114.449013 26.402789) (xy 114.507887 26.544922) (xy 114.593358 26.672839) + (xy 114.702141 26.781622) (xy 114.830058 26.867093) (xy 114.972191 26.925967) (xy 115.123078 26.95598) (xy 115.276922 26.95598) + (xy 115.427809 26.925967) (xy 115.569942 26.867093) (xy 115.697859 26.781622) (xy 115.798501 26.68098) (xy 117.195684 26.68098) + (xy 117.22053 26.683427) (xy 117.245376 26.68098) (xy 117.245384 26.68098) (xy 117.319723 26.673658) (xy 117.415105 26.644725) + (xy 117.503009 26.597739) (xy 117.580057 26.534507) (xy 117.595906 26.515195) (xy 119.24022 24.870882) (xy 119.259527 24.855037) + (xy 119.322759 24.777989) (xy 119.369745 24.690085) (xy 119.398097 24.59662) (xy 119.398678 24.594704) (xy 119.400582 24.57537) + (xy 119.406 24.520364) (xy 119.406 24.520357) (xy 119.408447 24.495511) (xy 119.406 24.470665) (xy 119.406 24.334591) + (xy 120.482749 23.257843) (xy 120.9125 23.257843) (xy 120.96901 23.252277) + ) + ) + (filled_polygon + (pts + (xy 136.807 26.873) (xy 136.827 26.873) (xy 136.827 27.127) (xy 136.807 27.127) (xy 136.807 28.269915) + (xy 137.029039 28.391904) (xy 137.163087 28.351246) (xy 137.41742 28.231037) (xy 137.643414 28.063519) (xy 137.832385 27.855131) + (xy 137.97707 27.613881) (xy 138.071909 27.34904) (xy 137.950625 27.127002) (xy 138.045794 27.127002) (xy 138.056088 27.231516) + (xy 138.123619 27.454136) (xy 138.233283 27.659303) (xy 138.380866 27.839134) (xy 138.560697 27.986717) (xy 138.678196 28.049522) + (xy 137.823564 28.904155) (xy 137.069747 28.904155) (xy 136.477169 28.311577) (xy 136.553 28.269915) (xy 136.553 27.127) + (xy 136.533 27.127) (xy 136.533 26.873) (xy 136.553 26.873) (xy 136.553 26.853) (xy 136.807 26.853) + ) + ) + (filled_polygon + (pts + (xy 132.394002 27.439501) (xy 132.347859 27.393358) (xy 132.219942 27.307887) (xy 132.156 27.281401) (xy 132.156 23.174845) + (xy 132.158447 23.149999) (xy 132.156 23.125153) (xy 132.156 23.125146) (xy 132.148678 23.050807) (xy 132.145758 23.041179) + (xy 132.134912 23.005426) (xy 132.119745 22.955425) (xy 132.072759 22.867521) (xy 132.009527 22.790473) (xy 131.99022 22.774628) + (xy 130.927124 21.711533) (xy 131.085864 21.796381) (xy 131.308484 21.863912) (xy 131.481984 21.881) (xy 131.598016 21.881) + (xy 131.771516 21.863912) (xy 131.994136 21.796381) (xy 132.199303 21.686717) (xy 132.379134 21.539134) (xy 132.394001 21.521018) + ) + ) + (filled_polygon + (pts + (xy 115.120501 21.631603) (xy 115.12725 21.70012) (xy 115.156183 21.795502) (xy 115.203169 21.883407) (xy 115.257095 21.949115) + (xy 115.229077 22.041477) (xy 115.217157 22.1625) (xy 115.217157 22.6375) (xy 115.229077 22.758523) (xy 115.264378 22.874895) + (xy 115.321704 22.982144) (xy 115.398851 23.076149) (xy 115.440039 23.109951) (xy 115.35582 23.135498) (xy 115.245506 23.194463) + (xy 115.148815 23.273815) (xy 115.069463 23.370506) (xy 115.010498 23.48082) (xy 114.974188 23.600518) (xy 114.961928 23.725) + (xy 114.965 23.91425) (xy 115.12375 24.073) (xy 115.998 24.073) (xy 115.998 24.053) (xy 116.252 24.053) + (xy 116.252 24.073) (xy 116.272 24.073) (xy 116.272 24.327) (xy 116.252 24.327) (xy 116.252 25.15125) + (xy 116.41075 25.31) (xy 116.65 25.313072) (xy 116.774482 25.300812) (xy 116.89418 25.264502) (xy 117.004494 25.205537) + (xy 117.101185 25.126185) (xy 117.180537 25.029494) (xy 117.227849 24.94098) (xy 117.242856 24.953296) (xy 117.350105 25.010622) + (xy 117.466477 25.045923) (xy 117.5875 25.057843) (xy 117.622076 25.057843) (xy 117.010939 25.66898) (xy 115.798501 25.66898) + (xy 115.697859 25.568338) (xy 115.569942 25.482867) (xy 115.427809 25.423993) (xy 115.276922 25.39398) (xy 115.134592 25.39398) + (xy 114.493782 24.753171) (xy 114.535565 24.675) (xy 114.961928 24.675) (xy 114.974188 24.799482) (xy 115.010498 24.91918) + (xy 115.069463 25.029494) (xy 115.148815 25.126185) (xy 115.245506 25.205537) (xy 115.35582 25.264502) (xy 115.475518 25.300812) + (xy 115.6 25.313072) (xy 115.83925 25.31) (xy 115.998 25.15125) (xy 115.998 24.327) (xy 115.12375 24.327) + (xy 114.965 24.48575) (xy 114.961928 24.675) (xy 114.535565 24.675) (xy 114.535622 24.674895) (xy 114.570923 24.558523) + (xy 114.582843 24.4375) (xy 114.582843 23.9625) (xy 114.570923 23.841477) (xy 114.535622 23.725105) (xy 114.478296 23.617856) + (xy 114.401149 23.523851) (xy 114.307144 23.446704) (xy 114.199895 23.389378) (xy 114.083523 23.354077) (xy 113.9625 23.342157) + (xy 113.498435 23.342157) (xy 113.582749 23.257843) (xy 113.9625 23.257843) (xy 114.083523 23.245923) (xy 114.199895 23.210622) + (xy 114.307144 23.153296) (xy 114.401149 23.076149) (xy 114.478296 22.982144) (xy 114.535622 22.874895) (xy 114.570923 22.758523) + (xy 114.582843 22.6375) (xy 114.582843 22.1625) (xy 114.570923 22.041477) (xy 114.535622 21.925105) (xy 114.478296 21.817856) + (xy 114.401149 21.723851) (xy 114.307144 21.646704) (xy 114.199895 21.589378) (xy 114.181 21.583646) (xy 114.181 21.416354) + (xy 114.199895 21.410622) (xy 114.307144 21.353296) (xy 114.401149 21.276149) (xy 114.478296 21.182144) (xy 114.535622 21.074895) + (xy 114.542179 21.05328) + ) + ) + (filled_polygon + (pts + (xy 110.749013 18.972191) (xy 110.719 19.123078) (xy 110.719 19.276922) (xy 110.749013 19.427809) (xy 110.807887 19.569942) + (xy 110.893358 19.697859) (xy 110.91298 19.717481) (xy 110.869463 19.770506) (xy 110.810498 19.88082) (xy 110.774188 20.000518) + (xy 110.761928 20.125) (xy 110.765 20.31425) (xy 110.92375 20.473) (xy 111.798 20.473) (xy 111.798 20.453) + (xy 112.052 20.453) (xy 112.052 20.473) (xy 112.072 20.473) (xy 112.072 20.727) (xy 112.052 20.727) + (xy 112.052 20.747) (xy 111.798 20.747) (xy 111.798 20.727) (xy 110.92375 20.727) (xy 110.765 20.88575) + (xy 110.761928 21.075) (xy 110.774188 21.199482) (xy 110.810498 21.31918) (xy 110.869463 21.429494) (xy 110.948815 21.526185) + (xy 111.045506 21.605537) (xy 111.15582 21.664502) (xy 111.240039 21.690049) (xy 111.198851 21.723851) (xy 111.121704 21.817856) + (xy 111.064378 21.925105) (xy 111.029077 22.041477) (xy 111.017157 22.1625) (xy 111.017157 22.6375) (xy 111.029077 22.758523) + (xy 111.064378 22.874895) (xy 111.121704 22.982144) (xy 111.198851 23.076149) (xy 111.292856 23.153296) (xy 111.400105 23.210622) + (xy 111.516477 23.245923) (xy 111.6375 23.257843) (xy 112.151566 23.257843) (xy 112.067252 23.342157) (xy 111.6375 23.342157) + (xy 111.516477 23.354077) (xy 111.400105 23.389378) (xy 111.292856 23.446704) (xy 111.198851 23.523851) (xy 111.121704 23.617856) + (xy 111.081004 23.694) (xy 110.83659 23.694) (xy 110.377373 23.234784) (xy 110.361524 23.215472) (xy 110.284476 23.15224) + (xy 110.196572 23.105254) (xy 110.10119 23.076321) (xy 110.026851 23.068999) (xy 110.026843 23.068999) (xy 110.001997 23.066552) + (xy 109.977151 23.068999) (xy 108.011431 23.068999) (xy 108.087216 23.028491) (xy 108.27466 22.87466) (xy 108.428491 22.687216) + (xy 108.542798 22.473363) (xy 108.613188 22.241318) (xy 108.636956 22) (xy 108.613188 21.758682) (xy 108.542798 21.526637) + (xy 108.428491 21.312784) (xy 108.27466 21.12534) (xy 108.087216 20.971509) (xy 107.873363 20.857202) (xy 107.641318 20.786812) + (xy 107.460472 20.769) (xy 107.006 20.769) (xy 107.006 20.731) (xy 107.460472 20.731) (xy 107.641318 20.713188) + (xy 107.873363 20.642798) (xy 108.087216 20.528491) (xy 108.27466 20.37466) (xy 108.428491 20.187216) (xy 108.542798 19.973363) + (xy 108.613188 19.741318) (xy 108.636956 19.5) (xy 108.613188 19.258682) (xy 108.542798 19.026637) (xy 108.438222 18.83099) + (xy 110.807501 18.83099) + ) + ) + ) + (zone (net 3) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) + (fill yes (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 179.8 55.7) (xy 179.8 16.2) (xy 96.8 16.2) (xy 96.8 55.7) + ) + ) + (filled_polygon + (pts + (xy 179.394 55.294) (xy 173.570977 55.294) (xy 173.657469 55.247769) (xy 173.734517 55.184537) (xy 173.750366 55.165225) + (xy 174.44022 54.475372) (xy 174.459527 54.459527) (xy 174.522759 54.382479) (xy 174.569745 54.294575) (xy 174.598678 54.199193) + (xy 174.606 54.124854) (xy 174.606 54.124846) (xy 174.608447 54.1) (xy 174.606 54.075154) (xy 174.606 47.980116) + (xy 174.661257 48.062814) (xy 174.937186 48.338743) (xy 175.261645 48.555539) (xy 175.622164 48.704871) (xy 176.004889 48.781) + (xy 176.395111 48.781) (xy 176.777836 48.704871) (xy 177.138355 48.555539) (xy 177.462814 48.338743) (xy 177.738743 48.062814) + (xy 177.955539 47.738355) (xy 178.104871 47.377836) (xy 178.181 46.995111) (xy 178.181 46.604889) (xy 178.104871 46.222164) + (xy 177.955539 45.861645) (xy 177.738743 45.537186) (xy 177.462814 45.261257) (xy 177.138355 45.044461) (xy 176.777836 44.895129) + (xy 176.395111 44.819) (xy 176.004889 44.819) (xy 175.622164 44.895129) (xy 175.261645 45.044461) (xy 174.937186 45.261257) + (xy 174.661257 45.537186) (xy 174.606 45.619884) (xy 174.606 38.434593) (xy 176.185221 36.855373) (xy 176.204528 36.839528) + (xy 176.26776 36.76248) (xy 176.314746 36.674576) (xy 176.320228 36.656505) (xy 176.420866 36.779134) (xy 176.600697 36.926717) + (xy 176.805864 37.036381) (xy 177.028484 37.103912) (xy 177.201984 37.121) (xy 177.318016 37.121) (xy 177.491516 37.103912) + (xy 177.714136 37.036381) (xy 177.919303 36.926717) (xy 178.099134 36.779134) (xy 178.246717 36.599303) (xy 178.356381 36.394136) + (xy 178.423912 36.171516) (xy 178.446714 35.94) (xy 178.423912 35.708484) (xy 178.356381 35.485864) (xy 178.246717 35.280697) + (xy 178.099134 35.100866) (xy 177.919303 34.953283) (xy 177.714136 34.843619) (xy 177.491516 34.776088) (xy 177.318016 34.759) + (xy 177.201984 34.759) (xy 177.028484 34.776088) (xy 176.805864 34.843619) (xy 176.600697 34.953283) (xy 176.420866 35.100866) + (xy 176.320228 35.223495) (xy 176.314746 35.205424) (xy 176.31305 35.202251) (xy 176.26776 35.11752) (xy 176.204528 35.040472) + (xy 176.185221 35.024627) (xy 175.635377 34.474784) (xy 175.619528 34.455472) (xy 175.54248 34.39224) (xy 175.454576 34.345254) + (xy 175.359194 34.316321) (xy 175.284855 34.308999) (xy 175.284847 34.308999) (xy 175.260001 34.306552) (xy 175.235155 34.308999) + (xy 173.329855 34.308999) (xy 173.305001 34.306551) (xy 173.280147 34.308999) (xy 173.205808 34.316321) (xy 173.110426 34.345254) + (xy 173.022522 34.39224) (xy 172.945474 34.455472) (xy 172.929629 34.474779) (xy 172.57786 34.826548) (xy 172.411516 34.776088) + (xy 172.238016 34.759) (xy 172.121984 34.759) (xy 171.948484 34.776088) (xy 171.78214 34.826548) (xy 165.715376 28.759785) + (xy 165.699527 28.740473) (xy 165.622479 28.677241) (xy 165.534575 28.630255) (xy 165.439193 28.601322) (xy 165.364854 28.594) + (xy 165.364846 28.594) (xy 165.34 28.591553) (xy 165.315154 28.594) (xy 161.949592 28.594) (xy 160.676726 27.321135) + (xy 160.703912 27.231516) (xy 160.726714 27) (xy 160.893286 27) (xy 160.916088 27.231516) (xy 160.983619 27.454136) + (xy 161.093283 27.659303) (xy 161.240866 27.839134) (xy 161.420697 27.986717) (xy 161.625864 28.096381) (xy 161.848484 28.163912) + (xy 162.021984 28.181) (xy 162.138016 28.181) (xy 162.311516 28.163912) (xy 162.534136 28.096381) (xy 162.739303 27.986717) + (xy 162.919134 27.839134) (xy 163.066717 27.659303) (xy 163.176381 27.454136) (xy 163.243912 27.231516) (xy 163.266714 27) + (xy 163.243912 26.768484) (xy 163.176381 26.545864) (xy 163.066717 26.340697) (xy 162.919134 26.160866) (xy 162.739303 26.013283) + (xy 162.534136 25.903619) (xy 162.311516 25.836088) (xy 162.138016 25.819) (xy 162.021984 25.819) (xy 161.848484 25.836088) + (xy 161.625864 25.903619) (xy 161.420697 26.013283) (xy 161.240866 26.160866) (xy 161.093283 26.340697) (xy 160.983619 26.545864) + (xy 160.916088 26.768484) (xy 160.893286 27) (xy 160.726714 27) (xy 160.703912 26.768484) (xy 160.636381 26.545864) + (xy 160.526717 26.340697) (xy 160.379134 26.160866) (xy 160.199303 26.013283) (xy 159.994136 25.903619) (xy 159.771516 25.836088) + (xy 159.598016 25.819) (xy 159.481984 25.819) (xy 159.308484 25.836088) (xy 159.085864 25.903619) (xy 159.006 25.946307) + (xy 159.006 25.318996) (xy 159.082144 25.278296) (xy 159.176149 25.201149) (xy 159.253296 25.107144) (xy 159.310622 24.999895) + (xy 159.316354 24.981) (xy 159.783646 24.981) (xy 159.789378 24.999895) (xy 159.846704 25.107144) (xy 159.923851 25.201149) + (xy 160.017856 25.278296) (xy 160.125105 25.335622) (xy 160.241477 25.370923) (xy 160.3625 25.382843) (xy 160.8375 25.382843) + (xy 160.958523 25.370923) (xy 161.074895 25.335622) (xy 161.182144 25.278296) (xy 161.276149 25.201149) (xy 161.353296 25.107144) + (xy 161.410622 24.999895) (xy 161.445923 24.883523) (xy 161.457843 24.7625) (xy 161.457843 24.1875) (xy 161.445923 24.066477) + (xy 161.410622 23.950105) (xy 161.353296 23.842856) (xy 161.34098 23.827849) (xy 161.429494 23.780537) (xy 161.526185 23.701185) + (xy 161.605537 23.604494) (xy 161.664502 23.49418) (xy 161.700812 23.374482) (xy 161.713072 23.25) (xy 161.71 23.01075) + (xy 161.55125 22.852) (xy 160.727 22.852) (xy 160.727 22.872) (xy 160.473 22.872) (xy 160.473 22.852) + (xy 159.64875 22.852) (xy 159.49 23.01075) (xy 159.486928 23.25) (xy 159.499188 23.374482) (xy 159.535498 23.49418) + (xy 159.594463 23.604494) (xy 159.673815 23.701185) (xy 159.770506 23.780537) (xy 159.85902 23.827849) (xy 159.846704 23.842856) + (xy 159.789378 23.950105) (xy 159.783646 23.969) (xy 159.316354 23.969) (xy 159.310622 23.950105) (xy 159.253296 23.842856) + (xy 159.176149 23.748851) (xy 159.082144 23.671704) (xy 158.974895 23.614378) (xy 158.927497 23.6) (xy 158.974895 23.585622) + (xy 159.082144 23.528296) (xy 159.176149 23.451149) (xy 159.253296 23.357144) (xy 159.310622 23.249895) (xy 159.345923 23.133523) + (xy 159.357843 23.0125) (xy 159.357843 22.4375) (xy 159.345923 22.316477) (xy 159.310622 22.200105) (xy 159.253296 22.092856) + (xy 159.176149 21.998851) (xy 159.082144 21.921704) (xy 158.974895 21.864378) (xy 158.858523 21.829077) (xy 158.7375 21.817157) + (xy 158.307749 21.817157) (xy 158.025366 21.534775) (xy 158.009517 21.515463) (xy 157.932469 21.452231) (xy 157.875461 21.421759) + (xy 157.926717 21.359303) (xy 158.036381 21.154136) (xy 158.103912 20.931516) (xy 158.126714 20.7) (xy 158.293286 20.7) + (xy 158.316088 20.931516) (xy 158.383619 21.154136) (xy 158.493283 21.359303) (xy 158.640866 21.539134) (xy 158.820697 21.686717) + (xy 159.025864 21.796381) (xy 159.248484 21.863912) (xy 159.421984 21.881) (xy 159.538016 21.881) (xy 159.577573 21.877104) + (xy 159.535498 21.95582) (xy 159.499188 22.075518) (xy 159.486928 22.2) (xy 159.49 22.43925) (xy 159.64875 22.598) + (xy 160.473 22.598) (xy 160.473 21.72375) (xy 160.727 21.72375) (xy 160.727 22.598) (xy 161.55125 22.598) + (xy 161.71 22.43925) (xy 161.713072 22.2) (xy 161.700812 22.075518) (xy 161.664502 21.95582) (xy 161.605537 21.845506) + (xy 161.564719 21.795769) (xy 161.565864 21.796381) (xy 161.788484 21.863912) (xy 161.961984 21.881) (xy 162.078016 21.881) + (xy 162.251516 21.863912) (xy 162.474136 21.796381) (xy 162.679303 21.686717) (xy 162.859134 21.539134) (xy 163.006717 21.359303) + (xy 163.116381 21.154136) (xy 163.183912 20.931516) (xy 163.206714 20.7) (xy 163.183912 20.468484) (xy 163.116381 20.245864) + (xy 163.006717 20.040697) (xy 162.859134 19.860866) (xy 162.679303 19.713283) (xy 162.474136 19.603619) (xy 162.251516 19.536088) + (xy 162.078016 19.519) (xy 161.961984 19.519) (xy 161.788484 19.536088) (xy 161.565864 19.603619) (xy 161.360697 19.713283) + (xy 161.180866 19.860866) (xy 161.033283 20.040697) (xy 160.923619 20.245864) (xy 160.856088 20.468484) (xy 160.833286 20.7) + (xy 160.856088 20.931516) (xy 160.923619 21.154136) (xy 161.033283 21.359303) (xy 161.180866 21.539134) (xy 161.23771 21.585784) + (xy 161.199482 21.574188) (xy 161.075 21.561928) (xy 160.88575 21.565) (xy 160.727 21.72375) (xy 160.473 21.72375) + (xy 160.31425 21.565) (xy 160.288133 21.564576) (xy 160.319134 21.539134) (xy 160.466717 21.359303) (xy 160.576381 21.154136) + (xy 160.643912 20.931516) (xy 160.666714 20.7) (xy 160.643912 20.468484) (xy 160.576381 20.245864) (xy 160.466717 20.040697) + (xy 160.319134 19.860866) (xy 160.139303 19.713283) (xy 159.934136 19.603619) (xy 159.711516 19.536088) (xy 159.538016 19.519) + (xy 159.421984 19.519) (xy 159.248484 19.536088) (xy 159.025864 19.603619) (xy 158.820697 19.713283) (xy 158.640866 19.860866) + (xy 158.493283 20.040697) (xy 158.383619 20.245864) (xy 158.316088 20.468484) (xy 158.293286 20.7) (xy 158.126714 20.7) + (xy 158.103912 20.468484) (xy 158.036381 20.245864) (xy 157.926717 20.040697) (xy 157.779134 19.860866) (xy 157.599303 19.713283) + (xy 157.394136 19.603619) (xy 157.171516 19.536088) (xy 156.998016 19.519) (xy 156.881984 19.519) (xy 156.708484 19.536088) + (xy 156.485864 19.603619) (xy 156.280697 19.713283) (xy 156.100866 19.860866) (xy 155.953283 20.040697) (xy 155.843619 20.245864) + (xy 155.776088 20.468484) (xy 155.753286 20.7) (xy 155.776088 20.931516) (xy 155.843619 21.154136) (xy 155.953283 21.359303) + (xy 155.961233 21.36899) (xy 155.454374 21.36899) (xy 155.42952 21.366542) (xy 155.404666 21.36899) (xy 155.37649 21.371765) + (xy 155.386717 21.359303) (xy 155.496381 21.154136) (xy 155.563912 20.931516) (xy 155.586714 20.7) (xy 155.563912 20.468484) + (xy 155.496381 20.245864) (xy 155.386717 20.040697) (xy 155.239134 19.860866) (xy 155.059303 19.713283) (xy 154.854136 19.603619) + (xy 154.631516 19.536088) (xy 154.458016 19.519) (xy 154.341984 19.519) (xy 154.168484 19.536088) (xy 153.945864 19.603619) + (xy 153.740697 19.713283) (xy 153.586 19.840239) (xy 153.586 19.225353) (xy 153.767216 19.128491) (xy 153.95466 18.97466) + (xy 154.108491 18.787216) (xy 154.222798 18.573363) (xy 154.293188 18.341318) (xy 154.316956 18.1) (xy 154.315349 18.083682) + (xy 162.719 18.083682) (xy 162.719 18.316318) (xy 162.764386 18.544485) (xy 162.853412 18.759413) (xy 162.982658 18.952843) + (xy 163.147157 19.117342) (xy 163.340587 19.246588) (xy 163.555515 19.335614) (xy 163.783682 19.381) (xy 164.016318 19.381) + (xy 164.161526 19.352116) (xy 164.343973 19.534562) (xy 164.328484 19.536088) (xy 164.105864 19.603619) (xy 163.900697 19.713283) + (xy 163.720866 19.860866) (xy 163.573283 20.040697) (xy 163.463619 20.245864) (xy 163.396088 20.468484) (xy 163.373286 20.7) + (xy 163.396088 20.931516) (xy 163.463619 21.154136) (xy 163.573283 21.359303) (xy 163.720866 21.539134) (xy 163.900697 21.686717) + (xy 164.105864 21.796381) (xy 164.328484 21.863912) (xy 164.501984 21.881) (xy 164.618016 21.881) (xy 164.791516 21.863912) + (xy 165.014136 21.796381) (xy 165.219303 21.686717) (xy 165.399134 21.539134) (xy 165.467211 21.456181) (xy 165.490474 21.484527) + (xy 165.509781 21.500372) (xy 166.81151 22.802102) (xy 166.789386 22.855515) (xy 166.744 23.083682) (xy 166.744 23.316318) + (xy 166.789386 23.544485) (xy 166.811052 23.596792) (xy 166.800474 23.605473) (xy 166.737242 23.682521) (xy 166.712127 23.729508) + (xy 166.690255 23.770426) (xy 166.661322 23.865808) (xy 166.651553 23.965) (xy 166.654001 23.989856) (xy 166.654 25.931341) + (xy 166.540999 25.991741) (xy 166.540999 25.359853) (xy 166.543447 25.334999) (xy 166.533677 25.235806) (xy 166.504744 25.140424) + (xy 166.457758 25.05252) (xy 166.394526 24.975472) (xy 166.375219 24.959627) (xy 165.01349 23.597898) (xy 165.035614 23.544485) + (xy 165.081 23.316318) (xy 165.081 23.083682) (xy 165.035614 22.855515) (xy 164.946588 22.640587) (xy 164.817342 22.447157) + (xy 164.652843 22.282658) (xy 164.459413 22.153412) (xy 164.244485 22.064386) (xy 164.016318 22.019) (xy 163.783682 22.019) + (xy 163.555515 22.064386) (xy 163.340587 22.153412) (xy 163.147157 22.282658) (xy 162.982658 22.447157) (xy 162.853412 22.640587) + (xy 162.764386 22.855515) (xy 162.719 23.083682) (xy 162.719 23.316318) (xy 162.764386 23.544485) (xy 162.853412 23.759413) + (xy 162.982658 23.952843) (xy 163.147157 24.117342) (xy 163.340587 24.246588) (xy 163.555515 24.335614) (xy 163.783682 24.381) + (xy 164.016318 24.381) (xy 164.244485 24.335614) (xy 164.297898 24.31349) (xy 165.528999 25.544591) (xy 165.528999 26.245998) + (xy 165.459134 26.160866) (xy 165.279303 26.013283) (xy 165.074136 25.903619) (xy 164.851516 25.836088) (xy 164.678016 25.819) + (xy 164.561984 25.819) (xy 164.388484 25.836088) (xy 164.165864 25.903619) (xy 163.960697 26.013283) (xy 163.780866 26.160866) + (xy 163.633283 26.340697) (xy 163.523619 26.545864) (xy 163.456088 26.768484) (xy 163.433286 27) (xy 163.456088 27.231516) + (xy 163.523619 27.454136) (xy 163.633283 27.659303) (xy 163.780866 27.839134) (xy 163.960697 27.986717) (xy 164.165864 28.096381) + (xy 164.388484 28.163912) (xy 164.561984 28.181) (xy 164.678016 28.181) (xy 164.851516 28.163912) (xy 165.074136 28.096381) + (xy 165.279303 27.986717) (xy 165.459134 27.839134) (xy 165.559773 27.716505) (xy 165.565254 27.734575) (xy 165.565255 27.734576) + (xy 165.612241 27.82248) (xy 165.675473 27.899528) (xy 165.69478 27.915373) (xy 169.449627 31.670221) (xy 169.465472 31.689528) + (xy 169.54252 31.75276) (xy 169.630424 31.799746) (xy 169.696354 31.819745) (xy 169.725805 31.828679) (xy 169.735693 31.829653) + (xy 169.800145 31.836001) (xy 169.800152 31.836001) (xy 169.824998 31.838448) (xy 169.849844 31.836001) (xy 172.21796 31.836001) + (xy 172.186812 31.938682) (xy 172.163044 32.18) (xy 172.186812 32.421318) (xy 172.257202 32.653363) (xy 172.371509 32.867216) + (xy 172.52534 33.05466) (xy 172.712784 33.208491) (xy 172.926637 33.322798) (xy 173.158682 33.393188) (xy 173.339528 33.411) + (xy 173.460472 33.411) (xy 173.641318 33.393188) (xy 173.873363 33.322798) (xy 174.087216 33.208491) (xy 174.27466 33.05466) + (xy 174.428491 32.867216) (xy 174.542798 32.653363) (xy 174.613188 32.421318) (xy 174.636956 32.18) (xy 174.613188 31.938682) + (xy 174.542798 31.706637) (xy 174.428491 31.492784) (xy 174.27466 31.30534) (xy 174.087216 31.151509) (xy 173.873363 31.037202) + (xy 173.641318 30.966812) (xy 173.460472 30.949) (xy 173.339528 30.949) (xy 173.158682 30.966812) (xy 172.962051 31.026459) + (xy 172.925377 30.989786) (xy 172.909528 30.970474) (xy 172.83248 30.907242) (xy 172.744576 30.860256) (xy 172.649194 30.831323) + (xy 172.574855 30.824001) (xy 172.574847 30.824001) (xy 172.550001 30.821554) (xy 172.525155 30.824001) (xy 170.034591 30.824001) + (xy 167.376027 28.165438) (xy 167.391516 28.163912) (xy 167.614136 28.096381) (xy 167.819303 27.986717) (xy 167.999134 27.839134) + (xy 168.146717 27.659303) (xy 168.256381 27.454136) (xy 168.323912 27.231516) (xy 168.346714 27) (xy 168.323912 26.768484) + (xy 168.256381 26.545864) (xy 168.146717 26.340697) (xy 167.999134 26.160866) (xy 167.819303 26.013283) (xy 167.666 25.931341) + (xy 167.666 24.352618) (xy 167.808682 24.381) (xy 168.041318 24.381) (xy 168.269485 24.335614) (xy 168.484413 24.246588) + (xy 168.677843 24.117342) (xy 168.842342 23.952843) (xy 168.971588 23.759413) (xy 169.060614 23.544485) (xy 169.106 23.316318) + (xy 169.106 23.083682) (xy 169.060614 22.855515) (xy 168.971588 22.640587) (xy 168.842342 22.447157) (xy 168.677843 22.282658) + (xy 168.484413 22.153412) (xy 168.269485 22.064386) (xy 168.041318 22.019) (xy 167.808682 22.019) (xy 167.580515 22.064386) + (xy 167.527102 22.08651) (xy 167.306925 21.866334) (xy 167.331516 21.863912) (xy 167.554136 21.796381) (xy 167.759303 21.686717) + (xy 167.939134 21.539134) (xy 168.086717 21.359303) (xy 168.196381 21.154136) (xy 168.263912 20.931516) (xy 168.286714 20.7) + (xy 168.263912 20.468484) (xy 168.196381 20.245864) (xy 168.086717 20.040697) (xy 167.939134 19.860866) (xy 167.759303 19.713283) + (xy 167.554136 19.603619) (xy 167.331516 19.536088) (xy 167.158016 19.519) (xy 167.041984 19.519) (xy 166.868484 19.536088) + (xy 166.645864 19.603619) (xy 166.440697 19.713283) (xy 166.260866 19.860866) (xy 166.189013 19.948419) (xy 164.962234 18.721641) + (xy 165.035614 18.544485) (xy 165.081 18.316318) (xy 165.081 18.083682) (xy 166.744 18.083682) (xy 166.744 18.316318) + (xy 166.789386 18.544485) (xy 166.878412 18.759413) (xy 167.007658 18.952843) (xy 167.172157 19.117342) (xy 167.365587 19.246588) + (xy 167.580515 19.335614) (xy 167.808682 19.381) (xy 168.041318 19.381) (xy 168.269485 19.335614) (xy 168.322898 19.31349) + (xy 168.349627 19.340219) (xy 168.365472 19.359526) (xy 168.44252 19.422758) (xy 168.530424 19.469744) (xy 168.603606 19.491943) + (xy 168.625805 19.498677) (xy 168.635693 19.499651) (xy 168.700145 19.505999) (xy 168.700152 19.505999) (xy 168.724998 19.508446) + (xy 168.749844 19.505999) (xy 169.39541 19.505999) (xy 169.423973 19.534562) (xy 169.408484 19.536088) (xy 169.185864 19.603619) + (xy 168.980697 19.713283) (xy 168.800866 19.860866) (xy 168.653283 20.040697) (xy 168.543619 20.245864) (xy 168.476088 20.468484) + (xy 168.453286 20.7) (xy 168.476088 20.931516) (xy 168.543619 21.154136) (xy 168.653283 21.359303) (xy 168.800866 21.539134) + (xy 168.980697 21.686717) (xy 169.185864 21.796381) (xy 169.408484 21.863912) (xy 169.581984 21.881) (xy 169.698016 21.881) + (xy 169.871516 21.863912) (xy 170.094136 21.796381) (xy 170.299303 21.686717) (xy 170.318999 21.670553) (xy 170.319 25.600143) + (xy 170.316552 25.624999) (xy 170.326321 25.724191) (xy 170.354521 25.817157) (xy 168.9 25.817157) (xy 168.825311 25.824513) + (xy 168.753492 25.846299) (xy 168.687304 25.881678) (xy 168.629289 25.929289) (xy 168.581678 25.987304) (xy 168.546299 26.053492) + (xy 168.524513 26.125311) (xy 168.517157 26.2) (xy 168.517157 27.8) (xy 168.524513 27.874689) (xy 168.546299 27.946508) + (xy 168.581678 28.012696) (xy 168.629289 28.070711) (xy 168.687304 28.118322) (xy 168.753492 28.153701) (xy 168.825311 28.175487) + (xy 168.9 28.182843) (xy 169.21153 28.182843) (xy 169.230255 28.244574) (xy 169.24802 28.277809) (xy 169.277242 28.332479) + (xy 169.340474 28.409527) (xy 169.359779 28.42537) (xy 170.914628 29.98022) (xy 170.930473 29.999527) (xy 171.007521 30.062759) + (xy 171.076857 30.09982) (xy 171.095425 30.109745) (xy 171.190807 30.138678) (xy 171.29 30.148448) (xy 171.314854 30.146) + (xy 172.274647 30.146) (xy 172.371509 30.327216) (xy 172.52534 30.51466) (xy 172.712784 30.668491) (xy 172.926637 30.782798) + (xy 173.158682 30.853188) (xy 173.339528 30.871) (xy 173.460472 30.871) (xy 173.641318 30.853188) (xy 173.873363 30.782798) + (xy 174.087216 30.668491) (xy 174.27466 30.51466) (xy 174.428491 30.327216) (xy 174.542798 30.113363) (xy 174.613188 29.881318) + (xy 174.636956 29.64) (xy 174.613188 29.398682) (xy 174.542798 29.166637) (xy 174.428491 28.952784) (xy 174.27466 28.76534) + (xy 174.087216 28.611509) (xy 173.873363 28.497202) (xy 173.641318 28.426812) (xy 173.460472 28.409) (xy 173.339528 28.409) + (xy 173.158682 28.426812) (xy 172.926637 28.497202) (xy 172.712784 28.611509) (xy 172.52534 28.76534) (xy 172.371509 28.952784) + (xy 172.274647 29.134) (xy 171.499592 29.134) (xy 170.544092 28.1785) (xy 170.574689 28.175487) (xy 170.646508 28.153701) + (xy 170.712696 28.118322) (xy 170.770711 28.070711) (xy 170.818322 28.012696) (xy 170.853701 27.946508) (xy 170.875487 27.874689) + (xy 170.882843 27.8) (xy 170.882843 26.398434) (xy 171.924628 27.44022) (xy 171.940473 27.459527) (xy 172.017521 27.522759) + (xy 172.105425 27.569745) (xy 172.167157 27.588471) (xy 172.167157 27.95) (xy 172.174513 28.024689) (xy 172.196299 28.096508) + (xy 172.231678 28.162696) (xy 172.279289 28.220711) (xy 172.337304 28.268322) (xy 172.403492 28.303701) (xy 172.475311 28.325487) + (xy 172.55 28.332843) (xy 174.25 28.332843) (xy 174.324689 28.325487) (xy 174.396508 28.303701) (xy 174.462696 28.268322) + (xy 174.520711 28.220711) (xy 174.568322 28.162696) (xy 174.603701 28.096508) (xy 174.625487 28.024689) (xy 174.632843 27.95) + (xy 174.632843 26.598435) (xy 175.518999 27.484591) (xy 175.519 30.980143) (xy 175.516552 31.004999) (xy 175.526321 31.104191) + (xy 175.555254 31.199573) (xy 175.572843 31.232479) (xy 175.602241 31.287478) (xy 175.665473 31.364526) (xy 175.68478 31.380371) + (xy 175.974631 31.670222) (xy 176.046459 31.742051) (xy 175.986812 31.938682) (xy 175.963044 32.18) (xy 175.986812 32.421318) + (xy 176.057202 32.653363) (xy 176.171509 32.867216) (xy 176.32534 33.05466) (xy 176.512784 33.208491) (xy 176.726637 33.322798) + (xy 176.958682 33.393188) (xy 177.139528 33.411) (xy 177.260472 33.411) (xy 177.441318 33.393188) (xy 177.673363 33.322798) + (xy 177.887216 33.208491) (xy 178.07466 33.05466) (xy 178.228491 32.867216) (xy 178.342798 32.653363) (xy 178.413188 32.421318) + (xy 178.436956 32.18) (xy 178.413188 31.938682) (xy 178.342798 31.706637) (xy 178.228491 31.492784) (xy 178.07466 31.30534) + (xy 177.887216 31.151509) (xy 177.673363 31.037202) (xy 177.441318 30.966812) (xy 177.260472 30.949) (xy 177.139528 30.949) + (xy 176.958682 30.966812) (xy 176.762051 31.026459) (xy 176.690222 30.954631) (xy 176.530999 30.795408) (xy 176.530999 30.678227) + (xy 176.726637 30.782798) (xy 176.958682 30.853188) (xy 177.139528 30.871) (xy 177.260472 30.871) (xy 177.441318 30.853188) + (xy 177.673363 30.782798) (xy 177.887216 30.668491) (xy 178.07466 30.51466) (xy 178.228491 30.327216) (xy 178.342798 30.113363) + (xy 178.413188 29.881318) (xy 178.436956 29.64) (xy 178.413188 29.398682) (xy 178.342798 29.166637) (xy 178.228491 28.952784) + (xy 178.07466 28.76534) (xy 177.887216 28.611509) (xy 177.673363 28.497202) (xy 177.441318 28.426812) (xy 177.260472 28.409) + (xy 177.139528 28.409) (xy 176.958682 28.426812) (xy 176.726637 28.497202) (xy 176.530999 28.601773) (xy 176.530999 28.332843) + (xy 178.05 28.332843) (xy 178.124689 28.325487) (xy 178.196508 28.303701) (xy 178.262696 28.268322) (xy 178.320711 28.220711) + (xy 178.368322 28.162696) (xy 178.403701 28.096508) (xy 178.425487 28.024689) (xy 178.432843 27.95) (xy 178.432843 26.25) + (xy 178.425487 26.175311) (xy 178.403701 26.103492) (xy 178.368322 26.037304) (xy 178.320711 25.979289) (xy 178.262696 25.931678) + (xy 178.196508 25.896299) (xy 178.124689 25.874513) (xy 178.05 25.867157) (xy 177.706 25.867157) (xy 177.706 22.759843) + (xy 177.708447 22.734997) (xy 177.706 22.710151) (xy 177.706 22.710144) (xy 177.698678 22.635805) (xy 177.696086 22.627258) + (xy 177.683405 22.585455) (xy 177.669745 22.540423) (xy 177.622759 22.452519) (xy 177.559527 22.375471) (xy 177.54022 22.359626) + (xy 177.046256 21.865662) (xy 177.201984 21.881) (xy 177.318016 21.881) (xy 177.491516 21.863912) (xy 177.714136 21.796381) + (xy 177.919303 21.686717) (xy 178.099134 21.539134) (xy 178.246717 21.359303) (xy 178.356381 21.154136) (xy 178.423912 20.931516) + (xy 178.446714 20.7) (xy 178.423912 20.468484) (xy 178.356381 20.245864) (xy 178.246717 20.040697) (xy 178.099134 19.860866) + (xy 177.919303 19.713283) (xy 177.714136 19.603619) (xy 177.491516 19.536088) (xy 177.318016 19.519) (xy 177.201984 19.519) + (xy 177.028484 19.536088) (xy 176.805864 19.603619) (xy 176.600697 19.713283) (xy 176.506 19.790999) (xy 176.506 19.268712) + (xy 176.559413 19.246588) (xy 176.752843 19.117342) (xy 176.917342 18.952843) (xy 177.046588 18.759413) (xy 177.135614 18.544485) + (xy 177.181 18.316318) (xy 177.181 18.083682) (xy 177.135614 17.855515) (xy 177.046588 17.640587) (xy 176.917342 17.447157) + (xy 176.752843 17.282658) (xy 176.559413 17.153412) (xy 176.344485 17.064386) (xy 176.116318 17.019) (xy 175.883682 17.019) + (xy 175.655515 17.064386) (xy 175.440587 17.153412) (xy 175.247157 17.282658) (xy 175.082658 17.447157) (xy 174.953412 17.640587) + (xy 174.864386 17.855515) (xy 174.819 18.083682) (xy 174.819 18.316318) (xy 174.864386 18.544485) (xy 174.953412 18.759413) + (xy 175.082658 18.952843) (xy 175.247157 19.117342) (xy 175.440587 19.246588) (xy 175.494 19.268712) (xy 175.494 19.807412) + (xy 175.379303 19.713283) (xy 175.174136 19.603619) (xy 174.951516 19.536088) (xy 174.778016 19.519) (xy 174.661984 19.519) + (xy 174.488484 19.536088) (xy 174.265864 19.603619) (xy 174.060697 19.713283) (xy 174.007787 19.756705) (xy 174.008447 19.749999) + (xy 174.006 19.725153) (xy 174.006 19.725146) (xy 173.998678 19.650807) (xy 173.969745 19.555425) (xy 173.922759 19.467521) + (xy 173.859527 19.390473) (xy 173.84022 19.374628) (xy 173.06349 18.597898) (xy 173.085614 18.544485) (xy 173.131 18.316318) + (xy 173.131 18.083682) (xy 173.085614 17.855515) (xy 172.996588 17.640587) (xy 172.867342 17.447157) (xy 172.702843 17.282658) + (xy 172.509413 17.153412) (xy 172.294485 17.064386) (xy 172.066318 17.019) (xy 171.833682 17.019) (xy 171.605515 17.064386) + (xy 171.390587 17.153412) (xy 171.197157 17.282658) (xy 171.032658 17.447157) (xy 170.903412 17.640587) (xy 170.814386 17.855515) + (xy 170.769 18.083682) (xy 170.769 18.316318) (xy 170.814386 18.544485) (xy 170.903412 18.759413) (xy 171.032658 18.952843) + (xy 171.197157 19.117342) (xy 171.390587 19.246588) (xy 171.605515 19.335614) (xy 171.833682 19.381) (xy 172.066318 19.381) + (xy 172.294485 19.335614) (xy 172.347898 19.31349) (xy 172.642496 19.608087) (xy 172.634136 19.603619) (xy 172.411516 19.536088) + (xy 172.238016 19.519) (xy 172.121984 19.519) (xy 171.948484 19.536088) (xy 171.725864 19.603619) (xy 171.520697 19.713283) + (xy 171.340866 19.860866) (xy 171.25967 19.959804) (xy 171.247758 19.937518) (xy 171.184526 19.86047) (xy 171.165219 19.844625) + (xy 169.980377 18.659784) (xy 169.964528 18.640472) (xy 169.88748 18.57724) (xy 169.799576 18.530254) (xy 169.704194 18.501321) + (xy 169.629855 18.493999) (xy 169.629847 18.493999) (xy 169.605001 18.491552) (xy 169.580155 18.493999) (xy 169.070656 18.493999) + (xy 169.106 18.316318) (xy 169.106 18.083682) (xy 169.060614 17.855515) (xy 168.971588 17.640587) (xy 168.842342 17.447157) + (xy 168.677843 17.282658) (xy 168.484413 17.153412) (xy 168.269485 17.064386) (xy 168.041318 17.019) (xy 167.808682 17.019) + (xy 167.580515 17.064386) (xy 167.365587 17.153412) (xy 167.172157 17.282658) (xy 167.007658 17.447157) (xy 166.878412 17.640587) + (xy 166.789386 17.855515) (xy 166.744 18.083682) (xy 165.081 18.083682) (xy 165.035614 17.855515) (xy 164.946588 17.640587) + (xy 164.817342 17.447157) (xy 164.652843 17.282658) (xy 164.459413 17.153412) (xy 164.244485 17.064386) (xy 164.016318 17.019) + (xy 163.783682 17.019) (xy 163.555515 17.064386) (xy 163.340587 17.153412) (xy 163.147157 17.282658) (xy 162.982658 17.447157) + (xy 162.853412 17.640587) (xy 162.764386 17.855515) (xy 162.719 18.083682) (xy 154.315349 18.083682) (xy 154.293188 17.858682) + (xy 154.222798 17.626637) (xy 154.108491 17.412784) (xy 153.95466 17.22534) (xy 153.767216 17.071509) (xy 153.553363 16.957202) + (xy 153.321318 16.886812) (xy 153.140472 16.869) (xy 153.019528 16.869) (xy 152.838682 16.886812) (xy 152.642051 16.946459) + (xy 152.570222 16.874631) (xy 152.301591 16.606) (xy 179.394001 16.606) + ) + ) + (filled_polygon + (pts + (xy 111.23842 45.342161) (xy 111.347203 45.450944) (xy 111.47512 45.536415) (xy 111.617253 45.595289) (xy 111.719 45.615528) + (xy 111.719 45.676922) (xy 111.749013 45.827809) (xy 111.807887 45.969942) (xy 111.893358 46.097859) (xy 112.002141 46.206642) + (xy 112.130058 46.292113) (xy 112.272191 46.350987) (xy 112.423078 46.381) (xy 112.576922 46.381) (xy 112.669 46.362685) + (xy 112.669 46.426922) (xy 112.699013 46.577809) (xy 112.757887 46.719942) (xy 112.843358 46.847859) (xy 112.952141 46.956642) + (xy 113.080058 47.042113) (xy 113.222191 47.100987) (xy 113.245483 47.10562) (xy 113.195913 47.225291) (xy 113.1659 47.376178) + (xy 113.1659 47.397164) (xy 113.150987 47.322191) (xy 113.092113 47.180058) (xy 113.006642 47.052141) (xy 112.897859 46.943358) + (xy 112.769942 46.857887) (xy 112.627809 46.799013) (xy 112.476922 46.769) (xy 112.323078 46.769) (xy 112.172191 46.799013) + (xy 112.030058 46.857887) (xy 111.902141 46.943358) (xy 111.793358 47.052141) (xy 111.707887 47.180058) (xy 111.649013 47.322191) + (xy 111.619 47.473078) (xy 111.619 47.626922) (xy 111.649013 47.777809) (xy 111.707887 47.919942) (xy 111.793358 48.047859) + (xy 111.902141 48.156642) (xy 112.030058 48.242113) (xy 112.172191 48.300987) (xy 112.323078 48.331) (xy 112.465409 48.331) + (xy 113.029964 48.895556) (xy 113.045809 48.914863) (xy 113.122857 48.978095) (xy 113.210761 49.025081) (xy 113.306143 49.054014) + (xy 113.405336 49.063784) (xy 113.43019 49.061336) (xy 115.803493 49.061336) (xy 115.738925 49.140013) (xy 115.620997 49.360642) + (xy 115.548377 49.600038) (xy 115.53 49.786621) (xy 115.530001 53.21338) (xy 115.548378 53.399963) (xy 115.615191 53.620217) + (xy 115.291439 53.94397) (xy 112.712153 53.94397) (xy 112.781075 53.859988) (xy 112.899003 53.639359) (xy 112.971623 53.399963) + (xy 112.99 53.21338) (xy 112.99 49.78662) (xy 112.971623 49.600037) (xy 112.899003 49.360641) (xy 112.781075 49.140012) + (xy 112.62237 48.94663) (xy 112.428987 48.787925) (xy 112.208358 48.669997) (xy 111.968962 48.597377) (xy 111.72 48.572856) + (xy 111.471037 48.597377) (xy 111.231641 48.669997) (xy 111.011012 48.787925) (xy 110.81763 48.94663) (xy 110.658925 49.140013) + (xy 110.540997 49.360642) (xy 110.468377 49.600038) (xy 110.45 49.78662) (xy 110.431623 49.600037) (xy 110.359003 49.360641) + (xy 110.241075 49.140012) (xy 110.08237 48.94663) (xy 109.888987 48.787925) (xy 109.668358 48.669997) (xy 109.428962 48.597377) + (xy 109.18 48.572856) (xy 108.931037 48.597377) (xy 108.753168 48.651333) (xy 108.806642 48.597859) (xy 108.892113 48.469942) + (xy 108.950987 48.327809) (xy 108.981 48.176922) (xy 108.981 48.023078) (xy 108.950987 47.872191) (xy 108.892113 47.730058) + (xy 108.806642 47.602141) (xy 108.781 47.576499) (xy 108.781 47.340657) (xy 108.988814 47.132843) (xy 110.600001 47.132843) + (xy 110.723462 47.120683) (xy 110.842179 47.084671) (xy 110.951589 47.02619) (xy 111.047488 46.947488) (xy 111.12619 46.851589) + (xy 111.184671 46.742179) (xy 111.220683 46.623462) (xy 111.232843 46.500001) (xy 111.232843 45.333814) + ) + ) + (filled_polygon + (pts + (xy 100.630649 42.464678) (xy 100.738007 42.625351) (xy 100.874649 42.761993) (xy 101.035322 42.869351) (xy 101.213853 42.943301) + (xy 101.40338 42.981) (xy 101.59662 42.981) (xy 101.786147 42.943301) (xy 101.964678 42.869351) (xy 102.125351 42.761993) + (xy 102.21898 42.668364) (xy 102.21898 43.699743) (xy 102.012878 43.905846) (xy 101.993572 43.92169) (xy 101.93034 43.998738) + (xy 101.907992 44.040548) (xy 101.883353 44.086643) (xy 101.85442 44.182025) (xy 101.847969 44.24753) (xy 101.746456 44.349043) + (xy 101.660985 44.47696) (xy 101.602111 44.619093) (xy 101.572098 44.76998) (xy 101.572098 44.923824) (xy 101.602111 45.074711) + (xy 101.660985 45.216844) (xy 101.746456 45.344761) (xy 101.855239 45.453544) (xy 101.983156 45.539015) (xy 102.125289 45.597889) + (xy 102.276176 45.627902) (xy 102.43002 45.627902) (xy 102.580907 45.597889) (xy 102.66899 45.561403) (xy 102.66899 47.760144) + (xy 102.666543 47.78499) (xy 102.66899 47.809836) (xy 102.66899 47.809843) (xy 102.676312 47.884182) (xy 102.705245 47.979564) + (xy 102.752231 48.067469) (xy 102.815463 48.144517) (xy 102.834775 48.160366) (xy 103.43749 48.763082) (xy 103.391012 48.787925) + (xy 103.19763 48.94663) (xy 103.038925 49.140013) (xy 102.920997 49.360642) (xy 102.848377 49.600038) (xy 102.83 49.786621) + (xy 102.830001 52.964409) (xy 98.60601 48.740419) (xy 98.60601 47.645526) (xy 98.644461 47.738355) (xy 98.861257 48.062814) + (xy 99.137186 48.338743) (xy 99.461645 48.555539) (xy 99.822164 48.704871) (xy 100.204889 48.781) (xy 100.595111 48.781) + (xy 100.977836 48.704871) (xy 101.338355 48.555539) (xy 101.662814 48.338743) (xy 101.938743 48.062814) (xy 102.155539 47.738355) + (xy 102.304871 47.377836) (xy 102.381 46.995111) (xy 102.381 46.604889) (xy 102.304871 46.222164) (xy 102.155539 45.861645) + (xy 101.938743 45.537186) (xy 101.662814 45.261257) (xy 101.338355 45.044461) (xy 100.977836 44.895129) (xy 100.595111 44.819) + (xy 100.204889 44.819) (xy 99.822164 44.895129) (xy 99.461645 45.044461) (xy 99.137186 45.261257) (xy 98.861257 45.537186) + (xy 98.644461 45.861645) (xy 98.60601 45.954474) (xy 98.60601 44.478583) (xy 100.465209 42.619385) (xy 100.484516 42.60354) + (xy 100.547748 42.526492) (xy 100.594734 42.438588) (xy 100.605348 42.403597) + ) + ) + (filled_polygon + (pts + (xy 134.872672 46.815262) (xy 134.888517 46.834569) (xy 134.965565 46.897801) (xy 135.050796 46.943358) (xy 135.053469 46.944787) + (xy 135.148851 46.97372) (xy 135.248044 46.98349) (xy 135.272898 46.981042) (xy 138.601499 46.981042) (xy 138.702141 47.081684) + (xy 138.830058 47.167155) (xy 138.972191 47.226029) (xy 139.123078 47.256042) (xy 139.276922 47.256042) (xy 139.427809 47.226029) + (xy 139.569942 47.167155) (xy 139.697859 47.081684) (xy 139.806642 46.972901) (xy 139.892113 46.844984) (xy 139.950987 46.702851) + (xy 139.981 46.551964) (xy 139.981 46.39812) (xy 139.952737 46.256032) (xy 140.431441 46.256032) (xy 143.555191 49.379783) + (xy 143.488377 49.600038) (xy 143.47 49.78662) (xy 143.451623 49.600037) (xy 143.379003 49.360641) (xy 143.261075 49.140012) + (xy 143.10237 48.94663) (xy 142.908987 48.787925) (xy 142.688358 48.669997) (xy 142.448962 48.597377) (xy 142.2 48.572856) + (xy 141.951037 48.597377) (xy 141.711641 48.669997) (xy 141.491012 48.787925) (xy 141.29763 48.94663) (xy 141.138925 49.140013) + (xy 141.020997 49.360642) (xy 140.948377 49.600038) (xy 140.93 49.78662) (xy 140.911623 49.600037) (xy 140.839003 49.360641) + (xy 140.721075 49.140012) (xy 140.56237 48.94663) (xy 140.368987 48.787925) (xy 140.148358 48.669997) (xy 139.908962 48.597377) + (xy 139.66 48.572856) (xy 139.411037 48.597377) (xy 139.171641 48.669997) (xy 138.951012 48.787925) (xy 138.75763 48.94663) + (xy 138.598925 49.140013) (xy 138.480997 49.360642) (xy 138.408377 49.600038) (xy 138.39 49.78662) (xy 138.371623 49.600037) + (xy 138.299003 49.360641) (xy 138.181075 49.140012) (xy 138.02237 48.94663) (xy 137.828987 48.787925) (xy 137.608358 48.669997) + (xy 137.368962 48.597377) (xy 137.12 48.572856) (xy 136.871037 48.597377) (xy 136.631641 48.669997) (xy 136.411012 48.787925) + (xy 136.21763 48.94663) (xy 136.058925 49.140013) (xy 135.940997 49.360642) (xy 135.868377 49.600038) (xy 135.85 49.78662) + (xy 135.831623 49.600037) (xy 135.759003 49.360641) (xy 135.641075 49.140012) (xy 135.48237 48.94663) (xy 135.288987 48.787925) + (xy 135.068358 48.669997) (xy 134.828962 48.597377) (xy 134.58 48.572856) (xy 134.331037 48.597377) (xy 134.091641 48.669997) + (xy 133.871012 48.787925) (xy 133.67763 48.94663) (xy 133.518925 49.140013) (xy 133.400997 49.360642) (xy 133.328377 49.600038) + (xy 133.31 49.78662) (xy 133.291623 49.600037) (xy 133.219003 49.360641) (xy 133.101075 49.140012) (xy 132.94237 48.94663) + (xy 132.748987 48.787925) (xy 132.546 48.679427) (xy 132.546 47.796589) (xy 134.13659 46.206) (xy 134.263411 46.206) + ) + ) + (filled_polygon + (pts + (xy 105.630649 36.535322) (xy 105.556699 36.713853) (xy 105.519 36.90338) (xy 105.519 37.09662) (xy 105.556699 37.286147) + (xy 105.630649 37.464678) (xy 105.684366 37.545071) (xy 105.412059 37.545071) (xy 105.387213 37.542624) (xy 105.362367 37.545071) + (xy 105.36236 37.545071) (xy 105.298671 37.551344) (xy 105.28802 37.552393) (xy 105.226677 37.571001) (xy 105.192639 37.581326) + (xy 105.104735 37.628312) (xy 105.027687 37.691544) (xy 105.011843 37.71085) (xy 104.084775 38.637919) (xy 104.065463 38.653768) + (xy 104.002231 38.730816) (xy 103.955245 38.818721) (xy 103.926312 38.914103) (xy 103.91899 38.988442) (xy 103.91899 38.988449) + (xy 103.916543 39.013295) (xy 103.91899 39.038141) (xy 103.918991 42.736944) (xy 103.916543 42.7618) (xy 103.926312 42.860992) + (xy 103.955245 42.956374) (xy 103.967998 42.980233) (xy 104.002232 43.044279) (xy 104.065464 43.121327) (xy 104.084771 43.137172) + (xy 104.811674 43.864076) (xy 104.752 43.92375) (xy 104.752 45.448) (xy 106.22625 45.448) (xy 106.310924 45.363326) + (xy 106.894023 45.946425) (xy 106.894022 48.346167) (xy 106.891575 48.371013) (xy 106.894022 48.395859) (xy 106.894022 48.395866) + (xy 106.901344 48.470205) (xy 106.930277 48.565587) (xy 106.958553 48.618487) (xy 106.888962 48.597377) (xy 106.64 48.572856) + (xy 106.391037 48.597377) (xy 106.151641 48.669997) (xy 105.931012 48.787925) (xy 105.73763 48.94663) (xy 105.578925 49.140013) + (xy 105.460997 49.360642) (xy 105.388377 49.600038) (xy 105.37 49.78662) (xy 105.351623 49.600037) (xy 105.279003 49.360641) + (xy 105.161075 49.140012) (xy 105.00237 48.94663) (xy 104.808987 48.787925) (xy 104.605405 48.679109) (xy 104.598678 48.610807) + (xy 104.569745 48.515425) (xy 104.568282 48.512688) (xy 104.522759 48.427521) (xy 104.459527 48.350473) (xy 104.44022 48.334628) + (xy 103.68099 47.575399) (xy 103.68099 47.38741) (xy 104.33925 47.385) (xy 104.498 47.22625) (xy 104.498 45.702) + (xy 104.752 45.702) (xy 104.752 47.22625) (xy 104.91075 47.385) (xy 105.75 47.388072) (xy 105.874482 47.375812) + (xy 105.99418 47.339502) (xy 106.104494 47.280537) (xy 106.201185 47.201185) (xy 106.280537 47.104494) (xy 106.339502 46.99418) + (xy 106.375812 46.874482) (xy 106.388072 46.75) (xy 106.385 45.86075) (xy 106.22625 45.702) (xy 104.752 45.702) + (xy 104.498 45.702) (xy 104.478 45.702) (xy 104.478 45.448) (xy 104.498 45.448) (xy 104.498 43.92375) + (xy 104.33925 43.765) (xy 103.68099 43.76259) (xy 103.68099 38.084601) (xy 105.037744 36.727848) (xy 105.180074 36.727848) + (xy 105.330961 36.697835) (xy 105.473094 36.638961) (xy 105.601011 36.55349) (xy 105.653746 36.500755) + ) + ) + (filled_polygon + (pts + (xy 151.407887 31.669942) (xy 151.493358 31.797859) (xy 151.602141 31.906642) (xy 151.730058 31.992113) (xy 151.872191 32.050987) + (xy 152.023078 32.081) (xy 152.165409 32.081) (xy 155.012875 34.928466) (xy 154.854136 34.843619) (xy 154.631516 34.776088) + (xy 154.458016 34.759) (xy 154.341984 34.759) (xy 154.168484 34.776088) (xy 153.945864 34.843619) (xy 153.740697 34.953283) + (xy 153.560866 35.100866) (xy 153.413283 35.280697) (xy 153.303619 35.485864) (xy 153.236088 35.708484) (xy 153.213286 35.94) + (xy 153.236088 36.171516) (xy 153.303619 36.394136) (xy 153.413283 36.599303) (xy 153.560866 36.779134) (xy 153.740697 36.926717) + (xy 153.894 37.008659) (xy 153.894001 41.203411) (xy 153.800697 41.253283) (xy 153.620866 41.400866) (xy 153.473283 41.580697) + (xy 153.363619 41.785864) (xy 153.296088 42.008484) (xy 153.273286 42.24) (xy 153.296088 42.471516) (xy 153.363619 42.694136) + (xy 153.473283 42.899303) (xy 153.620866 43.079134) (xy 153.800697 43.226717) (xy 154.005864 43.336381) (xy 154.228484 43.403912) + (xy 154.401984 43.421) (xy 154.518016 43.421) (xy 154.691516 43.403912) (xy 154.914136 43.336381) (xy 155.119303 43.226717) + (xy 155.299134 43.079134) (xy 155.446717 42.899303) (xy 155.556381 42.694136) (xy 155.623912 42.471516) (xy 155.646714 42.24) + (xy 155.813286 42.24) (xy 155.836088 42.471516) (xy 155.903619 42.694136) (xy 156.013283 42.899303) (xy 156.160866 43.079134) + (xy 156.340697 43.226717) (xy 156.545864 43.336381) (xy 156.768484 43.403912) (xy 156.941984 43.421) (xy 157.058016 43.421) + (xy 157.231516 43.403912) (xy 157.454136 43.336381) (xy 157.659303 43.226717) (xy 157.839134 43.079134) (xy 157.986717 42.899303) + (xy 158.096381 42.694136) (xy 158.163912 42.471516) (xy 158.186714 42.24) (xy 158.163912 42.008484) (xy 158.096381 41.785864) + (xy 157.986717 41.580697) (xy 157.839134 41.400866) (xy 157.659303 41.253283) (xy 157.454136 41.143619) (xy 157.231516 41.076088) + (xy 157.058016 41.059) (xy 156.941984 41.059) (xy 156.768484 41.076088) (xy 156.545864 41.143619) (xy 156.340697 41.253283) + (xy 156.160866 41.400866) (xy 156.013283 41.580697) (xy 155.903619 41.785864) (xy 155.836088 42.008484) (xy 155.813286 42.24) + (xy 155.646714 42.24) (xy 155.623912 42.008484) (xy 155.556381 41.785864) (xy 155.446717 41.580697) (xy 155.299134 41.400866) + (xy 155.119303 41.253283) (xy 154.914136 41.143619) (xy 154.906 41.141151) (xy 154.906 37.008659) (xy 155.059303 36.926717) + (xy 155.111223 36.884108) (xy 155.165474 36.950213) (xy 155.184786 36.966062) (xy 156.358943 38.14022) (xy 156.374788 38.159527) + (xy 156.451836 38.222759) (xy 156.53974 38.269745) (xy 156.612922 38.291944) (xy 156.635121 38.298678) (xy 156.645009 38.299652) + (xy 156.700629 38.30513) (xy 156.802141 38.406642) (xy 156.930058 38.492113) (xy 157.072191 38.550987) (xy 157.223078 38.581) + (xy 157.376922 38.581) (xy 157.527809 38.550987) (xy 157.669942 38.492113) (xy 157.797859 38.406642) (xy 157.9 38.304501) + (xy 157.902141 38.306642) (xy 158.030058 38.392113) (xy 158.172191 38.450987) (xy 158.323078 38.481) (xy 158.476922 38.481) + (xy 158.627809 38.450987) (xy 158.769942 38.392113) (xy 158.897859 38.306642) (xy 159.006642 38.197859) (xy 159.092113 38.069942) + (xy 159.150987 37.927809) (xy 159.181 37.776922) (xy 159.181 37.623078) (xy 159.150987 37.472191) (xy 159.092113 37.330058) + (xy 159.006642 37.202141) (xy 158.90513 37.100629) (xy 158.898678 37.035122) (xy 158.874522 36.955487) (xy 159.025864 37.036381) + (xy 159.248484 37.103912) (xy 159.421984 37.121) (xy 159.538016 37.121) (xy 159.711516 37.103912) (xy 159.934136 37.036381) + (xy 160.139303 36.926717) (xy 160.319134 36.779134) (xy 160.323834 36.773407) (xy 160.330255 36.794574) (xy 160.343965 36.820223) + (xy 160.377242 36.882479) (xy 160.440474 36.959527) (xy 160.45978 36.975371) (xy 160.794 37.309592) (xy 160.794001 40.990407) + (xy 160.459785 41.324624) (xy 160.440473 41.340473) (xy 160.385022 41.40804) (xy 160.379134 41.400866) (xy 160.199303 41.253283) + (xy 159.994136 41.143619) (xy 159.771516 41.076088) (xy 159.598016 41.059) (xy 159.481984 41.059) (xy 159.308484 41.076088) + (xy 159.085864 41.143619) (xy 158.880697 41.253283) (xy 158.700866 41.400866) (xy 158.553283 41.580697) (xy 158.443619 41.785864) + (xy 158.376088 42.008484) (xy 158.353286 42.24) (xy 158.376088 42.471516) (xy 158.443619 42.694136) (xy 158.553283 42.899303) + (xy 158.700866 43.079134) (xy 158.880697 43.226717) (xy 159.085864 43.336381) (xy 159.308484 43.403912) (xy 159.481984 43.421) + (xy 159.598016 43.421) (xy 159.771516 43.403912) (xy 159.994136 43.336381) (xy 160.199303 43.226717) (xy 160.294001 43.149001) + (xy 160.294001 43.159789) (xy 158.459845 44.993946) (xy 158.317514 44.993946) (xy 158.166627 45.023959) (xy 158.024494 45.082833) + (xy 157.896577 45.168304) (xy 157.787794 45.277087) (xy 157.702323 45.405004) (xy 157.643449 45.547137) (xy 157.613436 45.698024) + (xy 157.613436 45.851868) (xy 157.643449 46.002755) (xy 157.702323 46.144888) (xy 157.787794 46.272805) (xy 157.896577 46.381588) + (xy 158.024494 46.467059) (xy 158.166627 46.525933) (xy 158.317514 46.555946) (xy 158.471358 46.555946) (xy 158.622245 46.525933) + (xy 158.764378 46.467059) (xy 158.892295 46.381588) (xy 159.001078 46.272805) (xy 159.086549 46.144888) (xy 159.145423 46.002755) + (xy 159.175436 45.851868) (xy 159.175436 45.709537) (xy 161.14022 43.744754) (xy 161.159527 43.728909) (xy 161.222759 43.651861) + (xy 161.269745 43.563957) (xy 161.286449 43.508891) (xy 161.298678 43.468576) (xy 161.302499 43.429777) (xy 161.306 43.394236) + (xy 161.306 43.394229) (xy 161.308447 43.369383) (xy 161.306 43.344537) (xy 161.306 43.132588) (xy 161.420697 43.226717) + (xy 161.625864 43.336381) (xy 161.848484 43.403912) (xy 162.021984 43.421) (xy 162.138016 43.421) (xy 162.311516 43.403912) + (xy 162.534136 43.336381) (xy 162.739303 43.226717) (xy 162.919134 43.079134) (xy 163.066717 42.899303) (xy 163.176381 42.694136) + (xy 163.243912 42.471516) (xy 163.266714 42.24) (xy 163.243912 42.008484) (xy 163.224958 41.946001) (xy 163.475042 41.946001) + (xy 163.456088 42.008484) (xy 163.433286 42.24) (xy 163.456088 42.471516) (xy 163.523619 42.694136) (xy 163.633283 42.899303) + (xy 163.780866 43.079134) (xy 163.960697 43.226717) (xy 164.165864 43.336381) (xy 164.388484 43.403912) (xy 164.561984 43.421) + (xy 164.678016 43.421) (xy 164.851516 43.403912) (xy 165.074136 43.336381) (xy 165.279303 43.226717) (xy 165.459134 43.079134) + (xy 165.606717 42.899303) (xy 165.716381 42.694136) (xy 165.783912 42.471516) (xy 165.806714 42.24) (xy 165.783912 42.008484) + (xy 165.716381 41.785864) (xy 165.606717 41.580697) (xy 165.459134 41.400866) (xy 165.279303 41.253283) (xy 165.074136 41.143619) + (xy 164.851516 41.076088) (xy 164.678016 41.059) (xy 164.656591 41.059) (xy 166.640221 39.075371) (xy 166.659528 39.059526) + (xy 166.72276 38.982478) (xy 166.769746 38.894574) (xy 166.798679 38.799192) (xy 166.806001 38.724853) (xy 166.806001 38.724845) + (xy 166.808448 38.699999) (xy 166.806001 38.675153) (xy 166.806001 37.084958) (xy 166.868484 37.103912) (xy 167.041984 37.121) + (xy 167.158016 37.121) (xy 167.331516 37.103912) (xy 167.554136 37.036381) (xy 167.759303 36.926717) (xy 167.894 36.816174) + (xy 167.894001 40.790407) (xy 167.619782 41.064627) (xy 167.619777 41.064631) (xy 167.55786 41.126548) (xy 167.391516 41.076088) + (xy 167.218016 41.059) (xy 167.101984 41.059) (xy 166.928484 41.076088) (xy 166.705864 41.143619) (xy 166.500697 41.253283) + (xy 166.320866 41.400866) (xy 166.173283 41.580697) (xy 166.063619 41.785864) (xy 165.996088 42.008484) (xy 165.973286 42.24) + (xy 165.996088 42.471516) (xy 166.063619 42.694136) (xy 166.173283 42.899303) (xy 166.320866 43.079134) (xy 166.500697 43.226717) + (xy 166.705864 43.336381) (xy 166.928484 43.403912) (xy 167.101984 43.421) (xy 167.218016 43.421) (xy 167.391516 43.403912) + (xy 167.614136 43.336381) (xy 167.819303 43.226717) (xy 167.999134 43.079134) (xy 168.146717 42.899303) (xy 168.256381 42.694136) + (xy 168.323912 42.471516) (xy 168.346714 42.24) (xy 168.513286 42.24) (xy 168.536088 42.471516) (xy 168.603619 42.694136) + (xy 168.713283 42.899303) (xy 168.860866 43.079134) (xy 169.040697 43.226717) (xy 169.245864 43.336381) (xy 169.468484 43.403912) + (xy 169.641984 43.421) (xy 169.758016 43.421) (xy 169.931516 43.403912) (xy 170.154136 43.336381) (xy 170.359303 43.226717) + (xy 170.539134 43.079134) (xy 170.686717 42.899303) (xy 170.796381 42.694136) (xy 170.863912 42.471516) (xy 170.886714 42.24) + (xy 170.863912 42.008484) (xy 170.796381 41.785864) (xy 170.686717 41.580697) (xy 170.539134 41.400866) (xy 170.359303 41.253283) + (xy 170.154136 41.143619) (xy 169.931516 41.076088) (xy 169.758016 41.059) (xy 169.641984 41.059) (xy 169.468484 41.076088) + (xy 169.245864 41.143619) (xy 169.040697 41.253283) (xy 168.860866 41.400866) (xy 168.713283 41.580697) (xy 168.603619 41.785864) + (xy 168.536088 42.008484) (xy 168.513286 42.24) (xy 168.346714 42.24) (xy 168.323912 42.008484) (xy 168.273452 41.84214) + (xy 168.335369 41.780223) (xy 168.335373 41.780218) (xy 168.74022 41.375372) (xy 168.759527 41.359527) (xy 168.822759 41.282479) + (xy 168.869745 41.194575) (xy 168.893404 41.11658) (xy 168.898678 41.099194) (xy 168.902279 41.06263) (xy 168.906 41.024854) + (xy 168.906 41.024847) (xy 168.908447 41.000001) (xy 168.906 40.975155) (xy 168.906 37.172653) (xy 169.156913 37.291246) + (xy 169.290961 37.331904) (xy 169.513 37.209915) (xy 169.513 36.067) (xy 169.493 36.067) (xy 169.493 35.813) + (xy 169.513 35.813) (xy 169.513 35.793) (xy 169.767 35.793) (xy 169.767 35.813) (xy 169.787 35.813) + (xy 169.787 36.067) (xy 169.767 36.067) (xy 169.767 37.209915) (xy 169.989039 37.331904) (xy 170.123087 37.291246) + (xy 170.37742 37.171037) (xy 170.585906 37.016497) (xy 170.974629 37.405221) (xy 170.990474 37.424528) (xy 171.067522 37.48776) + (xy 171.135365 37.524023) (xy 171.155426 37.534746) (xy 171.250807 37.563679) (xy 171.260695 37.564653) (xy 171.325147 37.571001) + (xy 171.325154 37.571001) (xy 171.35 37.573448) (xy 171.374846 37.571001) (xy 173.570153 37.571001) (xy 173.594999 37.573448) + (xy 173.619845 37.571001) (xy 173.619853 37.571001) (xy 173.694192 37.563679) (xy 173.789574 37.534746) (xy 173.877478 37.48776) + (xy 173.954526 37.424528) (xy 173.970375 37.405216) (xy 174.322139 37.053452) (xy 174.488484 37.103912) (xy 174.503973 37.105438) + (xy 173.759781 37.84963) (xy 173.740474 37.865475) (xy 173.677242 37.942523) (xy 173.655885 37.982479) (xy 173.630255 38.030428) + (xy 173.601322 38.12581) (xy 173.591553 38.225002) (xy 173.594001 38.249858) (xy 173.594 48.960802) (xy 173.58237 48.94663) + (xy 173.388987 48.787925) (xy 173.186 48.679427) (xy 173.186 47.185852) (xy 173.188448 47.160998) (xy 173.178678 47.061805) + (xy 173.149745 46.966423) (xy 173.147232 46.961722) (xy 173.102759 46.878519) (xy 173.039527 46.801471) (xy 173.02022 46.785626) + (xy 171.784875 45.550282) (xy 171.784871 45.550277) (xy 170.088367 43.853774) (xy 170.072518 43.834462) (xy 169.99547 43.77123) + (xy 169.907566 43.724244) (xy 169.812184 43.695311) (xy 169.737845 43.687989) (xy 169.737837 43.687989) (xy 169.712991 43.685542) + (xy 169.688145 43.687989) (xy 166.802115 43.687989) (xy 166.701473 43.587347) (xy 166.573556 43.501876) (xy 166.431423 43.443002) + (xy 166.280536 43.412989) (xy 166.126692 43.412989) (xy 165.975805 43.443002) (xy 165.833672 43.501876) (xy 165.705755 43.587347) + (xy 165.596972 43.69613) (xy 165.511501 43.824047) (xy 165.452627 43.96618) (xy 165.422614 44.117067) (xy 165.422614 44.270911) + (xy 165.452627 44.421798) (xy 165.511501 44.563931) (xy 165.596972 44.691848) (xy 165.607067 44.701943) (xy 165.596508 44.696299) + (xy 165.524689 44.674513) (xy 165.45 44.667157) (xy 163.75 44.667157) (xy 163.675311 44.674513) (xy 163.603492 44.696299) + (xy 163.537304 44.731678) (xy 163.479289 44.779289) (xy 163.431678 44.837304) (xy 163.396299 44.903492) (xy 163.374513 44.975311) + (xy 163.367157 45.05) (xy 163.367157 45.319) (xy 160.228536 45.319) (xy 160.199999 45.316189) (xy 160.171462 45.319) + (xy 160.17146 45.319) (xy 160.086104 45.327407) (xy 159.976585 45.360629) (xy 159.875652 45.414579) (xy 159.787183 45.487183) + (xy 159.768987 45.509355) (xy 157.049356 48.228987) (xy 157.027184 48.247183) (xy 156.97687 48.308491) (xy 156.954579 48.335653) + (xy 156.900629 48.436586) (xy 156.867407 48.546105) (xy 156.856189 48.66) (xy 156.859001 48.68855) (xy 156.859001 48.719514) + (xy 156.731012 48.787925) (xy 156.62001 48.879022) (xy 156.62001 48.038864) (xy 156.622458 48.01401) (xy 156.612688 47.914817) + (xy 156.583755 47.819435) (xy 156.57339 47.800044) (xy 156.536769 47.731531) (xy 156.473537 47.654483) (xy 156.45423 47.638638) + (xy 152.203679 43.388088) (xy 152.31786 43.353452) (xy 152.319757 43.355349) (xy 152.360472 43.40496) (xy 152.379784 43.420809) + (xy 154.299194 45.34022) (xy 154.315039 45.359527) (xy 154.392087 45.422759) (xy 154.468674 45.463696) (xy 154.479991 45.469745) + (xy 154.575372 45.498678) (xy 154.58526 45.499652) (xy 154.649712 45.506) (xy 154.649719 45.506) (xy 154.674565 45.508447) + (xy 154.699411 45.506) (xy 154.701499 45.506) (xy 154.802141 45.606642) (xy 154.930058 45.692113) (xy 155.072191 45.750987) + (xy 155.223078 45.781) (xy 155.376922 45.781) (xy 155.527809 45.750987) (xy 155.669942 45.692113) (xy 155.797859 45.606642) + (xy 155.906642 45.497859) (xy 155.992113 45.369942) (xy 156.050987 45.227809) (xy 156.081 45.076922) (xy 156.081 44.923078) + (xy 156.050987 44.772191) (xy 155.992113 44.630058) (xy 155.906642 44.502141) (xy 155.797859 44.393358) (xy 155.669942 44.307887) + (xy 155.527809 44.249013) (xy 155.376922 44.219) (xy 155.223078 44.219) (xy 155.072191 44.249013) (xy 154.930058 44.307887) + (xy 154.802141 44.393358) (xy 154.792828 44.402671) (xy 153.120245 42.730088) (xy 153.079526 42.680472) (xy 153.060219 42.664627) + (xy 153.033452 42.63786) (xy 153.083912 42.471516) (xy 153.106714 42.24) (xy 153.083912 42.008484) (xy 153.016381 41.785864) + (xy 152.906717 41.580697) (xy 152.759134 41.400866) (xy 152.579303 41.253283) (xy 152.426 41.171341) (xy 152.426 36.976588) + (xy 152.519303 36.926717) (xy 152.699134 36.779134) (xy 152.846717 36.599303) (xy 152.956381 36.394136) (xy 153.023912 36.171516) + (xy 153.046714 35.94) (xy 153.023912 35.708484) (xy 152.956381 35.485864) (xy 152.846717 35.280697) (xy 152.699134 35.100866) + (xy 152.519303 34.953283) (xy 152.314136 34.843619) (xy 152.091516 34.776088) (xy 151.918016 34.759) (xy 151.801984 34.759) + (xy 151.628484 34.776088) (xy 151.405864 34.843619) (xy 151.200697 34.953283) (xy 151.020866 35.100866) (xy 150.873283 35.280697) + (xy 150.763619 35.485864) (xy 150.696088 35.708484) (xy 150.673286 35.94) (xy 150.696088 36.171516) (xy 150.763619 36.394136) + (xy 150.873283 36.599303) (xy 151.020866 36.779134) (xy 151.200697 36.926717) (xy 151.405864 37.036381) (xy 151.414001 37.038849) + (xy 151.414 40.030003) (xy 151.39786 40.013863) (xy 151.269943 39.928392) (xy 151.12781 39.869518) (xy 150.976923 39.839505) + (xy 150.849091 39.839505) (xy 150.94022 39.748376) (xy 150.959527 39.732531) (xy 151.022759 39.655483) (xy 151.069745 39.567579) + (xy 151.098678 39.472197) (xy 151.104763 39.410421) (xy 151.108448 39.373004) (xy 151.106 39.34815) (xy 151.106 39.198501) + (xy 151.206642 39.097859) (xy 151.292113 38.969942) (xy 151.350987 38.827809) (xy 151.381 38.676922) (xy 151.381 38.523078) + (xy 151.350987 38.372191) (xy 151.292113 38.230058) (xy 151.206642 38.102141) (xy 151.097859 37.993358) (xy 150.969942 37.907887) + (xy 150.827809 37.849013) (xy 150.676922 37.819) (xy 150.523078 37.819) (xy 150.372191 37.849013) (xy 150.230058 37.907887) + (xy 150.102141 37.993358) (xy 149.993358 38.102141) (xy 149.907887 38.230058) (xy 149.849013 38.372191) (xy 149.826 38.487886) + (xy 149.826 37.008659) (xy 149.979303 36.926717) (xy 150.159134 36.779134) (xy 150.306717 36.599303) (xy 150.416381 36.394136) + (xy 150.483912 36.171516) (xy 150.506714 35.94) (xy 150.483912 35.708484) (xy 150.416381 35.485864) (xy 150.306717 35.280697) + (xy 150.159134 35.100866) (xy 149.979303 34.953283) (xy 149.774136 34.843619) (xy 149.551516 34.776088) (xy 149.378016 34.759) + (xy 149.261984 34.759) (xy 149.088484 34.776088) (xy 148.865864 34.843619) (xy 148.660697 34.953283) (xy 148.480866 35.100866) + (xy 148.333283 35.280697) (xy 148.223619 35.485864) (xy 148.156088 35.708484) (xy 148.133286 35.94) (xy 148.156088 36.171516) + (xy 148.223619 36.394136) (xy 148.333283 36.599303) (xy 148.480866 36.779134) (xy 148.660697 36.926717) (xy 148.814 37.008659) + (xy 148.814001 38.9815) (xy 148.713358 39.082143) (xy 148.627887 39.21006) (xy 148.617565 39.234978) (xy 148.467373 39.084786) + (xy 148.451524 39.065474) (xy 148.374476 39.002242) (xy 148.286572 38.955256) (xy 148.19119 38.926323) (xy 148.116851 38.919001) + (xy 148.116843 38.919001) (xy 148.091997 38.916554) (xy 148.067151 38.919001) (xy 147.509332 38.919001) (xy 147.542113 38.869941) + (xy 147.600987 38.727808) (xy 147.631 38.576921) (xy 147.631 38.423077) (xy 147.600987 38.27219) (xy 147.542113 38.130057) + (xy 147.456642 38.00214) (xy 147.347859 37.893357) (xy 147.286 37.852024) (xy 147.286 37.008659) (xy 147.439303 36.926717) + (xy 147.619134 36.779134) (xy 147.766717 36.599303) (xy 147.876381 36.394136) (xy 147.943912 36.171516) (xy 147.966714 35.94) + (xy 147.943912 35.708484) (xy 147.876381 35.485864) (xy 147.766717 35.280697) (xy 147.619134 35.100866) (xy 147.439303 34.953283) + (xy 147.234136 34.843619) (xy 147.011516 34.776088) (xy 146.838016 34.759) (xy 146.721984 34.759) (xy 146.548484 34.776088) + (xy 146.325864 34.843619) (xy 146.120697 34.953283) (xy 145.940866 35.100866) (xy 145.793283 35.280697) (xy 145.683619 35.485864) + (xy 145.616088 35.708484) (xy 145.593286 35.94) (xy 145.616088 36.171516) (xy 145.683619 36.394136) (xy 145.793283 36.599303) + (xy 145.940866 36.779134) (xy 146.120697 36.926717) (xy 146.274 37.008659) (xy 146.274001 37.971497) (xy 146.243358 38.00214) + (xy 146.157887 38.130057) (xy 146.099013 38.27219) (xy 146.069 38.423077) (xy 146.069 38.576921) (xy 146.099013 38.727808) + (xy 146.157887 38.869941) (xy 146.190668 38.919001) (xy 144.909332 38.919001) (xy 144.942113 38.869941) (xy 145.000987 38.727808) + (xy 145.031 38.576921) (xy 145.031 38.423077) (xy 145.000987 38.27219) (xy 144.942113 38.130057) (xy 144.856642 38.00214) + (xy 144.747859 37.893357) (xy 144.746 37.892115) (xy 144.746 37.008659) (xy 144.899303 36.926717) (xy 145.079134 36.779134) + (xy 145.226717 36.599303) (xy 145.336381 36.394136) (xy 145.403912 36.171516) (xy 145.426714 35.94) (xy 145.403912 35.708484) + (xy 145.336381 35.485864) (xy 145.226717 35.280697) (xy 145.079134 35.100866) (xy 144.899303 34.953283) (xy 144.694136 34.843619) + (xy 144.471516 34.776088) (xy 144.298016 34.759) (xy 144.181984 34.759) (xy 144.008484 34.776088) (xy 143.785864 34.843619) + (xy 143.580697 34.953283) (xy 143.400866 35.100866) (xy 143.253283 35.280697) (xy 143.143619 35.485864) (xy 143.076088 35.708484) + (xy 143.053286 35.94) (xy 143.076088 36.171516) (xy 143.143619 36.394136) (xy 143.253283 36.599303) (xy 143.400866 36.779134) + (xy 143.580697 36.926717) (xy 143.734 37.008659) (xy 143.734001 37.911497) (xy 143.643358 38.00214) (xy 143.557887 38.130057) + (xy 143.499013 38.27219) (xy 143.469 38.423077) (xy 143.469 38.576921) (xy 143.499013 38.727808) (xy 143.557887 38.869941) + (xy 143.590668 38.919001) (xy 142.949844 38.919001) (xy 142.924998 38.916554) (xy 142.900152 38.919001) (xy 142.900145 38.919001) + (xy 142.825806 38.926323) (xy 142.730424 38.955256) (xy 142.64252 39.002242) (xy 142.591638 39.044) (xy 142.448078 39.044) + (xy 142.297191 39.074013) (xy 142.155058 39.132887) (xy 142.027141 39.218358) (xy 141.926499 39.319) (xy 139.175306 39.319) + (xy 138.964245 39.107939) (xy 139.032339 39.136145) (xy 139.183226 39.166158) (xy 139.33707 39.166158) (xy 139.487957 39.136145) + (xy 139.63009 39.077271) (xy 139.758007 38.9918) (xy 139.86679 38.883017) (xy 139.952261 38.7551) (xy 140.011135 38.612967) + (xy 140.041148 38.46208) (xy 140.041148 38.308236) (xy 140.011135 38.157349) (xy 139.952261 38.015216) (xy 139.86679 37.887299) + (xy 139.758007 37.778516) (xy 139.666 37.717039) (xy 139.666 37.008659) (xy 139.819303 36.926717) (xy 139.999134 36.779134) + (xy 140.146717 36.599303) (xy 140.256381 36.394136) (xy 140.323912 36.171516) (xy 140.346714 35.94) (xy 140.513286 35.94) + (xy 140.536088 36.171516) (xy 140.603619 36.394136) (xy 140.713283 36.599303) (xy 140.860866 36.779134) (xy 141.040697 36.926717) + (xy 141.194 37.008659) (xy 141.194001 37.776508) (xy 141.193358 37.777151) (xy 141.107887 37.905068) (xy 141.049013 38.047201) + (xy 141.019 38.198088) (xy 141.019 38.351932) (xy 141.049013 38.502819) (xy 141.107887 38.644952) (xy 141.193358 38.772869) + (xy 141.302141 38.881652) (xy 141.430058 38.967123) (xy 141.572191 39.025997) (xy 141.723078 39.05601) (xy 141.876922 39.05601) + (xy 142.027809 39.025997) (xy 142.169942 38.967123) (xy 142.297859 38.881652) (xy 142.406642 38.772869) (xy 142.492113 38.644952) + (xy 142.550987 38.502819) (xy 142.581 38.351932) (xy 142.581 38.198088) (xy 142.550987 38.047201) (xy 142.492113 37.905068) + (xy 142.406642 37.777151) (xy 142.297859 37.668368) (xy 142.206 37.60699) (xy 142.206 37.008659) (xy 142.359303 36.926717) + (xy 142.539134 36.779134) (xy 142.686717 36.599303) (xy 142.796381 36.394136) (xy 142.863912 36.171516) (xy 142.886714 35.94) + (xy 142.863912 35.708484) (xy 142.796381 35.485864) (xy 142.686717 35.280697) (xy 142.539134 35.100866) (xy 142.359303 34.953283) + (xy 142.154136 34.843619) (xy 141.931516 34.776088) (xy 141.758016 34.759) (xy 141.641984 34.759) (xy 141.468484 34.776088) + (xy 141.245864 34.843619) (xy 141.040697 34.953283) (xy 140.860866 35.100866) (xy 140.713283 35.280697) (xy 140.603619 35.485864) + (xy 140.536088 35.708484) (xy 140.513286 35.94) (xy 140.346714 35.94) (xy 140.323912 35.708484) (xy 140.256381 35.485864) + (xy 140.146717 35.280697) (xy 139.999134 35.100866) (xy 139.819303 34.953283) (xy 139.614136 34.843619) (xy 139.391516 34.776088) + (xy 139.218016 34.759) (xy 139.101984 34.759) (xy 138.928484 34.776088) (xy 138.705864 34.843619) (xy 138.500697 34.953283) + (xy 138.320866 35.100866) (xy 138.173283 35.280697) (xy 138.063619 35.485864) (xy 137.996088 35.708484) (xy 137.973286 35.94) + (xy 137.996088 36.171516) (xy 138.063619 36.394136) (xy 138.173283 36.599303) (xy 138.320866 36.779134) (xy 138.500697 36.926717) + (xy 138.654 37.008659) (xy 138.654001 37.886804) (xy 138.653506 37.887299) (xy 138.568035 38.015216) (xy 138.509161 38.157349) + (xy 138.479148 38.308236) (xy 138.479148 38.46208) (xy 138.509161 38.612967) (xy 138.537367 38.681061) (xy 137.326001 37.469696) + (xy 137.326001 37.327365) (xy 137.295988 37.176478) (xy 137.237114 37.034345) (xy 137.195227 36.971656) (xy 137.279303 36.926717) + (xy 137.459134 36.779134) (xy 137.606717 36.599303) (xy 137.716381 36.394136) (xy 137.783912 36.171516) (xy 137.806714 35.94) + (xy 137.783912 35.708484) (xy 137.716381 35.485864) (xy 137.606717 35.280697) (xy 137.459134 35.100866) (xy 137.279303 34.953283) + (xy 137.074136 34.843619) (xy 136.851516 34.776088) (xy 136.831535 34.77412) (xy 139.099514 32.506142) (xy 139.115572 32.544908) + (xy 139.201043 32.672825) (xy 139.309826 32.781608) (xy 139.437743 32.867079) (xy 139.579876 32.925953) (xy 139.730763 32.955966) + (xy 139.884607 32.955966) (xy 140.035494 32.925953) (xy 140.177627 32.867079) (xy 140.305544 32.781608) (xy 140.414327 32.672825) + (xy 140.458978 32.606) (xy 150.202152 32.606) (xy 150.226998 32.608447) (xy 150.251844 32.606) (xy 150.251852 32.606) + (xy 150.326191 32.598678) (xy 150.421573 32.569745) (xy 150.509477 32.522759) (xy 150.586525 32.459527) (xy 150.602374 32.440215) + (xy 151.397566 31.645024) + ) + ) + (filled_polygon + (pts + (xy 111.365409 40.569) (xy 111.223078 40.569) (xy 111.072191 40.599013) (xy 110.930058 40.657887) (xy 110.802141 40.743358) + (xy 110.693358 40.852141) (xy 110.607887 40.980058) (xy 110.549013 41.122191) (xy 110.519 41.273078) (xy 110.519 41.426922) + (xy 110.549013 41.577809) (xy 110.607887 41.719942) (xy 110.693358 41.847859) (xy 110.802141 41.956642) (xy 110.930058 42.042113) + (xy 111.072191 42.100987) (xy 111.223078 42.131) (xy 111.376922 42.131) (xy 111.527809 42.100987) (xy 111.669942 42.042113) + (xy 111.797859 41.956642) (xy 111.906642 41.847859) (xy 111.992113 41.719942) (xy 112.050987 41.577809) (xy 112.081 41.426922) + (xy 112.081 41.325) (xy 115.236928 41.325) (xy 115.24 41.51425) (xy 115.39875 41.673) (xy 116.273 41.673) + (xy 116.273 40.84875) (xy 116.11425 40.69) (xy 115.875 40.686928) (xy 115.750518 40.699188) (xy 115.63082 40.735498) + (xy 115.520506 40.794463) (xy 115.423815 40.873815) (xy 115.344463 40.970506) (xy 115.285498 41.08082) (xy 115.249188 41.200518) + (xy 115.236928 41.325) (xy 112.081 41.325) (xy 112.081 41.284591) (xy 113.390591 39.975) (xy 115.261928 39.975) + (xy 115.274188 40.099482) (xy 115.310498 40.21918) (xy 115.369463 40.329494) (xy 115.448815 40.426185) (xy 115.545506 40.505537) + (xy 115.65582 40.564502) (xy 115.775518 40.600812) (xy 115.9 40.613072) (xy 116.13925 40.61) (xy 116.298 40.45125) + (xy 116.298 39.627) (xy 115.42375 39.627) (xy 115.265 39.78575) (xy 115.261928 39.975) (xy 113.390591 39.975) + (xy 114.80957 38.556022) (xy 115.470496 38.556022) (xy 115.448815 38.573815) (xy 115.369463 38.670506) (xy 115.310498 38.78082) + (xy 115.274188 38.900518) (xy 115.261928 39.025) (xy 115.265 39.21425) (xy 115.42375 39.373) (xy 116.298 39.373) + (xy 116.298 39.353) (xy 116.552 39.353) (xy 116.552 39.373) (xy 116.572 39.373) (xy 116.572 39.627) + (xy 116.552 39.627) (xy 116.552 40.45125) (xy 116.71075 40.61) (xy 116.95 40.613072) (xy 117.074482 40.600812) + (xy 117.19418 40.564502) (xy 117.304494 40.505537) (xy 117.401185 40.426185) (xy 117.406918 40.419199) (xy 117.396199 40.473088) + (xy 117.396199 40.626932) (xy 117.426212 40.777819) (xy 117.485086 40.919952) (xy 117.556115 41.026254) (xy 117.517856 41.046704) + (xy 117.502849 41.05902) (xy 117.455537 40.970506) (xy 117.376185 40.873815) (xy 117.279494 40.794463) (xy 117.16918 40.735498) + (xy 117.049482 40.699188) (xy 116.925 40.686928) (xy 116.68575 40.69) (xy 116.527 40.84875) (xy 116.527 41.673) + (xy 116.547 41.673) (xy 116.547 41.927) (xy 116.527 41.927) (xy 116.527 41.947) (xy 116.273 41.947) + (xy 116.273 41.927) (xy 115.39875 41.927) (xy 115.24 42.08575) (xy 115.236928 42.275) (xy 115.249188 42.399482) + (xy 115.285498 42.51918) (xy 115.344463 42.629494) (xy 115.414891 42.715311) (xy 112.032229 42.715311) (xy 112.003689 42.7125) + (xy 111.975149 42.715311) (xy 111.889793 42.723718) (xy 111.780274 42.75694) (xy 111.679341 42.81089) (xy 111.590872 42.883494) + (xy 111.572679 42.905663) (xy 110.461185 44.017157) (xy 108.849999 44.017157) (xy 108.726538 44.029317) (xy 108.607821 44.065329) + (xy 108.498411 44.12381) (xy 108.402512 44.202512) (xy 108.32381 44.298411) (xy 108.265329 44.407821) (xy 108.229317 44.526538) + (xy 108.217157 44.649999) (xy 108.217157 46.261186) (xy 107.906022 46.572321) (xy 107.906022 45.761677) (xy 107.908469 45.736831) + (xy 107.906022 45.711985) (xy 107.906022 45.711978) (xy 107.8987 45.637639) (xy 107.895747 45.627902) (xy 107.887527 45.600807) + (xy 107.869767 45.542257) (xy 107.822781 45.454353) (xy 107.759549 45.377305) (xy 107.740242 45.36146) (xy 105.609781 43.231) + (xy 107.460472 43.231) (xy 107.641318 43.213188) (xy 107.873363 43.142798) (xy 108.087216 43.028491) (xy 108.27466 42.87466) + (xy 108.428491 42.687216) (xy 108.542798 42.473363) (xy 108.613188 42.241318) (xy 108.636956 42) (xy 108.613188 41.758682) + (xy 108.542798 41.526637) (xy 108.428491 41.312784) (xy 108.27466 41.12534) (xy 108.087216 40.971509) (xy 107.873363 40.857202) + (xy 107.641318 40.786812) (xy 107.460472 40.769) (xy 107.006 40.769) (xy 107.006 40.731) (xy 107.460472 40.731) + (xy 107.641318 40.713188) (xy 107.873363 40.642798) (xy 108.087216 40.528491) (xy 108.27466 40.37466) (xy 108.428491 40.187216) + (xy 108.542798 39.973363) (xy 108.613188 39.741318) (xy 108.636956 39.5) (xy 108.613188 39.258682) (xy 108.542798 39.026637) + (xy 108.428491 38.812784) (xy 108.27466 38.62534) (xy 108.104579 38.485759) (xy 108.147841 38.421013) (xy 108.206715 38.27888) + (xy 108.236728 38.127993) (xy 108.236728 38.106011) (xy 113.828397 38.106011) + ) + ) + (filled_polygon + (pts + (xy 133.002141 38.724391) (xy 132.893358 38.833174) (xy 132.807887 38.961091) (xy 132.749013 39.103224) (xy 132.719 39.254111) + (xy 132.719 39.407955) (xy 132.749013 39.558842) (xy 132.807887 39.700975) (xy 132.893358 39.828892) (xy 132.919001 39.854535) + (xy 132.919 40.259472) (xy 130.58647 42.592003) (xy 130.564298 42.610199) (xy 130.519629 42.664629) (xy 130.491693 42.698669) + (xy 130.437743 42.799602) (xy 130.418056 42.864502) (xy 130.404521 42.90912) (xy 130.398625 42.968986) (xy 130.393303 43.023016) + (xy 130.396114 43.051556) (xy 130.396114 43.797614) (xy 130.393303 43.826154) (xy 130.401965 43.914102) (xy 130.404521 43.940049) + (xy 130.437743 44.049568) (xy 130.491693 44.150501) (xy 130.564297 44.238971) (xy 130.586474 44.257171) (xy 130.744928 44.415625) + (xy 130.744928 44.45189) (xy 130.773191 44.593978) (xy 129.355924 44.593978) (xy 129.49385 44.456053) (xy 129.63618 44.456053) + (xy 129.787067 44.42604) (xy 129.9292 44.367166) (xy 130.057117 44.281695) (xy 130.1659 44.172912) (xy 130.251371 44.044995) + (xy 130.310245 43.902862) (xy 130.340258 43.751975) (xy 130.340258 43.598131) (xy 130.310245 43.447244) (xy 130.251371 43.305111) + (xy 130.1659 43.177194) (xy 130.057117 43.068411) (xy 129.9292 42.98294) (xy 129.787067 42.924066) (xy 129.63618 42.894053) + (xy 129.506 42.894053) (xy 129.506 41.113928) (xy 129.537817 41.087817) (xy 129.556017 41.06564) (xy 130.140636 40.481022) + (xy 130.162807 40.462827) (xy 130.235411 40.374358) (xy 130.289361 40.273425) (xy 130.322583 40.163906) (xy 130.327815 40.110789) + (xy 130.38925 40.11) (xy 130.548 39.95125) (xy 130.548 39.127) (xy 130.802 39.127) (xy 130.802 39.95125) + (xy 130.96075 40.11) (xy 131.2 40.113072) (xy 131.324482 40.100812) (xy 131.44418 40.064502) (xy 131.554494 40.005537) + (xy 131.651185 39.926185) (xy 131.730537 39.829494) (xy 131.789502 39.71918) (xy 131.825812 39.599482) (xy 131.838072 39.475) + (xy 131.835 39.28575) (xy 131.67625 39.127) (xy 130.802 39.127) (xy 130.548 39.127) (xy 130.528 39.127) + (xy 130.528 38.873) (xy 130.548 38.873) (xy 130.548 38.853) (xy 130.802 38.853) (xy 130.802 38.873) + (xy 131.67625 38.873) (xy 131.835 38.71425) (xy 131.835296 38.696033) (xy 133.044582 38.696033) + ) + ) + (filled_polygon + (pts + (xy 127.277151 39.365059) (xy 127.405068 39.45053) (xy 127.547201 39.509404) (xy 127.698088 39.539417) (xy 127.851932 39.539417) + (xy 128.002819 39.509404) (xy 128.068321 39.482272) (xy 128.121704 39.582144) (xy 128.198851 39.676149) (xy 128.292856 39.753296) + (xy 128.344 39.780633) (xy 128.344001 40.64645) (xy 128.341189 40.675) (xy 128.344 40.70354) (xy 128.344001 42.746451) + (xy 128.344 42.746461) (xy 128.344 42.934337) (xy 128.309356 42.968981) (xy 128.30935 42.968986) (xy 128.259342 43.018994) + (xy 128.223078 43.018994) (xy 128.072191 43.049007) (xy 128.016563 43.072049) (xy 127.534106 42.589593) (xy 127.515906 42.567416) + (xy 127.427437 42.494812) (xy 127.326504 42.440862) (xy 127.216985 42.40764) (xy 127.131629 42.399233) (xy 127.103089 42.396422) + (xy 127.074549 42.399233) (xy 124.825837 42.399233) (xy 124.838072 42.275) (xy 124.835 42.08575) (xy 124.67625 41.927) + (xy 123.802 41.927) (xy 123.802 41.947) (xy 123.548 41.947) (xy 123.548 41.927) (xy 123.528 41.927) + (xy 123.528 41.673) (xy 123.548 41.673) (xy 123.548 40.84875) (xy 123.802 40.84875) (xy 123.802 41.673) + (xy 124.67625 41.673) (xy 124.835 41.51425) (xy 124.838072 41.325) (xy 124.825812 41.200518) (xy 124.789502 41.08082) + (xy 124.730537 40.970506) (xy 124.651185 40.873815) (xy 124.554494 40.794463) (xy 124.44418 40.735498) (xy 124.324482 40.699188) + (xy 124.2 40.686928) (xy 123.96075 40.69) (xy 123.802 40.84875) (xy 123.548 40.84875) (xy 123.38925 40.69) + (xy 123.15 40.686928) (xy 123.025518 40.699188) (xy 122.90582 40.735498) (xy 122.795506 40.794463) (xy 122.698815 40.873815) + (xy 122.619463 40.970506) (xy 122.572151 41.05902) (xy 122.557144 41.046704) (xy 122.531 41.03273) (xy 122.531 40.280633) + (xy 122.582144 40.253296) (xy 122.597151 40.24098) (xy 122.644463 40.329494) (xy 122.723815 40.426185) (xy 122.820506 40.505537) + (xy 122.93082 40.564502) (xy 123.050518 40.600812) (xy 123.175 40.613072) (xy 123.41425 40.61) (xy 123.573 40.45125) + (xy 123.573 39.627) (xy 123.553 39.627) (xy 123.553 39.373) (xy 123.573 39.373) (xy 123.573 39.353) + (xy 123.827 39.353) (xy 123.827 39.373) (xy 123.847 39.373) (xy 123.847 39.627) (xy 123.827 39.627) + (xy 123.827 40.45125) (xy 123.98575 40.61) (xy 124.225 40.613072) (xy 124.349482 40.600812) (xy 124.46918 40.564502) + (xy 124.579494 40.505537) (xy 124.676185 40.426185) (xy 124.755537 40.329494) (xy 124.814502 40.21918) (xy 124.850812 40.099482) + (xy 124.859667 40.009573) (xy 125.569838 40.719745) (xy 125.569838 40.825661) (xy 125.469196 40.926303) (xy 125.383725 41.05422) + (xy 125.324851 41.196353) (xy 125.294838 41.34724) (xy 125.294838 41.501084) (xy 125.324851 41.651971) (xy 125.383725 41.794104) + (xy 125.469196 41.922021) (xy 125.577979 42.030804) (xy 125.705896 42.116275) (xy 125.848029 42.175149) (xy 125.998916 42.205162) + (xy 126.15276 42.205162) (xy 126.303647 42.175149) (xy 126.44578 42.116275) (xy 126.573697 42.030804) (xy 126.68248 41.922021) + (xy 126.767951 41.794104) (xy 126.826825 41.651971) (xy 126.856838 41.501084) (xy 126.856838 41.34724) (xy 126.826825 41.196353) + (xy 126.767951 41.05422) (xy 126.68248 40.926303) (xy 126.581838 40.825661) (xy 126.581838 40.672785) (xy 126.623078 40.680988) + (xy 126.776922 40.680988) (xy 126.927809 40.650975) (xy 127.069942 40.592101) (xy 127.197859 40.50663) (xy 127.306642 40.397847) + (xy 127.392113 40.26993) (xy 127.450987 40.127797) (xy 127.481 39.97691) (xy 127.481 39.823066) (xy 127.450987 39.672179) + (xy 127.392113 39.530046) (xy 127.306642 39.402129) (xy 127.24393 39.339417) (xy 127.251509 39.339417) + ) + ) + (filled_polygon + (pts + (xy 121.121704 42.382144) (xy 121.198851 42.476149) (xy 121.292856 42.553296) (xy 121.400105 42.610622) (xy 121.516477 42.645923) + (xy 121.6375 42.657843) (xy 122.2125 42.657843) (xy 122.333523 42.645923) (xy 122.449895 42.610622) (xy 122.557144 42.553296) + (xy 122.572151 42.54098) (xy 122.619463 42.629494) (xy 122.689891 42.715311) (xy 117.385109 42.715311) (xy 117.455537 42.629494) + (xy 117.502849 42.54098) (xy 117.517856 42.553296) (xy 117.625105 42.610622) (xy 117.741477 42.645923) (xy 117.8625 42.657843) + (xy 118.4375 42.657843) (xy 118.558523 42.645923) (xy 118.674895 42.610622) (xy 118.782144 42.553296) (xy 118.876149 42.476149) + (xy 118.953296 42.382144) (xy 118.953907 42.381) (xy 121.121093 42.381) + ) + ) + (filled_polygon + (pts + (xy 104.386812 31.758682) (xy 104.363044 32) (xy 104.386812 32.241318) (xy 104.457202 32.473363) (xy 104.471141 32.49944) + (xy 104.330039 32.557887) (xy 104.202122 32.643358) (xy 104.093339 32.752141) (xy 104.007868 32.880058) (xy 103.948994 33.022191) + (xy 103.918981 33.173078) (xy 103.918981 33.315408) (xy 102.384765 34.849625) (xy 102.365453 34.865474) (xy 102.349946 34.884369) + (xy 102.219426 34.794709) (xy 101.950953 34.679437) (xy 101.85689 34.658524) (xy 101.627 34.779845) (xy 101.627 36.873) + (xy 101.647 36.873) (xy 101.647 37.127) (xy 101.627 37.127) (xy 101.627 39.220155) (xy 101.85689 39.341476) + (xy 101.950953 39.320563) (xy 102.218981 39.205482) (xy 102.218981 41.331637) (xy 102.125351 41.238007) (xy 101.964678 41.130649) + (xy 101.786147 41.056699) (xy 101.59662 41.019) (xy 101.40338 41.019) (xy 101.213853 41.056699) (xy 101.035322 41.130649) + (xy 100.874649 41.238007) (xy 100.738007 41.374649) (xy 100.630989 41.534813) (xy 100.630989 39.102534) (xy 100.780574 39.205291) + (xy 101.049047 39.320563) (xy 101.14311 39.341476) (xy 101.373 39.220155) (xy 101.373 37.127) (xy 101.353 37.127) + (xy 101.353 36.873) (xy 101.373 36.873) (xy 101.373 34.779845) (xy 101.283159 34.732433) (xy 104.443376 31.572216) + ) + ) + (filled_polygon + (pts + (xy 122.723815 38.573815) (xy 122.644463 38.670506) (xy 122.597151 38.75902) (xy 122.582144 38.746704) (xy 122.474895 38.689378) + (xy 122.358523 38.654077) (xy 122.2375 38.642157) (xy 121.6625 38.642157) (xy 121.541477 38.654077) (xy 121.425105 38.689378) + (xy 121.317856 38.746704) (xy 121.223851 38.823851) (xy 121.146704 38.917856) (xy 121.089378 39.025105) (xy 121.054077 39.141477) + (xy 121.042157 39.2625) (xy 121.042157 39.469) (xy 120.523501 39.469) (xy 120.497859 39.443358) (xy 120.369942 39.357887) + (xy 120.227809 39.299013) (xy 120.076922 39.269) (xy 119.923078 39.269) (xy 119.772191 39.299013) (xy 119.630058 39.357887) + (xy 119.502141 39.443358) (xy 119.393358 39.552141) (xy 119.307887 39.680058) (xy 119.249013 39.822191) (xy 119.219 39.973078) + (xy 119.219 40.126922) (xy 119.249013 40.277809) (xy 119.307887 40.419942) (xy 119.393358 40.547859) (xy 119.502141 40.656642) + (xy 119.630058 40.742113) (xy 119.772191 40.800987) (xy 119.923078 40.831) (xy 120.076922 40.831) (xy 120.227809 40.800987) + (xy 120.369942 40.742113) (xy 120.497859 40.656642) (xy 120.523501 40.631) (xy 121.369 40.631) (xy 121.369001 41.006004) + (xy 121.292856 41.046704) (xy 121.198851 41.123851) (xy 121.121704 41.217856) (xy 121.121093 41.219) (xy 118.953907 41.219) + (xy 118.953296 41.217856) (xy 118.876149 41.123851) (xy 118.783716 41.047994) (xy 118.783841 41.047869) (xy 118.869312 40.919952) + (xy 118.928186 40.777819) (xy 118.958199 40.626932) (xy 118.958199 40.473088) (xy 118.928186 40.322201) (xy 118.876177 40.196642) + (xy 118.901149 40.176149) (xy 118.978296 40.082144) (xy 119.035622 39.974895) (xy 119.070923 39.858523) (xy 119.082843 39.7375) + (xy 119.082843 39.2625) (xy 119.070923 39.141477) (xy 119.035622 39.025105) (xy 118.978296 38.917856) (xy 118.901149 38.823851) + (xy 118.830968 38.766256) (xy 118.830968 38.734202) (xy 118.800955 38.583315) (xy 118.78965 38.556022) (xy 122.745496 38.556022) + ) + ) + (filled_polygon + (pts + (xy 164.799626 34.64022) (xy 164.815471 34.659527) (xy 164.892519 34.722759) (xy 164.980423 34.769745) (xy 165.035072 34.786322) + (xy 165.075804 34.798678) (xy 165.085692 34.799652) (xy 165.150144 34.806) (xy 165.150151 34.806) (xy 165.174997 34.808447) + (xy 165.199843 34.806) (xy 166.769877 34.806) (xy 166.645864 34.843619) (xy 166.440697 34.953283) (xy 166.260866 35.100866) + (xy 166.113283 35.280697) (xy 166.003619 35.485864) (xy 165.936088 35.708484) (xy 165.913286 35.94) (xy 165.936088 36.171516) + (xy 165.986548 36.337861) (xy 165.959786 36.364623) (xy 165.940474 36.380472) (xy 165.877242 36.45752) (xy 165.830256 36.545425) + (xy 165.801323 36.640807) (xy 165.794001 36.715146) (xy 165.794001 36.715153) (xy 165.791554 36.739999) (xy 165.794001 36.764845) + (xy 165.794002 38.490406) (xy 163.350408 40.934001) (xy 162.904844 40.934001) (xy 162.879998 40.931554) (xy 162.855152 40.934001) + (xy 162.855145 40.934001) (xy 162.790693 40.940349) (xy 162.780805 40.941323) (xy 162.758606 40.948057) (xy 162.685424 40.970256) + (xy 162.59752 41.017242) (xy 162.520472 41.080474) (xy 162.504628 41.09978) (xy 162.47786 41.126548) (xy 162.311516 41.076088) + (xy 162.138016 41.059) (xy 162.021984 41.059) (xy 161.848484 41.076088) (xy 161.806 41.088975) (xy 161.806 37.124854) + (xy 161.807875 37.105822) (xy 161.961984 37.121) (xy 162.078016 37.121) (xy 162.251516 37.103912) (xy 162.474136 37.036381) + (xy 162.679303 36.926717) (xy 162.859134 36.779134) (xy 163.006717 36.599303) (xy 163.116381 36.394136) (xy 163.183912 36.171516) + (xy 163.206714 35.94) (xy 163.373286 35.94) (xy 163.396088 36.171516) (xy 163.463619 36.394136) (xy 163.573283 36.599303) + (xy 163.720866 36.779134) (xy 163.900697 36.926717) (xy 164.105864 37.036381) (xy 164.328484 37.103912) (xy 164.501984 37.121) + (xy 164.618016 37.121) (xy 164.791516 37.103912) (xy 165.014136 37.036381) (xy 165.219303 36.926717) (xy 165.399134 36.779134) + (xy 165.546717 36.599303) (xy 165.656381 36.394136) (xy 165.723912 36.171516) (xy 165.746714 35.94) (xy 165.723912 35.708484) + (xy 165.656381 35.485864) (xy 165.546717 35.280697) (xy 165.399134 35.100866) (xy 165.219303 34.953283) (xy 165.014136 34.843619) + (xy 164.791516 34.776088) (xy 164.618016 34.759) (xy 164.501984 34.759) (xy 164.328484 34.776088) (xy 164.105864 34.843619) + (xy 163.900697 34.953283) (xy 163.720866 35.100866) (xy 163.573283 35.280697) (xy 163.463619 35.485864) (xy 163.396088 35.708484) + (xy 163.373286 35.94) (xy 163.206714 35.94) (xy 163.183912 35.708484) (xy 163.116381 35.485864) (xy 163.006717 35.280697) + (xy 162.859134 35.100866) (xy 162.679303 34.953283) (xy 162.526 34.871341) (xy 162.526 33.671841) (xy 162.528447 33.646995) + (xy 162.526 33.622149) (xy 162.526 33.622142) (xy 162.518678 33.547803) (xy 162.515091 33.535976) (xy 162.489745 33.452421) + (xy 162.47229 33.419765) (xy 162.442759 33.364517) (xy 162.379527 33.287469) (xy 162.36022 33.271624) (xy 158.876396 29.787801) + (xy 158.997859 29.706642) (xy 159.106642 29.597859) (xy 159.192113 29.469942) (xy 159.250987 29.327809) (xy 159.281 29.176922) + (xy 159.281 29.121593) + ) + ) + (filled_polygon + (pts + (xy 138.859781 16.624628) (xy 138.840474 16.640473) (xy 138.777242 16.717521) (xy 138.770345 16.730425) (xy 138.730255 16.805426) + (xy 138.71153 16.867157) (xy 138.35 16.867157) (xy 138.275311 16.874513) (xy 138.203492 16.896299) (xy 138.137304 16.931678) + (xy 138.079289 16.979289) (xy 138.031678 17.037304) (xy 137.996299 17.103492) (xy 137.974513 17.175311) (xy 137.967157 17.25) + (xy 137.967157 18.95) (xy 137.974513 19.024689) (xy 137.987954 19.068999) (xy 137.769855 19.068999) (xy 137.745001 19.066551) + (xy 137.720147 19.068999) (xy 137.645808 19.076321) (xy 137.550426 19.105254) (xy 137.462522 19.15224) (xy 137.385474 19.215472) + (xy 137.369629 19.234779) (xy 137.01786 19.586548) (xy 136.851516 19.536088) (xy 136.678016 19.519) (xy 136.561984 19.519) + (xy 136.388484 19.536088) (xy 136.165864 19.603619) (xy 135.960697 19.713283) (xy 135.780866 19.860866) (xy 135.633283 20.040697) + (xy 135.523619 20.245864) (xy 135.456088 20.468484) (xy 135.433286 20.7) (xy 135.456088 20.931516) (xy 135.523619 21.154136) + (xy 135.633283 21.359303) (xy 135.780866 21.539134) (xy 135.960697 21.686717) (xy 136.165864 21.796381) (xy 136.388484 21.863912) + (xy 136.561984 21.881) (xy 136.678016 21.881) (xy 136.851516 21.863912) (xy 137.074136 21.796381) (xy 137.279303 21.686717) + (xy 137.459134 21.539134) (xy 137.606717 21.359303) (xy 137.716381 21.154136) (xy 137.783912 20.931516) (xy 137.806714 20.7) + (xy 137.783912 20.468484) (xy 137.733452 20.30214) (xy 137.954593 20.080999) (xy 138.151741 20.080999) (xy 138.063619 20.245864) + (xy 137.996088 20.468484) (xy 137.973286 20.7) (xy 137.996088 20.931516) (xy 138.063619 21.154136) (xy 138.173283 21.359303) + (xy 138.320866 21.539134) (xy 138.500697 21.686717) (xy 138.705864 21.796381) (xy 138.928484 21.863912) (xy 139.101984 21.881) + (xy 139.218016 21.881) (xy 139.311173 21.871825) (xy 139.217856 21.921704) (xy 139.123851 21.998851) (xy 139.046704 22.092856) + (xy 138.989378 22.200105) (xy 138.983646 22.219) (xy 138.416354 22.219) (xy 138.410622 22.200105) (xy 138.353296 22.092856) + (xy 138.276149 21.998851) (xy 138.182144 21.921704) (xy 138.074895 21.864378) (xy 137.958523 21.829077) (xy 137.8375 21.817157) + (xy 137.3625 21.817157) (xy 137.241477 21.829077) (xy 137.125105 21.864378) (xy 137.017856 21.921704) (xy 136.923851 21.998851) + (xy 136.846704 22.092856) (xy 136.789378 22.200105) (xy 136.754077 22.316477) (xy 136.742157 22.4375) (xy 136.742157 23.0125) + (xy 136.752646 23.11899) (xy 134.199855 23.11899) (xy 134.175009 23.116543) (xy 134.150163 23.11899) (xy 134.150156 23.11899) + (xy 134.085704 23.125338) (xy 134.075816 23.126312) (xy 134.053617 23.133046) (xy 133.980435 23.155245) (xy 133.892531 23.202231) + (xy 133.815483 23.265463) (xy 133.799638 23.28477) (xy 132.840409 24.244) (xy 131.315989 24.244) (xy 131.303701 24.203492) + (xy 131.268322 24.137304) (xy 131.220711 24.079289) (xy 131.162696 24.031678) (xy 131.096508 23.996299) (xy 131.024689 23.974513) + (xy 130.95 23.967157) (xy 129.05 23.967157) (xy 128.975311 23.974513) (xy 128.903492 23.996299) (xy 128.837304 24.031678) + (xy 128.779289 24.079289) (xy 128.731678 24.137304) (xy 128.696299 24.203492) (xy 128.674513 24.275311) (xy 128.667157 24.35) + (xy 128.667157 25.15) (xy 128.674513 25.224689) (xy 128.696299 25.296508) (xy 128.731678 25.362696) (xy 128.779289 25.420711) + (xy 128.837304 25.468322) (xy 128.903492 25.503701) (xy 128.975311 25.525487) (xy 129.05 25.532843) (xy 130.617251 25.532843) + (xy 130.769001 25.684593) (xy 130.769001 25.867157) (xy 129.05 25.867157) (xy 128.975311 25.874513) (xy 128.903492 25.896299) + (xy 128.837304 25.931678) (xy 128.779289 25.979289) (xy 128.731678 26.037304) (xy 128.696299 26.103492) (xy 128.684011 26.144) + (xy 128.380072 26.144) (xy 128.380072 24.923917) (xy 128.382519 24.899071) (xy 128.380072 24.874225) (xy 128.380072 24.874218) + (xy 128.37275 24.799879) (xy 128.343817 24.704497) (xy 128.296831 24.616593) (xy 128.282843 24.599549) (xy 128.282843 24.1625) + (xy 128.270923 24.041477) (xy 128.235622 23.925105) (xy 128.178296 23.817856) (xy 128.101149 23.723851) (xy 128.007144 23.646704) + (xy 127.899895 23.589378) (xy 127.783523 23.554077) (xy 127.6625 23.542157) (xy 127.0875 23.542157) (xy 126.966477 23.554077) + (xy 126.850105 23.589378) (xy 126.742856 23.646704) (xy 126.727849 23.65902) (xy 126.680537 23.570506) (xy 126.601185 23.473815) + (xy 126.504494 23.394463) (xy 126.39418 23.335498) (xy 126.274482 23.299188) (xy 126.15 23.286928) (xy 125.91075 23.29) + (xy 125.752 23.44875) (xy 125.752 24.273) (xy 125.772 24.273) (xy 125.772 24.527) (xy 125.752 24.527) + (xy 125.752 24.547) (xy 125.498 24.547) (xy 125.498 24.527) (xy 123.802 24.527) (xy 123.802 24.547) + (xy 123.548 24.547) (xy 123.548 24.527) (xy 123.528 24.527) (xy 123.528 24.273) (xy 123.548 24.273) + (xy 123.548 23.44875) (xy 123.802 23.44875) (xy 123.802 24.273) (xy 125.498 24.273) (xy 125.498 23.44875) + (xy 125.33925 23.29) (xy 125.1 23.286928) (xy 124.975518 23.299188) (xy 124.85582 23.335498) (xy 124.745506 23.394463) + (xy 124.65 23.472842) (xy 124.554494 23.394463) (xy 124.44418 23.335498) (xy 124.324482 23.299188) (xy 124.2 23.286928) + (xy 123.96075 23.29) (xy 123.802 23.44875) (xy 123.548 23.44875) (xy 123.38925 23.29) (xy 123.15 23.286928) + (xy 123.025518 23.299188) (xy 122.90582 23.335498) (xy 122.795506 23.394463) (xy 122.698815 23.473815) (xy 122.619463 23.570506) + (xy 122.572151 23.65902) (xy 122.557144 23.646704) (xy 122.449895 23.589378) (xy 122.333523 23.554077) (xy 122.2125 23.542157) + (xy 121.6375 23.542157) (xy 121.516477 23.554077) (xy 121.400105 23.589378) (xy 121.292856 23.646704) (xy 121.198851 23.723851) + (xy 121.121704 23.817856) (xy 121.064378 23.925105) (xy 121.029077 24.041477) (xy 121.017157 24.1625) (xy 121.017157 24.443989) + (xy 114.574856 24.443989) (xy 114.55001 24.441542) (xy 114.525164 24.443989) (xy 114.525157 24.443989) (xy 114.462646 24.450146) + (xy 114.450817 24.451311) (xy 114.428618 24.458045) (xy 114.355436 24.480244) (xy 114.267532 24.52723) (xy 114.190484 24.590462) + (xy 114.17464 24.609768) (xy 113.030493 25.753916) (xy 113.030488 25.75392) (xy 112.967251 25.817157) (xy 111.932749 25.817157) + (xy 108.553541 22.437949) (xy 108.613188 22.241318) (xy 108.636956 22) (xy 108.613188 21.758682) (xy 108.542798 21.526637) + (xy 108.528561 21.5) (xy 127.561928 21.5) (xy 127.574188 21.624482) (xy 127.610498 21.74418) (xy 127.669463 21.854494) + (xy 127.748815 21.951185) (xy 127.845506 22.030537) (xy 127.95582 22.089502) (xy 128.075518 22.125812) (xy 128.2 22.138072) + (xy 128.71425 22.135) (xy 128.873 21.97625) (xy 128.873 20.827) (xy 127.72375 20.827) (xy 127.565 20.98575) + (xy 127.561928 21.5) (xy 108.528561 21.5) (xy 108.428491 21.312784) (xy 108.27466 21.12534) (xy 108.087216 20.971509) + (xy 107.873363 20.857202) (xy 107.641318 20.786812) (xy 107.460472 20.769) (xy 105.539528 20.769) (xy 105.358682 20.786812) + (xy 105.126637 20.857202) (xy 104.912784 20.971509) (xy 104.72534 21.12534) (xy 104.571509 21.312784) (xy 104.457202 21.526637) + (xy 104.386812 21.758682) (xy 104.363044 22) (xy 104.386812 22.241318) (xy 104.457202 22.473363) (xy 104.571509 22.687216) + (xy 104.72534 22.87466) (xy 104.912784 23.028491) (xy 105.126637 23.142798) (xy 105.358682 23.213188) (xy 105.539528 23.231) + (xy 107.460472 23.231) (xy 107.641318 23.213188) (xy 107.837949 23.153541) (xy 110.626335 25.941927) (xy 110.552512 26.002512) + (xy 110.47381 26.098411) (xy 110.415329 26.207821) (xy 110.379317 26.326538) (xy 110.367157 26.45) (xy 110.367157 27.55) + (xy 110.379317 27.673462) (xy 110.415329 27.792179) (xy 110.47381 27.901589) (xy 110.552512 27.997488) (xy 110.648411 28.07619) + (xy 110.757821 28.134671) (xy 110.876538 28.170683) (xy 111 28.182843) (xy 114 28.182843) (xy 114.123462 28.170683) + (xy 114.242179 28.134671) (xy 114.351589 28.07619) (xy 114.447488 27.997488) (xy 114.52619 27.901589) (xy 114.584671 27.792179) + (xy 114.620683 27.673462) (xy 114.632843 27.55) (xy 114.632843 26.712324) (xy 114.694 26.773481) (xy 114.694 26.938343) + (xy 114.691553 26.96319) (xy 114.694 26.988036) (xy 114.694 26.988043) (xy 114.701322 27.062382) (xy 114.730255 27.157764) + (xy 114.777241 27.245669) (xy 114.840473 27.322717) (xy 114.859785 27.338566) (xy 115.986448 28.46523) (xy 116.002293 28.484537) + (xy 116.079341 28.547769) (xy 116.161255 28.591553) (xy 116.167245 28.594755) (xy 116.262627 28.623688) (xy 116.36182 28.633458) + (xy 116.386674 28.63101) (xy 121.322217 28.63101) (xy 121.292856 28.646704) (xy 121.198851 28.723851) (xy 121.121704 28.817856) + (xy 121.081004 28.894) (xy 117.624845 28.894) (xy 117.599999 28.891553) (xy 117.575153 28.894) (xy 117.575146 28.894) + (xy 117.510694 28.900348) (xy 117.500806 28.901322) (xy 117.476774 28.908612) (xy 117.405425 28.930255) (xy 117.317521 28.977241) + (xy 117.240473 29.040473) (xy 117.224628 29.05978) (xy 112.967252 33.317157) (xy 111.932749 33.317157) (xy 108.553541 29.937949) + (xy 108.613188 29.741318) (xy 108.636956 29.5) (xy 108.613188 29.258682) (xy 108.542798 29.026637) (xy 108.428491 28.812784) + (xy 108.27466 28.62534) (xy 108.087216 28.471509) (xy 107.873363 28.357202) (xy 107.641318 28.286812) (xy 107.460472 28.269) + (xy 107.006 28.269) (xy 107.006 28.231) (xy 107.460472 28.231) (xy 107.641318 28.213188) (xy 107.873363 28.142798) + (xy 108.087216 28.028491) (xy 108.27466 27.87466) (xy 108.428491 27.687216) (xy 108.542798 27.473363) (xy 108.613188 27.241318) + (xy 108.636956 27) (xy 108.63016 26.931001) (xy 108.776922 26.931001) (xy 108.927809 26.900988) (xy 109.069942 26.842114) + (xy 109.197859 26.756643) (xy 109.306642 26.64786) (xy 109.392113 26.519943) (xy 109.450987 26.37781) (xy 109.481 26.226923) + (xy 109.481 26.073079) (xy 109.450987 25.922192) (xy 109.392113 25.780059) (xy 109.306642 25.652142) (xy 109.197859 25.543359) + (xy 109.069942 25.457888) (xy 108.927809 25.399014) (xy 108.776922 25.369001) (xy 108.633362 25.369001) (xy 108.58248 25.327243) + (xy 108.494576 25.280257) (xy 108.399194 25.251324) (xy 108.324855 25.244002) (xy 108.324847 25.244002) (xy 108.300001 25.241555) + (xy 108.275155 25.244002) (xy 107.143342 25.244002) (xy 107.261993 25.125351) (xy 107.369351 24.964678) (xy 107.443301 24.786147) + (xy 107.481 24.59662) (xy 107.481 24.40338) (xy 107.443301 24.213853) (xy 107.369351 24.035322) (xy 107.261993 23.874649) + (xy 107.125351 23.738007) (xy 106.964678 23.630649) (xy 106.786147 23.556699) (xy 106.59662 23.519) (xy 106.40338 23.519) + (xy 106.213853 23.556699) (xy 106.035322 23.630649) (xy 105.874649 23.738007) (xy 105.738007 23.874649) (xy 105.630649 24.035322) + (xy 105.556699 24.213853) (xy 105.519 24.40338) (xy 105.519 24.59662) (xy 105.556699 24.786147) (xy 105.630649 24.964678) + (xy 105.738007 25.125351) (xy 105.856658 25.244002) (xy 103.924852 25.244002) (xy 103.899998 25.241554) (xy 103.875144 25.244002) + (xy 103.800805 25.251324) (xy 103.705423 25.280257) (xy 103.617519 25.327243) (xy 103.540471 25.390475) (xy 103.524626 25.409782) + (xy 102.776191 26.158217) (xy 102.823361 26.085618) (xy 102.93169 25.814269) (xy 102.985 25.527) (xy 102.985 24.627) + (xy 101.627 24.627) (xy 101.627 26.720155) (xy 101.85689 26.841476) (xy 101.950953 26.820563) (xy 102.219426 26.705291) + (xy 102.250383 26.684025) (xy 99.87806 29.056348) (xy 99.869351 29.035322) (xy 99.761993 28.874649) (xy 99.625351 28.738007) + (xy 99.464678 28.630649) (xy 99.286147 28.556699) (xy 99.09662 28.519) (xy 98.90338 28.519) (xy 98.713853 28.556699) + (xy 98.535322 28.630649) (xy 98.374649 28.738007) (xy 98.238007 28.874649) (xy 98.130649 29.035322) (xy 98.056699 29.213853) + (xy 98.019 29.40338) (xy 98.019 29.59662) (xy 98.056699 29.786147) (xy 98.130649 29.964678) (xy 98.238007 30.125351) + (xy 98.374649 30.261993) (xy 98.535322 30.369351) (xy 98.542911 30.372495) (xy 97.206 31.709407) (xy 97.206 24.627) + (xy 100.015 24.627) (xy 100.015 25.527) (xy 100.06831 25.814269) (xy 100.176639 26.085618) (xy 100.335824 26.330619) + (xy 100.539748 26.539857) (xy 100.780574 26.705291) (xy 101.049047 26.820563) (xy 101.14311 26.841476) (xy 101.373 26.720155) + (xy 101.373 24.627) (xy 100.015 24.627) (xy 97.206 24.627) (xy 97.206 23.473) (xy 100.015 23.473) + (xy 100.015 24.373) (xy 101.373 24.373) (xy 101.373 22.279845) (xy 101.627 22.279845) (xy 101.627 24.373) + (xy 102.985 24.373) (xy 102.985 23.473) (xy 102.93169 23.185731) (xy 102.823361 22.914382) (xy 102.664176 22.669381) + (xy 102.460252 22.460143) (xy 102.219426 22.294709) (xy 101.950953 22.179437) (xy 101.85689 22.158524) (xy 101.627 22.279845) + (xy 101.373 22.279845) (xy 101.14311 22.158524) (xy 101.049047 22.179437) (xy 100.780574 22.294709) (xy 100.539748 22.460143) + (xy 100.335824 22.669381) (xy 100.176639 22.914382) (xy 100.06831 23.185731) (xy 100.015 23.473) (xy 97.206 23.473) + (xy 97.206 19.40338) (xy 98.019 19.40338) (xy 98.019 19.59662) (xy 98.056699 19.786147) (xy 98.130649 19.964678) + (xy 98.238007 20.125351) (xy 98.374649 20.261993) (xy 98.535322 20.369351) (xy 98.713853 20.443301) (xy 98.90338 20.481) + (xy 99.09662 20.481) (xy 99.286147 20.443301) (xy 99.401479 20.395529) (xy 99.349013 20.522191) (xy 99.319 20.673078) + (xy 99.319 20.826922) (xy 99.349013 20.977809) (xy 99.407887 21.119942) (xy 99.493358 21.247859) (xy 99.602141 21.356642) + (xy 99.730058 21.442113) (xy 99.872191 21.500987) (xy 100.023078 21.531) (xy 100.176922 21.531) (xy 100.327809 21.500987) + (xy 100.469942 21.442113) (xy 100.597859 21.356642) (xy 100.706642 21.247859) (xy 100.792113 21.119942) (xy 100.850987 20.977809) + (xy 100.881 20.826922) (xy 100.881 20.673078) (xy 100.850987 20.522191) (xy 100.792113 20.380058) (xy 100.706642 20.252141) + (xy 100.681 20.226499) (xy 100.681 20.040034) (xy 100.738007 20.125351) (xy 100.874649 20.261993) (xy 101.035322 20.369351) + (xy 101.213853 20.443301) (xy 101.40338 20.481) (xy 101.59662 20.481) (xy 101.786147 20.443301) (xy 101.964678 20.369351) + (xy 102.125351 20.261993) (xy 102.261993 20.125351) (xy 102.369351 19.964678) (xy 102.443301 19.786147) (xy 102.481 19.59662) + (xy 102.481 19.40338) (xy 102.443301 19.213853) (xy 102.369351 19.035322) (xy 102.261993 18.874649) (xy 102.218334 18.83099) + (xy 104.561778 18.83099) (xy 104.457202 19.026637) (xy 104.386812 19.258682) (xy 104.363044 19.5) (xy 104.386812 19.741318) + (xy 104.457202 19.973363) (xy 104.571509 20.187216) (xy 104.72534 20.37466) (xy 104.912784 20.528491) (xy 105.126637 20.642798) + (xy 105.358682 20.713188) (xy 105.539528 20.731) (xy 107.460472 20.731) (xy 107.641318 20.713188) (xy 107.873363 20.642798) + (xy 108.087216 20.528491) (xy 108.27466 20.37466) (xy 108.428491 20.187216) (xy 108.542798 19.973363) (xy 108.613188 19.741318) + (xy 108.636956 19.5) (xy 108.613188 19.258682) (xy 108.542798 19.026637) (xy 108.438222 18.83099) (xy 110.309333 18.83099) + (xy 110.719 19.240658) (xy 110.719 19.276922) (xy 110.749013 19.427809) (xy 110.807887 19.569942) (xy 110.893358 19.697859) + (xy 111.002141 19.806642) (xy 111.130058 19.892113) (xy 111.272191 19.950987) (xy 111.423078 19.981) (xy 111.576922 19.981) + (xy 111.727809 19.950987) (xy 111.850901 19.9) (xy 127.561928 19.9) (xy 127.565 20.41425) (xy 127.72375 20.573) + (xy 128.873 20.573) (xy 128.873 19.42375) (xy 129.127 19.42375) (xy 129.127 20.573) (xy 129.147 20.573) + (xy 129.147 20.827) (xy 129.127 20.827) (xy 129.127 21.97625) (xy 129.28575 22.135) (xy 129.8 22.138072) + (xy 129.924482 22.125812) (xy 130.04418 22.089502) (xy 130.154494 22.030537) (xy 130.251185 21.951185) (xy 130.330537 21.854494) + (xy 130.389502 21.74418) (xy 130.425812 21.624482) (xy 130.438072 21.5) (xy 130.435853 21.128535) (xy 130.443619 21.154136) + (xy 130.553283 21.359303) (xy 130.700866 21.539134) (xy 130.880697 21.686717) (xy 131.085864 21.796381) (xy 131.308484 21.863912) + (xy 131.481984 21.881) (xy 131.598016 21.881) (xy 131.771516 21.863912) (xy 131.994136 21.796381) (xy 132.199303 21.686717) + (xy 132.379134 21.539134) (xy 132.526717 21.359303) (xy 132.636381 21.154136) (xy 132.703912 20.931516) (xy 132.726714 20.7) + (xy 132.893286 20.7) (xy 132.916088 20.931516) (xy 132.983619 21.154136) (xy 133.093283 21.359303) (xy 133.240866 21.539134) + (xy 133.420697 21.686717) (xy 133.625864 21.796381) (xy 133.848484 21.863912) (xy 134.021984 21.881) (xy 134.138016 21.881) + (xy 134.311516 21.863912) (xy 134.534136 21.796381) (xy 134.739303 21.686717) (xy 134.919134 21.539134) (xy 135.066717 21.359303) + (xy 135.176381 21.154136) (xy 135.243912 20.931516) (xy 135.266714 20.7) (xy 135.243912 20.468484) (xy 135.176381 20.245864) + (xy 135.066717 20.040697) (xy 134.919134 19.860866) (xy 134.739303 19.713283) (xy 134.534136 19.603619) (xy 134.311516 19.536088) + (xy 134.138016 19.519) (xy 134.021984 19.519) (xy 133.848484 19.536088) (xy 133.625864 19.603619) (xy 133.420697 19.713283) + (xy 133.240866 19.860866) (xy 133.093283 20.040697) (xy 132.983619 20.245864) (xy 132.916088 20.468484) (xy 132.893286 20.7) + (xy 132.726714 20.7) (xy 132.703912 20.468484) (xy 132.636381 20.245864) (xy 132.526717 20.040697) (xy 132.379134 19.860866) + (xy 132.199303 19.713283) (xy 131.994136 19.603619) (xy 131.771516 19.536088) (xy 131.598016 19.519) (xy 131.481984 19.519) + (xy 131.308484 19.536088) (xy 131.085864 19.603619) (xy 130.880697 19.713283) (xy 130.700866 19.860866) (xy 130.553283 20.040697) + (xy 130.443619 20.245864) (xy 130.435853 20.271465) (xy 130.438072 19.9) (xy 130.425812 19.775518) (xy 130.389502 19.65582) + (xy 130.330537 19.545506) (xy 130.251185 19.448815) (xy 130.154494 19.369463) (xy 130.04418 19.310498) (xy 129.924482 19.274188) + (xy 129.8 19.261928) (xy 129.28575 19.265) (xy 129.127 19.42375) (xy 128.873 19.42375) (xy 128.71425 19.265) + (xy 128.2 19.261928) (xy 128.075518 19.274188) (xy 127.95582 19.310498) (xy 127.845506 19.369463) (xy 127.748815 19.448815) + (xy 127.669463 19.545506) (xy 127.610498 19.65582) (xy 127.574188 19.775518) (xy 127.561928 19.9) (xy 111.850901 19.9) + (xy 111.869942 19.892113) (xy 111.997859 19.806642) (xy 112.106642 19.697859) (xy 112.192113 19.569942) (xy 112.250987 19.427809) + (xy 112.281 19.276922) (xy 112.281 19.123078) (xy 112.250987 18.972191) (xy 112.192113 18.830058) (xy 112.106642 18.702141) + (xy 111.997859 18.593358) (xy 111.869942 18.507887) (xy 111.727809 18.449013) (xy 111.576922 18.419) (xy 111.540658 18.419) + (xy 110.981007 17.85935) (xy 110.962807 17.837173) (xy 110.874338 17.764569) (xy 110.773405 17.710619) (xy 110.663886 17.677397) + (xy 110.57853 17.66899) (xy 110.54999 17.666179) (xy 110.52145 17.66899) (xy 101.298547 17.66899) (xy 101.270007 17.666179) + (xy 101.203201 17.672759) (xy 101.156112 17.677397) (xy 101.046593 17.710619) (xy 100.94566 17.764569) (xy 100.857191 17.837173) + (xy 100.838995 17.859345) (xy 99.786706 18.911635) (xy 99.761993 18.874649) (xy 99.625351 18.738007) (xy 99.464678 18.630649) + (xy 99.286147 18.556699) (xy 99.09662 18.519) (xy 98.90338 18.519) (xy 98.713853 18.556699) (xy 98.535322 18.630649) + (xy 98.374649 18.738007) (xy 98.238007 18.874649) (xy 98.130649 19.035322) (xy 98.056699 19.213853) (xy 98.019 19.40338) + (xy 97.206 19.40338) (xy 97.206 16.606) (xy 138.878409 16.606) + ) + ) + (filled_polygon + (pts + (xy 122.052 31.573) (xy 122.072 31.573) (xy 122.072 31.827) (xy 122.052 31.827) (xy 122.052 31.847) + (xy 121.798 31.847) (xy 121.798 31.827) (xy 121.778 31.827) (xy 121.778 31.573) (xy 121.798 31.573) + (xy 121.798 31.553) (xy 122.052 31.553) + ) + ) + (filled_polygon + (pts + (xy 126.869001 30.883646) (xy 126.850105 30.889378) (xy 126.742856 30.946704) (xy 126.648851 31.023851) (xy 126.571704 31.117856) + (xy 126.514378 31.225105) (xy 126.5 31.272503) (xy 126.485622 31.225105) (xy 126.428296 31.117856) (xy 126.351149 31.023851) + (xy 126.257144 30.946704) (xy 126.149895 30.889378) (xy 126.033523 30.854077) (xy 125.9125 30.842157) (xy 125.3375 30.842157) + (xy 125.216477 30.854077) (xy 125.100105 30.889378) (xy 124.992856 30.946704) (xy 124.898851 31.023851) (xy 124.821704 31.117856) + (xy 124.781004 31.194) (xy 124.518996 31.194) (xy 124.478296 31.117856) (xy 124.401149 31.023851) (xy 124.307144 30.946704) + (xy 124.199895 30.889378) (xy 124.083523 30.854077) (xy 123.9625 30.842157) (xy 123.3875 30.842157) (xy 123.266477 30.854077) + (xy 123.150105 30.889378) (xy 123.042856 30.946704) (xy 123.027849 30.95902) (xy 122.980537 30.870506) (xy 122.901185 30.773815) + (xy 122.818564 30.70601) (xy 126.550144 30.70601) (xy 126.57499 30.708457) (xy 126.599836 30.70601) (xy 126.599844 30.70601) + (xy 126.674183 30.698688) (xy 126.769565 30.669755) (xy 126.857469 30.622769) (xy 126.869001 30.613305) + ) + ) + (filled_polygon + (pts + (xy 123.802 29.273) (xy 125.498 29.273) (xy 125.498 29.253) (xy 125.752 29.253) (xy 125.752 29.273) + (xy 125.772 29.273) (xy 125.772 29.527) (xy 125.752 29.527) (xy 125.752 29.547) (xy 125.498 29.547) + (xy 125.498 29.527) (xy 123.802 29.527) (xy 123.802 29.547) (xy 123.548 29.547) (xy 123.548 29.527) + (xy 123.528 29.527) (xy 123.528 29.273) (xy 123.548 29.273) (xy 123.548 29.253) (xy 123.802 29.253) + ) + ) + (filled_polygon + (pts + (xy 140.773283 26.340697) (xy 140.663619 26.545864) (xy 140.596088 26.768484) (xy 140.573286 27) (xy 140.596088 27.231516) + (xy 140.663619 27.454136) (xy 140.773283 27.659303) (xy 140.920866 27.839134) (xy 141.100697 27.986717) (xy 141.305864 28.096381) + (xy 141.528484 28.163912) (xy 141.701984 28.181) (xy 141.818016 28.181) (xy 141.991516 28.163912) (xy 142.214136 28.096381) + (xy 142.419303 27.986717) (xy 142.599134 27.839134) (xy 142.746717 27.659303) (xy 142.856381 27.454136) (xy 142.923912 27.231516) + (xy 142.946714 27) (xy 142.923912 26.768484) (xy 142.856381 26.545864) (xy 142.746717 26.340697) (xy 142.718242 26.306) + (xy 143.341758 26.306) (xy 143.313283 26.340697) (xy 143.203619 26.545864) (xy 143.136088 26.768484) (xy 143.113286 27) + (xy 143.136088 27.231516) (xy 143.203619 27.454136) (xy 143.313283 27.659303) (xy 143.460866 27.839134) (xy 143.640697 27.986717) + (xy 143.845864 28.096381) (xy 144.068484 28.163912) (xy 144.241984 28.181) (xy 144.358016 28.181) (xy 144.531516 28.163912) + (xy 144.754136 28.096381) (xy 144.959303 27.986717) (xy 145.139134 27.839134) (xy 145.286717 27.659303) (xy 145.396381 27.454136) + (xy 145.463912 27.231516) (xy 145.486714 27) (xy 145.463912 26.768484) (xy 145.396381 26.545864) (xy 145.286717 26.340697) + (xy 145.258242 26.306) (xy 145.881758 26.306) (xy 145.853283 26.340697) (xy 145.743619 26.545864) (xy 145.676088 26.768484) + (xy 145.653286 27) (xy 145.676088 27.231516) (xy 145.743619 27.454136) (xy 145.853283 27.659303) (xy 146.000866 27.839134) + (xy 146.180697 27.986717) (xy 146.385864 28.096381) (xy 146.608484 28.163912) (xy 146.781984 28.181) (xy 146.898016 28.181) + (xy 147.071516 28.163912) (xy 147.294136 28.096381) (xy 147.499303 27.986717) (xy 147.679134 27.839134) (xy 147.826717 27.659303) + (xy 147.936381 27.454136) (xy 148.003912 27.231516) (xy 148.026714 27) (xy 148.003912 26.768484) (xy 147.936381 26.545864) + (xy 147.826717 26.340697) (xy 147.798242 26.306) (xy 148.421758 26.306) (xy 148.393283 26.340697) (xy 148.283619 26.545864) + (xy 148.216088 26.768484) (xy 148.193286 27) (xy 148.216088 27.231516) (xy 148.283619 27.454136) (xy 148.393283 27.659303) + (xy 148.540866 27.839134) (xy 148.720697 27.986717) (xy 148.925864 28.096381) (xy 149.148484 28.163912) (xy 149.321984 28.181) + (xy 149.438016 28.181) (xy 149.611516 28.163912) (xy 149.834136 28.096381) (xy 150.039303 27.986717) (xy 150.219134 27.839134) + (xy 150.366717 27.659303) (xy 150.476381 27.454136) (xy 150.543912 27.231516) (xy 150.566714 27) (xy 150.543912 26.768484) + (xy 150.476381 26.545864) (xy 150.366717 26.340697) (xy 150.338242 26.306) (xy 150.961758 26.306) (xy 150.933283 26.340697) + (xy 150.823619 26.545864) (xy 150.756088 26.768484) (xy 150.733286 27) (xy 150.756088 27.231516) (xy 150.806548 27.39786) + (xy 149.210487 28.993922) (xy 137.066672 28.993922) (xy 138.145221 27.915373) (xy 138.164528 27.899528) (xy 138.22776 27.82248) + (xy 138.274746 27.734576) (xy 138.280228 27.716505) (xy 138.380866 27.839134) (xy 138.560697 27.986717) (xy 138.765864 28.096381) + (xy 138.988484 28.163912) (xy 139.161984 28.181) (xy 139.278016 28.181) (xy 139.451516 28.163912) (xy 139.674136 28.096381) + (xy 139.879303 27.986717) (xy 140.059134 27.839134) (xy 140.206717 27.659303) (xy 140.316381 27.454136) (xy 140.383912 27.231516) + (xy 140.406714 27) (xy 140.383912 26.768484) (xy 140.316381 26.545864) (xy 140.206717 26.340697) (xy 140.178242 26.306) + (xy 140.801758 26.306) + ) + ) + (filled_polygon + (pts + (xy 136.742157 24.1875) (xy 136.742157 24.7625) (xy 136.754077 24.883523) (xy 136.789378 24.999895) (xy 136.846704 25.107144) + (xy 136.923851 25.201149) (xy 137.017856 25.278296) (xy 137.125105 25.335622) (xy 137.157438 25.34543) (xy 137.177241 25.382479) + (xy 137.240473 25.459527) (xy 137.259785 25.475376) (xy 137.299002 25.514593) (xy 137.299002 25.712993) (xy 137.163087 25.648754) + (xy 137.029039 25.608096) (xy 136.807 25.730085) (xy 136.807 26.873) (xy 136.827 26.873) (xy 136.827 27.127) + (xy 136.807 27.127) (xy 136.807 27.147) (xy 136.553 27.147) (xy 136.553 27.127) (xy 135.409376 27.127) + (xy 135.320686 27.289367) (xy 135.272191 27.299013) (xy 135.130058 27.357887) (xy 135.002141 27.443358) (xy 134.901499 27.544) + (xy 132.484611 27.544) (xy 132.456642 27.502141) (xy 132.347859 27.393358) (xy 132.219942 27.307887) (xy 132.077809 27.249013) + (xy 131.926922 27.219) (xy 131.781001 27.219) (xy 131.781001 26.65096) (xy 135.288091 26.65096) (xy 135.409376 26.873) + (xy 136.553 26.873) (xy 136.553 25.730085) (xy 136.330961 25.608096) (xy 136.196913 25.648754) (xy 135.94258 25.768963) + (xy 135.716586 25.936481) (xy 135.527615 26.144869) (xy 135.38293 26.386119) (xy 135.288091 26.65096) (xy 131.781001 26.65096) + (xy 131.781001 26.372116) (xy 131.837304 26.418322) (xy 131.903492 26.453701) (xy 131.975311 26.475487) (xy 132.05 26.482843) + (xy 133.95 26.482843) (xy 134.024689 26.475487) (xy 134.096508 26.453701) (xy 134.162696 26.418322) (xy 134.220711 26.370711) + (xy 134.248093 26.337346) (xy 134.330058 26.392113) (xy 134.472191 26.450987) (xy 134.623078 26.481) (xy 134.776922 26.481) + (xy 134.927809 26.450987) (xy 135.069942 26.392113) (xy 135.197859 26.306642) (xy 135.306642 26.197859) (xy 135.392113 26.069942) + (xy 135.450987 25.927809) (xy 135.481 25.776922) (xy 135.481 25.623078) (xy 135.450987 25.472191) (xy 135.392113 25.330058) + (xy 135.306642 25.202141) (xy 135.197859 25.093358) (xy 135.069942 25.007887) (xy 134.927809 24.949013) (xy 134.776922 24.919) + (xy 134.623078 24.919) (xy 134.472191 24.949013) (xy 134.330058 25.007887) (xy 134.248093 25.062654) (xy 134.220711 25.029289) + (xy 134.162696 24.981678) (xy 134.096508 24.946299) (xy 134.024689 24.924513) (xy 133.95 24.917157) (xy 133.598434 24.917157) + (xy 134.384602 24.13099) (xy 136.747723 24.13099) + ) + ) + (filled_polygon + (pts + (xy 122.052 26.573) (xy 122.072 26.573) (xy 122.072 26.827) (xy 122.052 26.827) (xy 122.052 26.847) + (xy 121.798 26.847) (xy 121.798 26.827) (xy 121.778 26.827) (xy 121.778 26.573) (xy 121.798 26.573) + (xy 121.798 26.553) (xy 122.052 26.553) + ) + ) + (filled_polygon + (pts + (xy 120.810498 25.98082) (xy 120.774188 26.100518) (xy 120.761928 26.225) (xy 120.765 26.41425) (xy 120.892799 26.542049) + (xy 120.776922 26.519) (xy 120.623078 26.519) (xy 120.472191 26.549013) (xy 120.330058 26.607887) (xy 120.232843 26.672844) + (xy 120.232843 26.45) (xy 120.220683 26.326538) (xy 120.184671 26.207821) (xy 120.12619 26.098411) (xy 120.047488 26.002512) + (xy 119.951589 25.92381) (xy 119.918269 25.906) (xy 120.850491 25.906) + ) + ) + (filled_polygon + (pts + (xy 138.69 24.18925) (xy 138.84875 24.348) (xy 139.673 24.348) (xy 139.673 24.328) (xy 139.927 24.328) + (xy 139.927 24.348) (xy 139.947 24.348) (xy 139.947 24.602) (xy 139.927 24.602) (xy 139.927 24.622) + (xy 139.673 24.622) (xy 139.673 24.602) (xy 138.84875 24.602) (xy 138.69 24.76075) (xy 138.688876 24.848285) + (xy 138.474446 24.633855) (xy 138.474442 24.63385) (xy 138.457843 24.617251) (xy 138.457843 24.1875) (xy 138.452277 24.13099) + (xy 138.689252 24.13099) + ) + ) + (filled_polygon + (pts + (xy 144.79 22.43925) (xy 144.94875 22.598) (xy 145.773 22.598) (xy 145.773 22.578) (xy 146.027 22.578) + (xy 146.027 22.598) (xy 146.047 22.598) (xy 146.047 22.852) (xy 146.027 22.852) (xy 146.027 22.872) + (xy 145.773 22.872) (xy 145.773 22.852) (xy 144.94875 22.852) (xy 144.795671 23.005079) (xy 144.657843 22.867252) + (xy 144.657843 22.4375) (xy 144.647354 22.331001) (xy 144.78861 22.331001) + ) + ) + ) +) diff --git a/pcb/zx-tsid.pro b/pcb/zx-tsid.pro new file mode 100644 index 0000000..b49f57f --- /dev/null +++ b/pcb/zx-tsid.pro @@ -0,0 +1,249 @@ +update=16/05/2020 10:51:25 +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[pcbnew] +version=1 +PageLayoutDescrFile= +LastNetListRead= +CopperLayerCount=2 +BoardThickness=1.6 +AllowMicroVias=0 +AllowBlindVias=0 +RequireCourtyardDefinitions=0 +ProhibitOverlappingCourtyards=1 +MinTrackWidth=0.2 +MinViaDiameter=0.4 +MinViaDrill=0.3 +MinMicroViaDiameter=0.2 +MinMicroViaDrill=0.09999999999999999 +MinHoleToHole=0.25 +TrackWidth1=0.25 +TrackWidth2=0.4 +ViaDiameter1=0.8 +ViaDrill1=0.4 +dPairWidth1=0.2 +dPairGap1=0.25 +dPairViaGap1=0.25 +SilkLineWidth=0.12 +SilkTextSizeV=1 +SilkTextSizeH=1 +SilkTextSizeThickness=0.15 +SilkTextItalic=0 +SilkTextUpright=1 +CopperLineWidth=0.2 +CopperTextSizeV=1.5 +CopperTextSizeH=1.5 +CopperTextThickness=0.3 +CopperTextItalic=0 +CopperTextUpright=1 +EdgeCutLineWidth=0.05 +CourtyardLineWidth=0.05 +OthersLineWidth=0.15 +OthersTextSizeV=1 +OthersTextSizeH=1 +OthersTextSizeThickness=0.15 +OthersTextItalic=0 +OthersTextUpright=1 +SolderMaskClearance=0.051 +SolderMaskMinWidth=0.25 +SolderPasteClearance=0 +SolderPasteRatio=-0 +[pcbnew/Layer.F.Cu] +Name=F.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In1.Cu] +Name=In1.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In2.Cu] +Name=In2.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In3.Cu] +Name=In3.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In4.Cu] +Name=In4.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In5.Cu] +Name=In5.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In6.Cu] +Name=In6.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In7.Cu] +Name=In7.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In8.Cu] +Name=In8.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In9.Cu] +Name=In9.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In10.Cu] +Name=In10.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In11.Cu] +Name=In11.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In12.Cu] +Name=In12.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In13.Cu] +Name=In13.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In14.Cu] +Name=In14.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In15.Cu] +Name=In15.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In16.Cu] +Name=In16.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In17.Cu] +Name=In17.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In18.Cu] +Name=In18.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In19.Cu] +Name=In19.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In20.Cu] +Name=In20.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In21.Cu] +Name=In21.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In22.Cu] +Name=In22.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In23.Cu] +Name=In23.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In24.Cu] +Name=In24.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In25.Cu] +Name=In25.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In26.Cu] +Name=In26.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In27.Cu] +Name=In27.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In28.Cu] +Name=In28.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In29.Cu] +Name=In29.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In30.Cu] +Name=In30.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.B.Cu] +Name=B.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.B.Adhes] +Enabled=1 +[pcbnew/Layer.F.Adhes] +Enabled=1 +[pcbnew/Layer.B.Paste] +Enabled=1 +[pcbnew/Layer.F.Paste] +Enabled=1 +[pcbnew/Layer.B.SilkS] +Enabled=1 +[pcbnew/Layer.F.SilkS] +Enabled=1 +[pcbnew/Layer.B.Mask] +Enabled=1 +[pcbnew/Layer.F.Mask] +Enabled=1 +[pcbnew/Layer.Dwgs.User] +Enabled=1 +[pcbnew/Layer.Cmts.User] +Enabled=1 +[pcbnew/Layer.Eco1.User] +Enabled=1 +[pcbnew/Layer.Eco2.User] +Enabled=1 +[pcbnew/Layer.Edge.Cuts] +Enabled=1 +[pcbnew/Layer.Margin] +Enabled=1 +[pcbnew/Layer.B.CrtYd] +Enabled=1 +[pcbnew/Layer.F.CrtYd] +Enabled=1 +[pcbnew/Layer.B.Fab] +Enabled=1 +[pcbnew/Layer.F.Fab] +Enabled=1 +[pcbnew/Layer.Rescue] +Enabled=0 +[pcbnew/Netclasses] +[pcbnew/Netclasses/Default] +Name=Default +Clearance=0.2 +TrackWidth=0.25 +ViaDiameter=0.8 +ViaDrill=0.4 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 +[schematic_editor] +version=1 +PageLayoutDescrFile=E:/ws/kicad-lib/mykicadws.kicad_wks +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName= +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 diff --git a/pcb/zx-tsid.sch b/pcb/zx-tsid.sch new file mode 100644 index 0000000..084bb11 --- /dev/null +++ b/pcb/zx-tsid.sch @@ -0,0 +1,1869 @@ +EESchema Schematic File Version 4 +LIBS:zx-tsid-cache +EELAYER 30 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "ZX TSid" +Date "2020-05-16" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L 65xx:8580 U4 +U 1 1 5EBE5709 +P 1825 6075 +F 0 "U4" H 1475 7375 50 0000 C CNN +F 1 "8580" H 2175 7375 50 0000 C CNN +F 2 "Package_DIP:DIP-28_W15.24mm_Socket" H 1825 6225 50 0001 C CNN +F 3 "" H 1825 6225 50 0001 C CNN + 1 1825 6075 + 1 0 0 -1 +$EndComp +$Comp +L Connector:AudioJack3_SwitchTR J3 +U 1 1 5EBF5BC4 +P 7600 5375 +F 0 "J3" H 7320 5300 50 0000 R CNN +F 1 "PJ307" H 7320 5209 50 0001 R CNN +F 2 "my:SWITCHCRAFT_35RAPC4BHN2" H 7600 5375 50 0001 C CNN +F 3 "~" H 7600 5375 50 0001 C CNN + 1 7600 5375 + -1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR02 +U 1 1 5EBFE7F3 +P 5800 825 +F 0 "#PWR02" H 5800 675 50 0001 C CNN +F 1 "+5V" H 5800 975 39 0000 C CNN +F 2 "" H 5800 825 50 0001 C CNN +F 3 "" H 5800 825 50 0001 C CNN + 1 5800 825 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6425 850 6250 850 +$Comp +L power:+3.3V #PWR03 +U 1 1 5EC005B2 +P 7175 825 +F 0 "#PWR03" H 7175 675 50 0001 C CNN +F 1 "+3.3V" H 7190 990 39 0000 C CNN +F 2 "" H 7175 825 50 0001 C CNN +F 3 "" H 7175 825 50 0001 C CNN + 1 7175 825 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7025 850 7175 850 +Wire Wire Line + 7175 850 7175 825 +$Comp +L Regulator_Linear:AMS1117-3.3 U1 +U 1 1 5EC0393B +P 6725 850 +F 0 "U1" H 6725 1068 39 0000 C CNN +F 1 "AMS1117-3.3" H 6725 993 39 0000 C CNN +F 2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" H 6725 1050 50 0001 C CNN +F 3 "http://www.advanced-monolithic.com/pdf/ds1117.pdf" H 6825 600 50 0001 C CNN + 1 6725 850 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole H2 +U 1 1 5EC09875 +P 6275 7550 +F 0 "H2" H 6375 7596 50 0000 L CNN +F 1 "MountingHole" H 6375 7505 50 0000 L CNN +F 2 "MountingHole:MountingHole_3.2mm_M3" H 6275 7550 50 0001 C CNN +F 3 "~" H 6275 7550 50 0001 C CNN + 1 6275 7550 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole H1 +U 1 1 5EC09F66 +P 6275 7375 +F 0 "H1" H 6375 7421 50 0000 L CNN +F 1 "MountingHole" H 6375 7330 50 0000 L CNN +F 2 "MountingHole:MountingHole_3.2mm_M3" H 6275 7375 50 0001 C CNN +F 3 "~" H 6275 7375 50 0001 C CNN + 1 6275 7375 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R20 +U 1 1 5EC1B87E +P 9800 5375 +F 0 "R20" H 9870 5413 39 0000 L CNN +F 1 "10k" H 9870 5338 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9730 5375 50 0001 C CNN +F 3 "~" H 9800 5375 50 0001 C CNN + 1 9800 5375 + 1 0 0 -1 +$EndComp +Text GLabel 9650 5600 0 39 Output ~ 0 +TCK +Text GLabel 9650 5700 0 39 Input ~ 0 +TDO +Text GLabel 9650 5800 0 39 Output ~ 0 +TMS +Text GLabel 9650 6000 0 39 Output ~ 0 +TDI +Wire Wire Line + 10675 6000 10750 6000 +Wire Wire Line + 10675 5600 10750 5600 +Wire Wire Line + 10750 5600 10750 6000 +Connection ~ 10750 6000 +NoConn ~ 10675 5800 +NoConn ~ 10675 5900 +NoConn ~ 10175 5900 +$Comp +L Device:R R21 +U 1 1 5EC1F044 +P 10075 5375 +F 0 "R21" H 10145 5413 39 0000 L CNN +F 1 "10k" H 10145 5338 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 10005 5375 50 0001 C CNN +F 3 "~" H 10075 5375 50 0001 C CNN + 1 10075 5375 + 1 0 0 1 +$EndComp +$Comp +L Device:R R27 +U 1 1 5EC1F7CA +P 9950 6175 +F 0 "R27" H 10020 6213 39 0000 L CNN +F 1 "10k" H 10020 6138 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 9880 6175 50 0001 C CNN +F 3 "~" H 9950 6175 50 0001 C CNN + 1 9950 6175 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR038 +U 1 1 5EC1FB20 +P 9950 6325 +F 0 "#PWR038" H 9950 6075 50 0001 C CNN +F 1 "GND" V 9955 6197 50 0001 R CNN +F 2 "" H 9950 6325 50 0001 C CNN +F 3 "" H 9950 6325 50 0001 C CNN + 1 9950 6325 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9650 5700 10175 5700 +Wire Wire Line + 9650 5800 10075 5800 +Wire Wire Line + 9650 6000 9800 6000 +Wire Wire Line + 9800 5525 9800 6000 +Connection ~ 9800 6000 +Wire Wire Line + 9800 6000 10175 6000 +Wire Wire Line + 9650 5600 9950 5600 +$Comp +L power:+3.3V #PWR030 +U 1 1 5EC21814 +P 9800 5225 +F 0 "#PWR030" H 9800 5075 50 0001 C CNN +F 1 "+3.3V" H 9815 5398 50 0000 C CNN +F 2 "" H 9800 5225 50 0001 C CNN +F 3 "" H 9800 5225 50 0001 C CNN + 1 9800 5225 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9800 5225 10075 5225 +Connection ~ 9800 5225 +Connection ~ 10075 5225 +Wire Wire Line + 9950 6325 10750 6325 +Connection ~ 9950 6325 +Wire Wire Line + 10750 6000 10750 6325 +Wire Wire Line + 9950 6025 9950 5600 +Connection ~ 9950 5600 +$Comp +L Connector_Generic:Conn_02x05_Odd_Even J4 +U 1 1 5EC13E3B +P 10375 5800 +F 0 "J4" H 10425 6125 50 0000 C CNN +F 1 "Conn_02x05_Odd_Even" H 10425 6126 50 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_2x05_P2.54mm_Vertical_SMD" H 10375 5800 50 0001 C CNN +F 3 "~" H 10375 5800 50 0001 C CNN + 1 10375 5800 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10675 5700 10700 5700 +Wire Wire Line + 10700 5700 10700 5225 +Wire Wire Line + 10075 5225 10700 5225 +Connection ~ 7175 850 +$Comp +L Device:C C4 +U 1 1 5EC3FB0E +P 7175 1000 +F 0 "C4" H 7200 1075 39 0000 L CNN +F 1 "100n" H 7200 925 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7213 850 50 0001 C CNN +F 3 "~" H 7175 1000 50 0001 C CNN + 1 7175 1000 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C5 +U 1 1 5EC44EE6 +P 7400 1000 +F 0 "C5" H 7425 1075 39 0000 L CNN +F 1 "100n" H 7425 925 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7438 850 50 0001 C CNN +F 3 "~" H 7400 1000 50 0001 C CNN + 1 7400 1000 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C6 +U 1 1 5EC456C3 +P 7625 1000 +F 0 "C6" H 7650 1075 39 0000 L CNN +F 1 "100n" H 7650 925 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7663 850 50 0001 C CNN +F 3 "~" H 7625 1000 50 0001 C CNN + 1 7625 1000 + 1 0 0 -1 +$EndComp +Connection ~ 7175 1150 +Connection ~ 7400 1150 +Wire Wire Line + 7400 1150 7175 1150 +Wire Wire Line + 7175 850 7400 850 +Connection ~ 7400 850 +$Comp +L Device:C C3 +U 1 1 5EC47041 +P 6250 1000 +F 0 "C3" H 6275 1075 39 0000 L CNN +F 1 "100n" H 6275 925 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 6288 850 50 0001 C CNN +F 3 "~" H 6250 1000 50 0001 C CNN + 1 6250 1000 + 1 0 0 -1 +$EndComp +Connection ~ 6250 850 +Wire Wire Line + 6250 1150 6725 1150 +Connection ~ 6725 1150 +Wire Wire Line + 6725 1150 7175 1150 +Wire Wire Line + 7625 850 7850 850 +Connection ~ 7625 850 +Wire Wire Line + 7850 1150 7625 1150 +Connection ~ 7625 1150 +$Comp +L power:+5V #PWR026 +U 1 1 5EC7264D +P 1825 4625 +F 0 "#PWR026" H 1825 4475 50 0001 C CNN +F 1 "+5V" H 1825 4775 39 0000 C CNN +F 2 "" H 1825 4625 50 0001 C CNN +F 3 "" H 1825 4625 50 0001 C CNN + 1 1825 4625 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR040 +U 1 1 5EC72B39 +P 1825 7525 +F 0 "#PWR040" H 1825 7275 50 0001 C CNN +F 1 "GND" V 1830 7397 50 0001 R CNN +F 2 "" H 1825 7525 50 0001 C CNN +F 3 "" H 1825 7525 50 0001 C CNN + 1 1825 7525 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR031 +U 1 1 5EC93521 +P 7400 5275 +F 0 "#PWR031" H 7400 5025 50 0001 C CNN +F 1 "GND" V 7405 5147 50 0001 R CNN +F 2 "" H 7400 5275 50 0001 C CNN +F 3 "" H 7400 5275 50 0001 C CNN + 1 7400 5275 + 0 1 1 0 +$EndComp +Text GLabel 1225 6475 0 39 BiDi ~ 0 +D0 +Text GLabel 1225 6575 0 39 BiDi ~ 0 +D1 +Text GLabel 1225 6675 0 39 BiDi ~ 0 +D2 +Text GLabel 1225 6775 0 39 BiDi ~ 0 +D3 +Text GLabel 1225 6875 0 39 BiDi ~ 0 +D4 +Text GLabel 1225 6975 0 39 BiDi ~ 0 +D5 +Text GLabel 1225 7075 0 39 BiDi ~ 0 +D6 +Text GLabel 1225 7175 0 39 BiDi ~ 0 +D7 +$Comp +L power:+9V #PWR022 +U 1 1 5EC9ADDB +P 2175 4325 +F 0 "#PWR022" H 2175 4175 50 0001 C CNN +F 1 "+9V" V 2175 4450 39 0000 L CNN +F 2 "" H 2175 4325 50 0001 C CNN +F 3 "" H 2175 4325 50 0001 C CNN + 1 2175 4325 + 0 1 1 0 +$EndComp +Wire Wire Line + 1925 4475 1925 4575 +$Comp +L power:+12V #PWR021 +U 1 1 5EC9D535 +P 1675 4325 +F 0 "#PWR021" H 1675 4175 50 0001 C CNN +F 1 "+12V" V 1675 4450 39 0000 L CNN +F 2 "" H 1675 4325 50 0001 C CNN +F 3 "" H 1675 4325 50 0001 C CNN + 1 1675 4325 + 0 -1 -1 0 +$EndComp +NoConn ~ 2425 5975 +NoConn ~ 2425 6075 +Text GLabel 1225 4975 0 39 Input ~ 0 +~RST +Text GLabel 1225 5075 0 39 Input ~ 0 +SID_CLK +Text GLabel 1225 5475 0 39 Input ~ 0 +SID_CS +$Comp +L Device:C C2 +U 1 1 5ECC32FD +P 6025 1000 +F 0 "C2" H 6050 1075 39 0000 L CNN +F 1 "100n" H 6050 925 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 6063 850 50 0001 C CNN +F 3 "~" H 6025 1000 50 0001 C CNN + 1 6025 1000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6250 1150 6025 1150 +Connection ~ 6250 1150 +Wire Wire Line + 6025 850 6250 850 +$Comp +L Device:C C8 +U 1 1 5ECC9334 +P 2400 4800 +F 0 "C8" H 2300 4725 39 0000 L CNN +F 1 "100n" H 2225 4875 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 2438 4650 50 0001 C CNN +F 3 "~" H 2400 4800 50 0001 C CNN + 1 2400 4800 + -1 0 0 1 +$EndComp +Connection ~ 1925 4575 +Wire Wire Line + 1925 4575 1925 4625 +$Comp +L power:GND #PWR027 +U 1 1 5ECCEFF1 +P 2400 4950 +F 0 "#PWR027" H 2400 4700 50 0001 C CNN +F 1 "GND" V 2405 4822 50 0001 R CNN +F 2 "" H 2400 4950 50 0001 C CNN +F 3 "" H 2400 4950 50 0001 C CNN + 1 2400 4950 + 1 0 0 -1 +$EndComp +Text GLabel 1225 6275 0 39 Input ~ 0 +~WR +Text GLabel 1225 5675 0 39 Input ~ 0 +A8 +Text GLabel 1225 5775 0 39 Input ~ 0 +A9 +Text GLabel 1225 5875 0 39 Input ~ 0 +A10 +Text GLabel 1225 5975 0 39 Input ~ 0 +A11 +Text GLabel 1225 6075 0 39 Input ~ 0 +A12 +$Comp +L Device:R R22 +U 1 1 5ECEE1DA +P 2800 5475 +F 0 "R22" H 2870 5513 39 0000 L CNN +F 1 "1k" H 2870 5438 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 2730 5475 50 0001 C CNN +F 3 "~" H 2800 5475 50 0001 C CNN + 1 2800 5475 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7400 5375 7400 5425 +Wire Wire Line + 7400 5575 7400 5600 +$Comp +L Device:CP C13 +U 1 1 5ED16DE1 +P 6475 5775 +F 0 "C13" V 6625 5775 39 0000 C CNN +F 1 "10u" V 6325 5775 39 0000 C CNN +F 2 "Capacitor_SMD:CP_Elec_6.3x5.4" H 6513 5625 50 0001 C CNN +F 3 "~" H 6475 5775 50 0001 C CNN + 1 6475 5775 + 0 -1 -1 0 +$EndComp +$Comp +L Device:R R25 +U 1 1 5ED17C32 +P 6775 6050 +F 0 "R25" H 6845 6088 39 0000 L CNN +F 1 "47k" H 6845 6013 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6705 6050 50 0001 C CNN +F 3 "~" H 6775 6050 50 0001 C CNN + 1 6775 6050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6625 5775 7050 5775 +Wire Wire Line + 7050 5775 7050 5900 +Wire Wire Line + 2400 4650 2400 4575 +Wire Wire Line + 1925 4575 2400 4575 +Connection ~ 7050 5775 +Wire Wire Line + 9950 5600 10175 5600 +Wire Wire Line + 10075 5525 10075 5800 +Connection ~ 10075 5800 +Wire Wire Line + 10075 5800 10175 5800 +$Comp +L Device:CP C12 +U 1 1 5EDE5836 +P 6475 5325 +F 0 "C12" V 6625 5325 39 0000 C CNN +F 1 "10u" V 6325 5325 39 0000 C CNN +F 2 "Capacitor_SMD:CP_Elec_6.3x5.4" H 6513 5175 50 0001 C CNN +F 3 "~" H 6475 5325 50 0001 C CNN + 1 6475 5325 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 7400 5600 7050 5600 +Wire Wire Line + 7050 5600 7050 5775 +Connection ~ 7400 5600 +Wire Wire Line + 7400 5600 7400 5675 +Wire Wire Line + 6625 5325 6775 5325 +Wire Wire Line + 7050 5325 7050 5425 +Wire Wire Line + 7050 5425 7400 5425 +Connection ~ 7400 5425 +Wire Wire Line + 7400 5425 7400 5475 +$Comp +L Device:R R26 +U 1 1 5EE04765 +P 7050 6050 +F 0 "R26" H 7120 6088 39 0000 L CNN +F 1 "47k" H 7120 6013 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 6980 6050 50 0001 C CNN +F 3 "~" H 7050 6050 50 0001 C CNN + 1 7050 6050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6775 5900 6775 5325 +Connection ~ 6775 5325 +Wire Wire Line + 6775 5325 7050 5325 +$Comp +L power:GND #PWR035 +U 1 1 5EE0FB31 +P 6775 6200 +F 0 "#PWR035" H 6775 5950 50 0001 C CNN +F 1 "GND" V 6780 6072 50 0001 R CNN +F 2 "" H 6775 6200 50 0001 C CNN +F 3 "" H 6775 6200 50 0001 C CNN + 1 6775 6200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR036 +U 1 1 5EE100C7 +P 7050 6200 +F 0 "#PWR036" H 7050 5950 50 0001 C CNN +F 1 "GND" V 7055 6072 50 0001 R CNN +F 2 "" H 7050 6200 50 0001 C CNN +F 3 "" H 7050 6200 50 0001 C CNN + 1 7050 6200 + 1 0 0 -1 +$EndComp +Text Label 7150 5600 0 50 ~ 0 +L +Text Label 7150 5425 0 50 ~ 0 +R +Text Notes 3225 6550 0 50 ~ 0 +470p for 6581\n22n for 8580 +$Comp +L power:GND #PWR033 +U 1 1 5EF134EA +P 2800 5625 +F 0 "#PWR033" H 2800 5375 50 0001 C CNN +F 1 "GND" V 2805 5497 50 0001 R CNN +F 2 "" H 2800 5625 50 0001 C CNN +F 3 "" H 2800 5625 50 0001 C CNN + 1 2800 5625 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R23 +U 1 1 5EF29653 +P 2475 5625 +F 0 "R23" H 2545 5663 39 0000 L CNN +F 1 "330k" H 2545 5588 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 2405 5625 50 0001 C CNN +F 3 "~" H 2475 5625 50 0001 C CNN + 1 2475 5625 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR034 +U 1 1 5EF29B31 +P 2475 5775 +F 0 "#PWR034" H 2475 5525 50 0001 C CNN +F 1 "GND" V 2480 5647 50 0001 R CNN +F 2 "" H 2475 5775 50 0001 C CNN +F 3 "" H 2475 5775 50 0001 C CNN + 1 2475 5775 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2425 5475 2475 5475 +Wire Wire Line + 2425 5275 2800 5275 +Wire Wire Line + 2800 5325 2800 5275 +Connection ~ 2800 5275 +Wire Wire Line + 5800 825 5800 850 +Connection ~ 6025 850 +$Comp +L my:YM2149 U2 +U 1 1 5EBD8064 +P 1800 2175 +F 0 "U2" H 1400 3475 50 0000 C CNN +F 1 "YM2149" H 2100 3475 50 0000 C CNN +F 2 "Package_DIP:DIP-40_W15.24mm_Socket" H 1800 3775 39 0001 C CNN +F 3 "" H 1800 3775 39 0001 C CNN + 1 1800 2175 + 1 0 0 -1 +$EndComp +Text GLabel 1200 1025 0 39 BiDi ~ 0 +D0 +Text GLabel 1200 1125 0 39 BiDi ~ 0 +D1 +Text GLabel 1200 1225 0 39 BiDi ~ 0 +D2 +Text GLabel 1200 1325 0 39 BiDi ~ 0 +D3 +Text GLabel 1200 1425 0 39 BiDi ~ 0 +D4 +Text GLabel 1200 1525 0 39 BiDi ~ 0 +D5 +Text GLabel 1200 1625 0 39 BiDi ~ 0 +D6 +Text GLabel 1200 1725 0 39 BiDi ~ 0 +D7 +Text GLabel 1200 2475 0 39 Input ~ 0 +~RST +Text GLabel 1200 2125 0 39 Input ~ 0 +AY_BDIR +Text GLabel 1200 2225 0 39 Input ~ 0 +AY_BC1 +$Comp +L power:+5V #PWR01 +U 1 1 5EC57F81 +P 1800 775 +F 0 "#PWR01" H 1800 625 50 0001 C CNN +F 1 "+5V" H 1800 925 39 0000 C CNN +F 2 "" H 1800 775 50 0001 C CNN +F 3 "" H 1800 775 50 0001 C CNN + 1 1800 775 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR017 +U 1 1 5EC5859A +P 1800 3275 +F 0 "#PWR017" H 1800 3025 50 0001 C CNN +F 1 "GND" V 1805 3147 50 0001 R CNN +F 2 "" H 1800 3275 50 0001 C CNN +F 3 "" H 1800 3275 50 0001 C CNN + 1 1800 3275 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR010 +U 1 1 5EC58D74 +P 1200 1875 +F 0 "#PWR010" H 1200 1725 50 0001 C CNN +F 1 "+5V" V 1200 2050 39 0000 C CNN +F 2 "" H 1200 1875 50 0001 C CNN +F 3 "" H 1200 1875 50 0001 C CNN + 1 1200 1875 + 0 -1 -1 0 +$EndComp +$Comp +L power:+5V #PWR015 +U 1 1 5EC59B8A +P 1200 2325 +F 0 "#PWR015" H 1200 2175 50 0001 C CNN +F 1 "+5V" V 1200 2500 39 0000 C CNN +F 2 "" H 1200 2325 50 0001 C CNN +F 3 "" H 1200 2325 50 0001 C CNN + 1 1200 2325 + 0 -1 -1 0 +$EndComp +$Comp +L power:GND #PWR011 +U 1 1 5EC5A24A +P 1200 1975 +F 0 "#PWR011" H 1200 1725 50 0001 C CNN +F 1 "GND" V 1205 1847 50 0001 R CNN +F 2 "" H 1200 1975 50 0001 C CNN +F 3 "" H 1200 1975 50 0001 C CNN + 1 1200 1975 + 0 1 1 0 +$EndComp +NoConn ~ 1200 2675 +Text GLabel 1200 2575 0 39 Input ~ 0 +AY_CLK +NoConn ~ 2400 2725 +NoConn ~ 2400 2825 +NoConn ~ 2400 2925 +NoConn ~ 2400 3025 +NoConn ~ 2400 1525 +NoConn ~ 2400 1625 +NoConn ~ 2400 1725 +NoConn ~ 2400 1825 +NoConn ~ 2400 1925 +NoConn ~ 2400 2025 +NoConn ~ 2400 2125 +NoConn ~ 2400 2225 +NoConn ~ 2400 2325 +NoConn ~ 2400 2425 +NoConn ~ 2400 2525 +NoConn ~ 2400 2625 +NoConn ~ 2400 1375 +Wire Wire Line + 2400 1025 2525 1025 +Wire Wire Line + 2525 1025 2525 775 +Wire Wire Line + 2400 1225 2525 1225 +$Comp +L power:GND #PWR032 +U 1 1 5EF13D92 +P 5650 5500 +F 0 "#PWR032" H 5650 5250 50 0001 C CNN +F 1 "GND" V 5655 5372 50 0001 R CNN +F 2 "" H 5650 5500 50 0001 C CNN +F 3 "" H 5650 5500 50 0001 C CNN + 1 5650 5500 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR037 +U 1 1 5EF13ABD +P 5050 6300 +F 0 "#PWR037" H 5050 6050 50 0001 C CNN +F 1 "GND" V 5055 6172 50 0001 R CNN +F 2 "" H 5050 6300 50 0001 C CNN +F 3 "" H 5050 6300 50 0001 C CNN + 1 5050 6300 + 1 0 0 -1 +$EndComp +$Comp +L Transistor_BJT:BC847 Q2 +U 1 1 5EDA1A0C +P 5550 5975 +F 0 "Q2" H 5741 6013 39 0000 L CNN +F 1 "BC847" H 5741 5938 39 0000 L CNN +F 2 "Package_TO_SOT_SMD:SOT-23_Handsoldering" H 5750 5900 50 0001 L CIN +F 3 "http://www.infineon.com/dgdl/Infineon-BC847SERIES_BC848SERIES_BC849SERIES_BC850SERIES-DS-v01_01-en.pdf?fileId=db3a304314dca389011541d4630a1657" H 5550 5975 50 0001 L CNN + 1 5550 5975 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5050 5975 5300 5975 +Wire Wire Line + 5050 6000 5050 5975 +Connection ~ 5300 5975 +Wire Wire Line + 5300 6000 5300 5975 +Wire Wire Line + 5300 5975 5350 5975 +Wire Wire Line + 5650 6300 5650 6200 +Connection ~ 5650 6300 +Wire Wire Line + 5300 6300 5650 6300 +Wire Wire Line + 5650 4575 5650 4650 +Wire Wire Line + 5650 6200 5650 6175 +Connection ~ 5650 6200 +Wire Wire Line + 5650 6325 5650 6300 +$Comp +L Device:R R28 +U 1 1 5ED14C21 +P 5650 6475 +F 0 "R28" H 5720 6513 39 0000 L CNN +F 1 "1k" H 5720 6438 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5580 6475 50 0001 C CNN +F 3 "~" H 5650 6475 50 0001 C CNN + 1 5650 6475 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C15 +U 1 1 5ED14938 +P 5300 6150 +F 0 "C15" H 5325 6225 39 0000 L CNN +F 1 "470p" H 5325 6075 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5338 6000 50 0001 C CNN +F 3 "~" H 5300 6150 50 0001 C CNN + 1 5300 6150 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C14 +U 1 1 5ECEF0B6 +P 5050 6150 +F 0 "C14" H 5075 6225 39 0000 L CNN +F 1 "1n" H 5075 6075 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5088 6000 50 0001 C CNN +F 3 "~" H 5050 6150 50 0001 C CNN + 1 5050 6150 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR039 +U 1 1 5F02943B +P 5650 6625 +F 0 "#PWR039" H 5650 6375 50 0001 C CNN +F 1 "GND" V 5655 6497 50 0001 R CNN +F 2 "" H 5650 6625 50 0001 C CNN +F 3 "" H 5650 6625 50 0001 C CNN + 1 5650 6625 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR029 +U 1 1 5F029441 +P 5050 5175 +F 0 "#PWR029" H 5050 4925 50 0001 C CNN +F 1 "GND" V 5055 5047 50 0001 R CNN +F 2 "" H 5050 5175 50 0001 C CNN +F 3 "" H 5050 5175 50 0001 C CNN + 1 5050 5175 + 1 0 0 -1 +$EndComp +$Comp +L Transistor_BJT:BC847 Q1 +U 1 1 5F029448 +P 5550 4850 +F 0 "Q1" H 5741 4888 39 0000 L CNN +F 1 "BC847" H 5741 4813 39 0000 L CNN +F 2 "Package_TO_SOT_SMD:SOT-23_Handsoldering" H 5750 4775 50 0001 L CIN +F 3 "http://www.infineon.com/dgdl/Infineon-BC847SERIES_BC848SERIES_BC849SERIES_BC850SERIES-DS-v01_01-en.pdf?fileId=db3a304314dca389011541d4630a1657" H 5550 4850 50 0001 L CNN + 1 5550 4850 + 1 0 0 -1 +$EndComp +Connection ~ 5300 4850 +Wire Wire Line + 5300 4875 5300 4850 +Wire Wire Line + 5300 4850 5350 4850 +Wire Wire Line + 5650 5175 5650 5075 +Connection ~ 5650 5175 +Wire Wire Line + 5300 5175 5650 5175 +Wire Wire Line + 5650 5075 5650 5050 +Connection ~ 5650 5075 +Wire Wire Line + 5650 5200 5650 5175 +$Comp +L Device:R R19 +U 1 1 5F029459 +P 5650 5350 +F 0 "R19" H 5720 5388 39 0000 L CNN +F 1 "1k" H 5720 5313 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 5580 5350 50 0001 C CNN +F 3 "~" H 5650 5350 50 0001 C CNN + 1 5650 5350 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C11 +U 1 1 5F02945F +P 5300 5025 +F 0 "C11" H 5325 5100 39 0000 L CNN +F 1 "470p" H 5325 4950 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5338 4875 50 0001 C CNN +F 3 "~" H 5300 5025 50 0001 C CNN + 1 5300 5025 + 1 0 0 -1 +$EndComp +$Comp +L Device:C C10 +U 1 1 5F029465 +P 5050 5025 +F 0 "C10" H 5075 5100 39 0000 L CNN +F 1 "1n" H 5075 4950 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 5088 4875 50 0001 C CNN +F 3 "~" H 5050 5025 50 0001 C CNN + 1 5050 5025 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3175 4850 3075 4850 +Wire Wire Line + 3075 4850 3075 5275 +Wire Wire Line + 2800 5275 3075 5275 +$Comp +L Device:R R24 +U 1 1 5F037D76 +P 3325 5975 +F 0 "R24" V 3142 5975 39 0000 C CNN +F 1 "22k" V 3217 5975 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3255 5975 50 0001 C CNN +F 3 "~" H 3325 5975 50 0001 C CNN + 1 3325 5975 + 0 1 1 0 +$EndComp +Wire Wire Line + 3075 5975 3175 5975 +Wire Wire Line + 3075 5275 3075 5975 +Connection ~ 3075 5275 +Wire Wire Line + 4850 5775 4850 4575 +Wire Wire Line + 4850 5775 5650 5775 +Connection ~ 4850 4575 +Wire Wire Line + 4850 4575 5650 4575 +Wire Wire Line + 1200 3975 1200 4025 +Connection ~ 1200 3975 +Wire Wire Line + 1200 3975 2625 3975 +Wire Wire Line + 1200 3775 1200 3825 +Connection ~ 1200 3775 +Wire Wire Line + 1200 3775 2900 3775 +Wire Wire Line + 1200 3925 1200 3975 +Wire Wire Line + 1200 3725 1200 3775 +$Comp +L power:GND #PWR020 +U 1 1 5EE431E4 +P 1200 3625 +F 0 "#PWR020" H 1200 3375 50 0001 C CNN +F 1 "GND" V 1205 3497 50 0001 R CNN +F 2 "" H 1200 3625 50 0001 C CNN +F 3 "" H 1200 3625 50 0001 C CNN + 1 1200 3625 + 0 -1 1 0 +$EndComp +$Comp +L Connector:AudioJack3_SwitchTR J2 +U 1 1 5EBF7652 +P 1000 3725 +F 0 "J2" H 720 3650 50 0000 R CNN +F 1 "PJ307" H 720 3559 50 0001 R CNN +F 2 "my:SWITCHCRAFT_35RAPC4BHN2" H 1000 3725 50 0001 C CNN +F 3 "~" H 1000 3725 50 0001 C CNN + 1 1000 3725 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3475 4850 3750 4850 +Wire Wire Line + 3325 3975 3750 3975 +Wire Wire Line + 6125 5775 6325 5775 +Wire Wire Line + 6125 5325 6325 5325 +Wire Wire Line + 6125 5075 6125 5325 +Wire Wire Line + 5650 6200 6125 6200 +Wire Wire Line + 6125 6200 6125 5775 +Wire Wire Line + 5650 5075 6125 5075 +Wire Wire Line + 2650 6750 2750 6750 +Wire Wire Line + 2750 6250 2650 6250 +Wire Wire Line + 2425 6950 3100 6950 +Wire Wire Line + 3100 6950 3100 6750 +Wire Wire Line + 3100 6250 3050 6250 +Wire Wire Line + 2425 6775 2425 6950 +Wire Wire Line + 3050 6750 3100 6750 +Connection ~ 3100 6750 +Wire Wire Line + 3100 6750 3100 6250 +Wire Wire Line + 2425 6675 2425 6500 +Wire Wire Line + 2425 6500 2500 6500 +Wire Wire Line + 3275 7300 3375 7300 +Wire Wire Line + 3375 6800 3275 6800 +Wire Wire Line + 3725 7500 3725 7300 +Wire Wire Line + 3725 6800 3675 6800 +Wire Wire Line + 3675 7300 3725 7300 +Connection ~ 3725 7300 +Wire Wire Line + 3725 7300 3725 6800 +Wire Wire Line + 2425 7075 2425 7050 +Wire Wire Line + 2425 7050 3125 7050 +Wire Wire Line + 2425 7175 2425 7500 +Wire Wire Line + 2425 7500 3725 7500 +Text Notes 1050 4600 0 50 ~ 0 ++12 for 6581\n+9 for 8580 +$Comp +L Device:R R16 +U 1 1 5F2EF5AA +P 2625 4200 +F 0 "R16" H 2695 4238 39 0000 L CNN +F 1 "10k" H 2695 4163 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 2555 4200 50 0001 C CNN +F 3 "~" H 2625 4200 50 0001 C CNN + 1 2625 4200 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R17 +U 1 1 5F2EFD06 +P 2900 4200 +F 0 "R17" H 2970 4238 39 0000 L CNN +F 1 "10k" H 2970 4163 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 2830 4200 50 0001 C CNN +F 3 "~" H 2900 4200 50 0001 C CNN + 1 2900 4200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR023 +U 1 1 5F2F00CB +P 2625 4350 +F 0 "#PWR023" H 2625 4100 50 0001 C CNN +F 1 "GND" V 2630 4222 50 0001 R CNN +F 2 "" H 2625 4350 50 0001 C CNN +F 3 "" H 2625 4350 50 0001 C CNN + 1 2625 4350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR024 +U 1 1 5F2F0470 +P 2900 4350 +F 0 "#PWR024" H 2900 4100 50 0001 C CNN +F 1 "GND" V 2905 4222 50 0001 R CNN +F 2 "" H 2900 4350 50 0001 C CNN +F 3 "" H 2900 4350 50 0001 C CNN + 1 2900 4350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2625 4050 2625 3975 +Wire Wire Line + 2900 4050 2900 3775 +Connection ~ 2900 3775 +Wire Wire Line + 2900 3775 3300 3775 +$Comp +L Device:R R11 +U 1 1 5F30780A +P 2625 3525 +F 0 "R11" H 2695 3563 39 0000 L CNN +F 1 "10k" H 2695 3488 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 2555 3525 50 0001 C CNN +F 3 "~" H 2625 3525 50 0001 C CNN + 1 2625 3525 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R12 +U 1 1 5F307810 +P 2900 3525 +F 0 "R12" H 2970 3563 39 0000 L CNN +F 1 "10k" H 2970 3488 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 2830 3525 50 0001 C CNN +F 3 "~" H 2900 3525 50 0001 C CNN + 1 2900 3525 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2900 3675 2900 3775 +Wire Wire Line + 2625 3675 2625 3975 +$Comp +L power:+5V #PWR018 +U 1 1 5F329A7E +P 2625 3375 +F 0 "#PWR018" H 2625 3225 50 0001 C CNN +F 1 "+5V" H 2625 3525 39 0000 C CNN +F 2 "" H 2625 3375 50 0001 C CNN +F 3 "" H 2625 3375 50 0001 C CNN + 1 2625 3375 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR019 +U 1 1 5F32A24A +P 2900 3375 +F 0 "#PWR019" H 2900 3225 50 0001 C CNN +F 1 "+5V" H 2900 3525 39 0000 C CNN +F 2 "" H 2900 3375 50 0001 C CNN +F 3 "" H 2900 3375 50 0001 C CNN + 1 2900 3375 + 1 0 0 -1 +$EndComp +Connection ~ 2625 3975 +Wire Wire Line + 2625 3975 3025 3975 +$Comp +L Device:R R15 +U 1 1 5F0CE256 +P 3175 3975 +F 0 "R15" V 3075 3925 39 0000 L CNN +F 1 "10k" V 3250 3925 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3105 3975 50 0001 C CNN +F 3 "~" H 3175 3975 50 0001 C CNN + 1 3175 3975 + 0 1 1 0 +$EndComp +$Comp +L Device:R R13 +U 1 1 5EE4CBDE +P 3450 3775 +F 0 "R13" V 3350 3725 39 0000 L CNN +F 1 "10k" V 3525 3725 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3380 3775 50 0001 C CNN +F 3 "~" H 3450 3775 50 0001 C CNN + 1 3450 3775 + 0 1 1 0 +$EndComp +$Comp +L Device:C C16 +U 1 1 5F1C226B +P 2900 6250 +F 0 "C16" V 2750 6200 39 0000 L CNN +F 1 "470p" V 3050 6175 39 0000 L CNN +F 2 "Capacitor_THT:C_Rect_L7.0mm_W4.5mm_P5.00mm" H 2938 6100 50 0001 C CNN +F 3 "~" H 2900 6250 50 0001 C CNN + 1 2900 6250 + 0 1 1 0 +$EndComp +$Comp +L Device:C C17 +U 1 1 5ECB2CAD +P 2900 6750 +F 0 "C17" V 3050 6725 39 0000 L CNN +F 1 "22n" V 2750 6675 39 0000 L CNN +F 2 "Capacitor_THT:C_Rect_L7.0mm_W2.5mm_P5.00mm" H 2938 6600 50 0001 C CNN +F 3 "~" H 2900 6750 50 0001 C CNN + 1 2900 6750 + 0 -1 -1 0 +$EndComp +$Comp +L Device:C C18 +U 1 1 5F289A85 +P 3525 6800 +F 0 "C18" V 3375 6750 39 0000 L CNN +F 1 "470p" V 3675 6725 39 0000 L CNN +F 2 "Capacitor_THT:C_Rect_L7.0mm_W4.5mm_P5.00mm" H 3563 6650 50 0001 C CNN +F 3 "~" H 3525 6800 50 0001 C CNN + 1 3525 6800 + 0 1 1 0 +$EndComp +$Comp +L Device:C C19 +U 1 1 5F289A8B +P 3525 7300 +F 0 "C19" V 3675 7275 39 0000 L CNN +F 1 "22n" V 3375 7225 39 0000 L CNN +F 2 "Capacitor_THT:C_Rect_L7.0mm_W2.5mm_P5.00mm" H 3563 7150 50 0001 C CNN +F 3 "~" H 3525 7300 50 0001 C CNN + 1 3525 7300 + 0 -1 -1 0 +$EndComp +$Comp +L power:GND #PWR012 +U 1 1 5EE73C30 +P 3850 2025 +F 0 "#PWR012" H 3850 1775 50 0001 C CNN +F 1 "GND" V 3855 1897 50 0001 R CNN +F 2 "" H 3850 2025 50 0001 C CNN +F 3 "" H 3850 2025 50 0001 C CNN + 1 3850 2025 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR013 +U 1 1 5EE73EC9 +P 4125 2025 +F 0 "#PWR013" H 4125 1775 50 0001 C CNN +F 1 "GND" V 4130 1897 50 0001 R CNN +F 2 "" H 4125 2025 50 0001 C CNN +F 3 "" H 4125 2025 50 0001 C CNN + 1 4125 2025 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R9 +U 1 1 5EE83323 +P 4125 1875 +F 0 "R9" H 4195 1913 39 0000 L CNN +F 1 "1k" H 4195 1838 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4055 1875 50 0001 C CNN +F 3 "~" H 4125 1875 50 0001 C CNN + 1 4125 1875 + 1 0 0 -1 +$EndComp +$Comp +L Device:R R8 +U 1 1 5EE83329 +P 3850 1875 +F 0 "R8" H 3920 1913 39 0000 L CNN +F 1 "1k" H 3920 1838 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3780 1875 50 0001 C CNN +F 3 "~" H 3850 1875 50 0001 C CNN + 1 3850 1875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2525 775 3125 775 +Text Label 3625 1525 0 50 ~ 0 +AY_R +Text Label 3625 925 0 50 ~ 0 +AY_L +Wire Wire Line + 3525 925 4125 925 +Wire Wire Line + 3850 1725 3850 1525 +Wire Wire Line + 4125 925 4225 925 +Connection ~ 4125 925 +Wire Wire Line + 4525 925 4700 925 +Wire Wire Line + 4525 1525 4600 1525 +Wire Wire Line + 3850 1525 4225 1525 +$Comp +L Jumper:Jumper_3_Open JP3 +U 1 1 5EC9A23A +P 1925 4325 +F 0 "JP3" H 1925 4450 39 0000 C CNN +F 1 "Jumper_3_Open" H 1925 4458 39 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 1925 4325 50 0001 C CNN +F 3 "~" H 1925 4325 50 0001 C CNN + 1 1925 4325 + 1 0 0 -1 +$EndComp +$Comp +L Jumper:Jumper_3_Open JP4 +U 1 1 5F1C0BA4 +P 2650 6500 +F 0 "JP4" V 2650 6586 39 0000 L CNN +F 1 "Jumper_3_Open" H 2650 6625 39 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 2650 6500 50 0001 C CNN +F 3 "~" H 2650 6500 50 0001 C CNN + 1 2650 6500 + 0 1 1 0 +$EndComp +$Comp +L Jumper:Jumper_3_Open JP5 +U 1 1 5F289A7F +P 3275 7050 +F 0 "JP5" V 3275 7136 39 0000 L CNN +F 1 "Jumper_3_Open" H 3275 7175 39 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 3275 7050 50 0001 C CNN +F 3 "~" H 3275 7050 50 0001 C CNN + 1 3275 7050 + 0 1 1 0 +$EndComp +$Comp +L Jumper:Jumper_3_Open JP1 +U 1 1 5F35B0A3 +P 2800 1325 +F 0 "JP1" H 2800 1450 39 0000 C CNN +F 1 "Jumper_3_Open" H 2800 1458 39 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 2800 1325 50 0001 C CNN +F 3 "~" H 2800 1325 50 0001 C CNN + 1 2800 1325 + 0 1 1 0 +$EndComp +$Comp +L Jumper:Jumper_3_Open JP2 +U 1 1 5F366AF7 +P 2800 2000 +F 0 "JP2" H 2800 2125 39 0000 C CNN +F 1 "Jumper_3_Open" H 2800 2133 39 0001 C CNN +F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 2800 2000 50 0001 C CNN +F 3 "~" H 2800 2000 50 0001 C CNN + 1 2800 2000 + 0 1 1 0 +$EndComp +Wire Wire Line + 2400 1125 2650 1125 +Wire Wire Line + 2650 1125 2650 1325 +Wire Wire Line + 2525 2000 2650 2000 +Wire Wire Line + 2525 1225 2525 2000 +Text Notes 2875 2500 0 50 ~ 0 +1-2 ABC\n2-3 ACB +Wire Wire Line + 4600 1525 4600 2300 +Wire Wire Line + 4700 925 4700 2400 +Wire Wire Line + 4600 2300 4100 2300 +Wire Wire Line + 4700 2400 4200 2400 +Wire Wire Line + 4200 2400 4200 5975 +Connection ~ 4200 5975 +$Comp +L Device:R R1 +U 1 1 5F48A2B5 +P 3275 775 +F 0 "R1" V 3100 775 39 0000 C CNN +F 1 "1k" V 3175 775 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3205 775 50 0001 C CNN +F 3 "~" H 3275 775 50 0001 C CNN + 1 3275 775 + 0 1 1 0 +$EndComp +$Comp +L Device:R R7 +U 1 1 5F48AC9D +P 3275 1675 +F 0 "R7" V 3100 1675 39 0000 C CNN +F 1 "1k" V 3175 1675 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3205 1675 50 0001 C CNN +F 3 "~" H 3275 1675 50 0001 C CNN + 1 3275 1675 + 0 1 1 0 +$EndComp +$Comp +L Device:R R5 +U 1 1 5F48B2EB +P 3275 1375 +F 0 "R5" V 3100 1375 39 0000 C CNN +F 1 "2k" V 3175 1375 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3205 1375 50 0001 C CNN +F 3 "~" H 3275 1375 50 0001 C CNN + 1 3275 1375 + 0 1 1 0 +$EndComp +$Comp +L Device:R R3 +U 1 1 5F48BE36 +P 3275 1075 +F 0 "R3" V 3100 1075 39 0000 C CNN +F 1 "2k" V 3175 1075 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3205 1075 50 0001 C CNN +F 3 "~" H 3275 1075 50 0001 C CNN + 1 3275 1075 + 0 1 1 0 +$EndComp +$Comp +L Device:R R2 +U 1 1 5F4DBEB0 +P 4375 925 +F 0 "R2" V 4200 925 39 0000 C CNN +F 1 "10k" V 4275 925 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4305 925 50 0001 C CNN +F 3 "~" H 4375 925 50 0001 C CNN + 1 4375 925 + 0 1 1 0 +$EndComp +$Comp +L Device:R R6 +U 1 1 5F4DC34A +P 4375 1525 +F 0 "R6" V 4200 1525 39 0000 C CNN +F 1 "10k" V 4275 1525 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4305 1525 50 0001 C CNN +F 3 "~" H 4375 1525 50 0001 C CNN + 1 4375 1525 + 0 1 1 0 +$EndComp +Wire Wire Line + 3425 775 3525 775 +Wire Wire Line + 3425 1075 3525 1075 +Connection ~ 3525 925 +Wire Wire Line + 3525 925 3525 1075 +Wire Wire Line + 4125 1725 4125 925 +Wire Wire Line + 3525 775 3525 925 +Wire Wire Line + 3425 1375 3525 1375 +Wire Wire Line + 3525 1375 3525 1525 +Wire Wire Line + 3525 1675 3425 1675 +Wire Wire Line + 3125 1075 3075 1075 +Wire Wire Line + 3075 1075 3075 1225 +Wire Wire Line + 3075 1375 3125 1375 +Wire Wire Line + 3525 1525 3850 1525 +Connection ~ 3525 1525 +Wire Wire Line + 3525 1525 3525 1675 +Connection ~ 3850 1525 +Wire Wire Line + 2800 2250 3025 2250 +Wire Wire Line + 3025 2250 3025 1225 +Wire Wire Line + 3025 1225 3075 1225 +Connection ~ 3075 1225 +Wire Wire Line + 3075 1225 3075 1375 +Wire Wire Line + 2800 1075 3025 1075 +Wire Wire Line + 3025 1075 3025 1225 +Connection ~ 3025 1225 +Wire Wire Line + 3125 1675 2800 1675 +Wire Wire Line + 2800 1575 2800 1675 +Connection ~ 2800 1675 +Wire Wire Line + 2800 1675 2800 1750 +$Comp +L power:GND #PWR05 +U 1 1 5EC01DCD +P 6725 1150 +F 0 "#PWR05" H 6725 900 50 0001 C CNN +F 1 "GND" V 6730 1022 50 0001 R CNN +F 2 "" H 6725 1150 50 0001 C CNN +F 3 "" H 6725 1150 50 0001 C CNN + 1 6725 1150 + 1 0 0 -1 +$EndComp +$Comp +L my:zx_edge J1 +U 1 1 5EBD99BA +P 10350 2175 +F 0 "J1" H 10400 3692 39 0001 C CNN +F 1 "zx_edge" H 10400 3601 39 0001 C CNN +F 2 "my:ZX_CONN" H 10350 2175 50 0001 C CNN +F 3 "~" H 10350 2175 50 0001 C CNN + 1 10350 2175 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR07 +U 1 1 5EBE31BF +P 10150 1375 +F 0 "#PWR07" H 10150 1125 50 0001 C CNN +F 1 "GND" V 10155 1247 50 0001 R CNN +F 2 "" H 10150 1375 50 0001 C CNN +F 3 "" H 10150 1375 50 0001 C CNN + 1 10150 1375 + 0 1 1 0 +$EndComp +$Comp +L power:GND #PWR08 +U 1 1 5EBE3895 +P 10150 1475 +F 0 "#PWR08" H 10150 1225 50 0001 C CNN +F 1 "GND" V 10155 1347 50 0001 R CNN +F 2 "" H 10150 1475 50 0001 C CNN +F 3 "" H 10150 1475 50 0001 C CNN + 1 10150 1475 + 0 1 1 0 +$EndComp +$Comp +L power:GND #PWR014 +U 1 1 5EBE3C0B +P 10150 2175 +F 0 "#PWR014" H 10150 1925 50 0001 C CNN +F 1 "GND" V 10155 2047 50 0001 R CNN +F 2 "" H 10150 2175 50 0001 C CNN +F 3 "" H 10150 2175 50 0001 C CNN + 1 10150 2175 + 0 1 1 0 +$EndComp +$Comp +L power:+5V #PWR04 +U 1 1 5EBEA977 +P 10150 1075 +F 0 "#PWR04" H 10150 925 50 0001 C CNN +F 1 "+5V" V 10150 1200 39 0000 L CNN +F 2 "" H 10150 1075 50 0001 C CNN +F 3 "" H 10150 1075 50 0001 C CNN + 1 10150 1075 + 0 -1 -1 0 +$EndComp +$Comp +L power:+9V #PWR06 +U 1 1 5EBEB4A3 +P 10150 1175 +F 0 "#PWR06" H 10150 1025 50 0001 C CNN +F 1 "+9V" V 10150 1300 39 0000 L CNN +F 2 "" H 10150 1175 50 0001 C CNN +F 3 "" H 10150 1175 50 0001 C CNN + 1 10150 1175 + 0 -1 -1 0 +$EndComp +$Comp +L power:+12V #PWR016 +U 1 1 5EBEBCEC +P 10650 2975 +F 0 "#PWR016" H 10650 2825 50 0001 C CNN +F 1 "+12V" V 10650 3100 39 0000 L CNN +F 2 "" H 10650 2975 50 0001 C CNN +F 3 "" H 10650 2975 50 0001 C CNN + 1 10650 2975 + 0 1 1 0 +$EndComp +Text GLabel 10150 875 0 39 Output ~ 0 +A14 +Text GLabel 10150 975 0 39 Output ~ 0 +A12 +Text GLabel 10650 875 2 39 Output ~ 0 +A15 +Text GLabel 10650 975 2 39 Output ~ 0 +A13 +NoConn ~ 10650 1175 +Text GLabel 10650 1075 2 39 Output ~ 0 +D7 +Text GLabel 10150 1575 0 39 Output ~ 0 +~CLKCPU +Text GLabel 10150 1675 0 39 Output ~ 0 +A0 +Text GLabel 10150 1775 0 39 Output ~ 0 +A1 +Text GLabel 10150 1875 0 39 Output ~ 0 +A2 +Text GLabel 10150 1975 0 39 Output ~ 0 +A3 +Text GLabel 10150 2075 0 39 Input ~ 0 +~IORQGE +NoConn ~ 10150 2275 +NoConn ~ 10150 2375 +NoConn ~ 10150 2475 +NoConn ~ 10150 2575 +NoConn ~ 10150 2675 +Text GLabel 10150 2775 0 39 Output ~ 0 +~RST +Text GLabel 10150 2875 0 39 Output ~ 0 +A7 +Text GLabel 10150 2975 0 39 Output ~ 0 +A6 +Text GLabel 10150 3075 0 39 Output ~ 0 +A5 +Text GLabel 10150 3175 0 39 Output ~ 0 +A4 +NoConn ~ 10150 3275 +NoConn ~ 10150 3375 +Text GLabel 10150 3475 0 39 Output ~ 0 +A9 +NoConn ~ 10650 3275 +Text GLabel 10150 3575 0 39 Output ~ 0 +A11 +Text GLabel 10650 1375 2 39 BiDi ~ 0 +D0 +Text GLabel 10650 1475 2 39 BiDi ~ 0 +D1 +Text GLabel 10650 1575 2 39 BiDi ~ 0 +D2 +Text GLabel 10650 1675 2 39 BiDi ~ 0 +D6 +Text GLabel 10650 1775 2 39 BiDi ~ 0 +D5 +Text GLabel 10650 1875 2 39 BiDi ~ 0 +D3 +Text GLabel 10650 1975 2 39 BiDi ~ 0 +D4 +NoConn ~ 10650 2075 +NoConn ~ 10650 2175 +NoConn ~ 10650 2275 +NoConn ~ 10650 2375 +Text GLabel 10650 2475 2 39 Output ~ 0 +~IORQ +Text GLabel 10650 2575 2 39 Output ~ 0 +~RD +Text GLabel 10650 2675 2 39 Output ~ 0 +~WR +NoConn ~ 10650 2875 +NoConn ~ 10650 3075 +NoConn ~ 10650 3375 +Text GLabel 10650 3475 2 39 Output ~ 0 +A8 +Text GLabel 10650 3575 2 39 Output ~ 0 +A10 +Connection ~ 4100 4850 +Wire Wire Line + 4100 2300 4100 4850 +Wire Wire Line + 5050 4875 5050 4850 +Connection ~ 5050 4850 +Wire Wire Line + 5050 4850 5300 4850 +$Comp +L Device:R R18 +U 1 1 5ECEE81A +P 3325 4850 +F 0 "R18" V 3142 4850 39 0000 C CNN +F 1 "22k" V 3217 4850 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3255 4850 50 0001 C CNN +F 3 "~" H 3325 4850 50 0001 C CNN + 1 3325 4850 + 0 1 1 0 +$EndComp +Connection ~ 5050 5975 +$Comp +L Device:R R4 +U 1 1 5FA48228 +P 3675 1225 +F 0 "R4" H 3745 1263 39 0000 L CNN +F 1 "4.7k" H 3745 1188 39 0000 L CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 3605 1225 50 0001 C CNN +F 3 "~" H 3675 1225 50 0001 C CNN +F 4 "Optional" H 3875 1125 39 0000 C CIN "Desc" + 1 3675 1225 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3525 1375 3675 1375 +Connection ~ 3525 1375 +Wire Wire Line + 3675 1075 3525 1075 +Connection ~ 3525 1075 +$Comp +L Device:C C7 +U 1 1 5EC49387 +P 7850 1000 +F 0 "C7" H 7875 1075 39 0000 L CNN +F 1 "100n" H 7875 925 39 0000 L CNN +F 2 "Capacitor_SMD:C_0603_1608Metric_Pad1.05x0.95mm_HandSolder" H 7888 850 50 0001 C CNN +F 3 "~" H 7850 1000 50 0001 C CNN + 1 7850 1000 + 1 0 0 -1 +$EndComp +Text GLabel 10650 3175 2 39 Output ~ 0 +~M1 +NoConn ~ 10650 2775 +$Comp +L Device:CP C1 +U 1 1 5EC425BD +P 5800 1000 +F 0 "C1" H 5825 1075 39 0000 L CNN +F 1 "100u/16V" H 5650 925 39 0000 L CNN +F 2 "Capacitor_Tantalum_SMD:CP_EIA-6032-15_Kemet-U_Pad2.25x2.35mm_HandSolder" H 5838 850 50 0001 C CNN +F 3 "~" H 5800 1000 50 0001 C CNN + 1 5800 1000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5800 1150 6025 1150 +Connection ~ 6025 1150 +Wire Wire Line + 6025 850 5800 850 +Connection ~ 5800 850 +$Comp +L Device:CP C9 +U 1 1 5ECB8113 +P 2725 4800 +F 0 "C9" H 2750 4875 39 0000 L CNN +F 1 "100u/16V" H 2725 4725 39 0000 L CNN +F 2 "Capacitor_Tantalum_SMD:CP_EIA-6032-15_Kemet-U_Pad2.25x2.35mm_HandSolder" H 2763 4650 50 0001 C CNN +F 3 "~" H 2725 4800 50 0001 C CNN + 1 2725 4800 + 1 0 0 -1 +$EndComp +Connection ~ 2400 4575 +Wire Wire Line + 2400 4575 2725 4575 +Wire Wire Line + 2725 4650 2725 4575 +Connection ~ 2725 4575 +Wire Wire Line + 2725 4575 4850 4575 +$Comp +L power:GND #PWR028 +U 1 1 5ECD82D6 +P 2725 4950 +F 0 "#PWR028" H 2725 4700 50 0001 C CNN +F 1 "GND" V 2730 4822 50 0001 R CNN +F 2 "" H 2725 4950 50 0001 C CNN +F 3 "" H 2725 4950 50 0001 C CNN + 1 2725 4950 + 1 0 0 -1 +$EndComp +$Comp +L EPM3064A-44TQFP_EPM3128-100TQFP:EPM3064A-44TQFP U3 +U 1 1 5EBDD954 +P 7525 3100 +F 0 "U3" H 7000 4400 60 0000 C CNN +F 1 "EPM3064ATC44-10N" H 8200 4400 60 0000 C CNN +F 2 "my:QFP80P1200X1200X120-44N" H 10175 3450 60 0001 C CNN +F 3 "" H 10175 3450 60 0000 C CNN + 1 7525 3100 + 1 0 0 -1 +$EndComp +Text GLabel 8275 3950 2 39 Input ~ 0 +D0 +Text GLabel 6775 2800 0 39 Input ~ 0 +TCK +Text GLabel 6775 2900 0 39 Output ~ 0 +TDO +Text GLabel 6775 2700 0 39 Input ~ 0 +TMS +Text GLabel 6775 2600 0 39 Input ~ 0 +TDI +Text GLabel 6775 2100 0 39 Input ~ 0 +~CLKCPU +Text GLabel 6775 2200 0 39 Input ~ 0 +~RST +$Comp +L power:+3.3V #PWR09 +U 1 1 5EC3D8A9 +P 7375 1750 +F 0 "#PWR09" H 7375 1600 50 0001 C CNN +F 1 "+3.3V" H 7390 1923 50 0000 C CNN +F 2 "" H 7375 1750 50 0001 C CNN +F 3 "" H 7375 1750 50 0001 C CNN + 1 7375 1750 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7375 1750 7475 1750 +Connection ~ 7375 1750 +Connection ~ 7475 1750 +Wire Wire Line + 7475 1750 7575 1750 +Connection ~ 7575 1750 +Wire Wire Line + 7575 1750 7675 1750 +$Comp +L power:GND #PWR025 +U 1 1 5EC3E6D1 +P 7275 4400 +F 0 "#PWR025" H 7275 4150 50 0001 C CNN +F 1 "GND" V 7280 4272 50 0001 R CNN +F 2 "" H 7275 4400 50 0001 C CNN +F 3 "" H 7275 4400 50 0001 C CNN + 1 7275 4400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7275 4400 7375 4400 +Connection ~ 7275 4400 +Connection ~ 7375 4400 +Wire Wire Line + 7375 4400 7475 4400 +Connection ~ 7475 4400 +Wire Wire Line + 7475 4400 7575 4400 +Connection ~ 7575 4400 +Wire Wire Line + 7575 4400 7675 4400 +Connection ~ 7675 4400 +Wire Wire Line + 7675 4400 7775 4400 +Text GLabel 6775 2400 0 39 Input ~ 0 +~IORQ +Text GLabel 6775 3650 0 39 Input ~ 0 +A1 +Text GLabel 8275 3850 2 39 Input ~ 0 +A14 +Text GLabel 8275 3750 2 39 Input ~ 0 +A15 +Text GLabel 8275 2800 2 39 Output ~ 0 +AY_BDIR +Text GLabel 8275 2700 2 39 Output ~ 0 +AY_BC1 +Text GLabel 8275 2900 2 39 Output ~ 0 +AY_CLK +Text GLabel 6775 2300 0 39 Input ~ 0 +~WR +Text GLabel 8275 3100 2 39 Output ~ 0 +SID_CLK +Text GLabel 8275 3000 2 39 Output ~ 0 +SID_CS +Text GLabel 8275 3550 2 39 Input ~ 0 +A0 +Text GLabel 6775 3450 0 39 Input ~ 0 +A2 +Text GLabel 6775 3950 0 39 Input ~ 0 +A3 +Text GLabel 8275 2400 2 39 Input ~ 0 +A4 +Text GLabel 8275 2200 2 39 Input ~ 0 +A6 +Text GLabel 8275 2100 2 39 Input ~ 0 +A7 +Text GLabel 8275 2300 2 39 Input ~ 0 +A5 +Text GLabel 8275 2000 2 39 Output ~ 0 +~IORQGE +Text GLabel 8275 2500 2 39 Input ~ 0 +~M1 +Text GLabel 8275 3200 2 39 Output ~ 0 +DAC +Text GLabel 8275 3650 2 39 Input ~ 0 +D7 +Wire Wire Line + 3750 3975 3750 4850 +Connection ~ 3750 4850 +Wire Wire Line + 3750 4850 4100 4850 +$Comp +L Device:R R10 +U 1 1 5F5A7D3E +P 4800 3500 +F 0 "R10" V 4625 3500 39 0000 C CNN +F 1 "10k" V 4700 3500 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4730 3500 50 0001 C CNN +F 3 "~" H 4800 3500 50 0001 C CNN + 1 4800 3500 + 0 1 1 0 +$EndComp +Wire Wire Line + 3850 3775 3850 5975 +Wire Wire Line + 3600 3775 3850 3775 +Wire Wire Line + 3475 5975 3850 5975 +Wire Wire Line + 3850 5975 4200 5975 +Connection ~ 3850 5975 +Wire Wire Line + 4100 4850 4475 4850 +Wire Wire Line + 4200 5975 4575 5975 +Wire Wire Line + 4575 3800 4575 5975 +Connection ~ 4575 5975 +Wire Wire Line + 4575 5975 5050 5975 +Wire Wire Line + 4475 3500 4475 4850 +Connection ~ 4475 4850 +Wire Wire Line + 4475 4850 5050 4850 +Text GLabel 5250 3650 2 39 Input ~ 0 +DAC +Wire Wire Line + 4650 3800 4575 3800 +Wire Wire Line + 4650 3500 4475 3500 +$Comp +L Device:R R14 +U 1 1 5F5A6FD8 +P 4800 3800 +F 0 "R14" V 4625 3800 39 0000 C CNN +F 1 "10k" V 4700 3800 39 0000 C CNN +F 2 "Resistor_SMD:R_0603_1608Metric_Pad1.05x0.95mm_HandSolder" V 4730 3800 50 0001 C CNN +F 3 "~" H 4800 3800 50 0001 C CNN + 1 4800 3800 + 0 1 1 0 +$EndComp +Wire Wire Line + 5025 3800 4950 3800 +Wire Wire Line + 4950 3500 5025 3500 +Text GLabel 6775 3750 0 39 Input ~ 0 +D6 +Text GLabel 6775 3550 0 39 Input ~ 0 +D5 +Text GLabel 6775 3850 0 39 Input ~ 0 +D4 +Text GLabel 6775 4050 0 39 Input ~ 0 +D3 +Text GLabel 8275 3300 2 39 Input ~ 0 +D2 +Text GLabel 8275 4050 2 39 Input ~ 0 +D1 +Wire Wire Line + 7400 850 7625 850 +Wire Wire Line + 7400 1150 7625 1150 +Wire Wire Line + 5025 3500 5025 3650 +Wire Wire Line + 5250 3650 5025 3650 +Connection ~ 5025 3650 +Wire Wire Line + 5025 3650 5025 3800 +$EndSCHEMATC

u<=X^I%)efwl+<4)VjD{)I7y{dFW3cqb;deZIiGF1AR1l56IBp{+}+S3o@|g z6CL=HP(pc_rtc4)$uZ&Yd0^g$D2jTUQ87n;sr`XVf-SDWs2i@QXO*X> zwkrhiX>aVB`6eMRW0pr*OV9bp*F29S(80eoZ-ag6H_nyjKkNs^XqFRBZ-Gkt#hPon zIOSiGPs$IwW=I?&`duqL7WVxLZF3;l;M$f1MDq}{BmwCdp#1#R{;GF7z`tjLYf0FE z+eJe~X$nmIk-ucOmTg7=IWjjK}sIp@`Nb`#r-qd~|( z2G6<4rSFs=aSRabskoasYiqRNEt8|+iJHqva%XaV<|9=~mQZRpLZzI)Y8$bsjGbqrfq>RDoKW$zuY*=AeS5*J4OiUK36w|=rpX%!pNdc zSkop1b{mN-;;v-5w`aN*dwvmQWo2UUgGggjFoJe|oK74*vYf6k88QKF#ZYI~_?GIj z1xd7!b;4EIc8pSqBo|?<^I?zAjJfZ?Uz5@&bq_!`M!$l_upYh=Fmj@$2Uf4%W{cS5 zEmID4C@NF?di}n|9Ic7~8k#Z)jN2R=NC=2mk7c$jIOTnvDMA0ruo>?QNo0R9+70J< zWRK?pRw%4V7fYI4cNTA3WYN_9N`{QT#;mxwI1O*N;N!SN^!k;5Puz0PnN+XY6vB=yay9t&yCmsJw$?BO6bbEfKi*PP6CGCwWZ zA01u#Gd$0%8O0`Nb-;^(!yXb2Cbof@QF_MqBWm0dhA+&-VB_aAQmXB7F&Yx@7Bb<2 z&O;@~;lwj|tSAv?J9k;7B~mOkI8jV(+kyl)VnNb;i>MU-CiwIDdPV_hpPtjSs5Cb7 z*%nR;qW`k@G-t62JP|*38%?$Uc%VQa6FcOSI9uKXh4A;LhRP$l`D4M5GGy{286cAyO-|YwxE{7B1hn9!poiU zL|b{Nm;Zs(Zza;PgB==`fHy1#uQJgx$Jo75*a^b_)jS;-9_th0m!&bc&T100ZUv)iNHT4@z1Ek zL6+!3KhfOsq4v3DiC0uPoXO-?QtsQs`mbJ#{AT-D7xp@EAhP>pQFI~e zRp#;S1kP*O3-4gxW;f@&-!U{y;$&EFZwG<6bWD-N8GPuHr1O=pJd%WExug9O>M84+F<|OX_jbs z>7t#y0kDqk1s7d+fL9B+o^ajn2LgI&%x`h?S^+~-dezTC;B`Z zJ$1-$e_kh2`j#c0RIrydMtW2(@_XL6aY|b|;MNq2z6~x4Elc?cBT>L~%tW@%$YO`j zbh%dKY5}D>;#pz@WEMTF&FoaHlE>MBj`U9CSn@oM2`t*)W-Zi68VSgrvaIYoQztX3 zF64wUu}EW5nK&zb&1Vn+ldLcUDb4{NG%!3WkJFhz4?R~HJon~gK_9f1dGEG%A%|7?`?hh{zC zkk4<<_(wSPxi~3Nc2a@xGG}?WODM^TutgtOtFLANlq9Y_JBjdRnp423fF~#IRPx z<+q8+9n#9$o<7F5py1$azJgCkquXZXzX)flKP6dY6%C}Y3v`pLYcl{3xmR)<^Qh*z-Ren}ju zemCFQLF(QL^I(o33kUD!elww`#ttz`Yg(MB&|3rlDUtL25}yf{X%)F>C&%kt1Z zAR(2=pux2=Djx0Zx%r);+|)Fy@mLqXz0*Dcq7XWn{h7!3J;*`2kpJr|l_A1sUxWK1 zpbjAet`BuyX=TzllMxa2Q##g7mVEoR`rRQtMO-CYJl=n-bmTDvm2fozCFRy#v_u6Q zbEXrr?klrIM1P=gr^Uv?LQ9ej`$f+N_>Aj5#aEii0)zMPsP;)~JM3oa zT}=uisoTZbY;kox-RaO72}4e{#y%gemQGFkRbBIx$t5umG5-?$#5U7*ibFMQ6_?{- zgGeT7itjBQy>jADy$LC?oNNoK2MI4`4P=HW$h@>PK`MvmXgR>wBJ`_*Micc#SXX7ad_Dk(w^A-#$n`=0`$z&;03!W9>KkBwBWZ`;-q z!jDAyWj5`$&Tv;5E_^alnL;ZfJ~XXqVFrn3vfgCc2*AZli+UBYM^tSmbnrnV(8F3E zXgg3`Iwn|(g!LbK3F3v8jA1DmQNz_nI#H1H@iJX~LR4Z4&BGXQ6n->_oP#RLFj~mi zwHW!Fq_W@5<)0b2iD0LW90L11JU8+K_*uV`#wkbeFCG$yaW1|x9sps_65Cmj5|LYN zaE492756v(5h3_k+?4xEO-gQ9=q zMH~11uM%gW8xLR37v#^seMkrM37Xsh-23m@(=04r0~uBSYVEtP^&1S0&Bq0M?9q&M z5eY1;zlS#ezDIg2yjN@=^e>5&A&yCT7l>P!x&3A2jnepb4O+BU$$O$juvYOKh ziwW4@C+NBJvoE?CJpJ=b_IB~!_ooicU&`!Z2rEcB@aJqiX?{&c@6n5pw{<>^SKn41 z7`G37HWNC3eGwju_^c-Tz`*`8qLm(>_+QSgHL~5sUx=oT>2K2_m?g@Dt?oik3#+)w z#g1gOgwDl<8x_Y&6CNaL3#gGlp85&`EXYpNl{pbExc6MqBGWc~T#2WuO>hLHWARSy z(?yD}n_K9gFBRs$#|ENb0bN$1`r`62*^yk6g6PZp$@PFJ6ORo05?+J434N&|pqJjH z>!F6I`mT*o@9&=9o}=IJ>#+zJr`jw>@W~1-?W7q;toa8*1!!@bQ6p9k zS5KTso(AVoPs2$37u*jc#QXzjbK3;k)y;eiE;ElJ_t(aVGz#n5GCo_sJHHzM(BS$% z&(LD6BT*Ed!xz(--(3ymfP{iU5VWDSt&ztFQ9=eh?D+Y6Zs$*7lF`~$xuASR20Y;R zPpUx%?Z+n?_DCz!3`!nUt4h0zk>ZyXl3|I-^KfJ*SHD$RxSSo+7_>m6JhKr20jY*l z)GW{)5b=$OB+)8w#iDjX#Uqq8@VG37X~i_3g9p081T3^|bsRdA{dD@zQiSjqZJ~j| z!G)f|D@Q&!XLteaw94SGmy_9>&B!dX_K>SzYxIXsu&NTSj5OoJW~4kWrJ`@4(+Vj{ zX~uaN8Gg)-LINNZ8&;PAM^aeEm5wHP4sGV6zbKO{LM`PH8LTarUzcuXOl94zBnx;@ zpeH3r3RwR*ASxMk?-vA@6Xv(_5j&`dhkYWE0&Wrs3?Sj)-%0qqOm9EAtC($Za%-jG zC@ClAbMZZO+$OEuQD-1LVgKuXhq+`6kPqJd+1N@WY_;wM2S-{w;(sQWYWzk!;)H1gm6oRzPVRzJ_QckrF ze>5sUqRSIIL7}Ty#UBYQ1Yy+5Ipyj`6D52KOgJwKgG2hE4GDB$ozP({RE^ad95hTr zv(E{bbp&Y>NBQX$6cl+~piw*G?=0MB;+v7E+QoHaC%|j*J!ShtvA$DJ(9Jsyw~iG* zKrLe#k3t$KS?W7cZ@+OQ>$6SBu$3s#3Vk!m)gLagipPS0V1NC(oiD(xPfA!)Hs+Tk zkO>=a{sOGSd!4R+mDE~@6pvCQqzw=O=A zFo3c}3Ovqd>!&J1I_6@1CmG!4r*&Cr z=To5FNzj>~`YytigYnC?^(%ayhVRA4g~GSa_p~IOp=I9BlVpE*O4&`2V`t2E^g%0a zSUt_4xMSg@7!DH{;hUr=x1_^o(Z?1+$2apmntz-PGJm@wTCej;5uBT`^meHy0#cn@ zMYdDT7(Ke0cE6I_Aqbiy39d%K}_uCNl2#%tWz}H@++^xNO~m ztJ#rDOD1l3$E>jGz)(05P^dc#bh6%OngoNaG*7e?V^p{%oB5{GTfNMV^&0~1pi!2a zFHjTB&TVwCOsRLyQf`=_6V1!=(nOU?gNF+Dy1oZ;UH|Sz@l5ZlFn_DwJS2&7hvF3; z!xd@mp*yn<|EAvtOQAj0Xju_J0;Lf8c+dl{WUuJoN{39l7gAt>w4}KGH1;3!S@@P$ zx9E?zN1vCb{1iFK9uE!Je7oofR#YQ2TH*V)5#CYwZ=JKhs&VEe|Cg_iovXd}epWlF zzGTjWRc$gQ%m#k(`(=y1kS2p(UDmD=5gDLFgogxU9d z)|zre4BE6KJ!Eoj8w1hKlh|{A2dtwV>hhG{R7^ANP|UK&+PAK1eKTdcY*V3g;@&ga zIJp_kN(%YKR9{;>F&zpwyH|e{>GbbI9L?A5z{A6i;uYU_O1u{V4FPQVl?x0CU>5y{ zm*~z5echJr+b3(49fAMSljo~_vEMI`YCAppYZ)S#`>5e{1E>1WkIbeoV=Lf_+~p9E zc9&wo8@aqArU=cDO5Cxkch$GYOVwV>32`7)Z#g&r2=@RS4oYJfRi7VjM(l_yX2TBn z*woX2Ek2ZVN8DAE4$Lx=0~11=4i4tq8Wr`Ny=d8%lCC)`rLvxa;+3+Xs8qf+*9oB| z4}nvpV%`z>Y-UF2<8^l;IrzKzUVKrml|-KpxMicbF{|Ed-D8#!WylY^X}9dN3u81X!CUGjDCg$acBFerj8sYXv# z`m_mi@(vvl7NzlR`}kLZ$0u8*$S>L0m3@|`&thBQwG>djiRN11F`dswBm*iCcFiyw zy(h%Tvmho*+>E^baD+CLB+4;2e955@W9-zg3NUDVEL!=7q8d1H1p+M1NVo^sv@BCB z1J1)y$`5{iA9lk8nz!NLK(S8>A_S}xgaAn$)MK7M1HWxl5hej8*$%PDHCH>M5A7cewx~p&Q(Ck0)o>9p+hDEe zJLyw>au6=mvR-Ky7c0|K{49+oS6t;s*a}Q&04GePR5i8>?TE2%9kL7|z|-|cDUlz{ z7`&U>jj!ruR$7e|)MT3!{A`EU`H^c*Tpj;bf%^s88xSd^4#`rowE7&o4hjG2++m!N z;4*+PpIO9)zA^estl!RFj{!1_uI_G_Vs?Zzb)jVmO(lGqL%{e14x9nh%*s0bvZCmg zyODnHN41R$F>`U1pP*uz0#FhG7Lr##uwGu6v8r>kA{mDW&`CyY@jU4Gbd-!x7mB~>lfuv3 zIKvJjN4@bAJR-R34Fc+Xu4Ltlw#tB!f(vSGlM zT0+M(U{%HRZ3d;YP{k6sq&lS$tT+r>eju)8PF3y*GVw6rPB_pY!{PZ%j$+qraqS3T zpFBShp7dZg;{o6uGlG96t|U}8dX@8L>QvAGFPtnhX|j_Oc*?ai-%ltrs} zsG}zBT=6fwd|R_D{zHS7SaM@y@f`|bNu<(dvaw-3a z4%NQ0s}VH^m8pLjAnym7kyEI!$Sq$cvmTi%05k_(bVxF_)#Q08hpR!`Ha&;>mep_9~E_sWz zy$(AX%CUlA70__++)^Ht_6kS1Fz>j$2?4%bjDq9Lf<#mT_r)3syq zce!?cg}im~C1cHe&xq>0p!jeY3$8WQ)L!etyrVQ@@(ZI0xMKC&-=A08oW!6E22pCh zHRB@**1F>lUc#PO`V}62a7|;6MDmwmiSYk0rLvu(P~^_BTk_3kS7$}#YgON(b3j(5odp-f3Zm#X> z>KeD97$w|XYa!-DK30EYlnKujs1;#&mVs>`D?l>TjF?--<#@m?vsh|!GQIS>09(G# zNJ6sIVt}i%=jF*`yfzgPyM#(3^?T=&e<`1~7W!&Q#DwSG>tboVKO7=9h@$tc(cc-5 zpHv8WAS5bOqhljZ;{2aLKQDJz;;_Z#5sQ6iNG;yLZt5U#} zw7V4{dpr4H{`uRGTVe-G8bvzh8H@OM8H>QYF>cv|FWSAY4@+fL9Of0*E`vttwMGw1 zLuxHT4t*nDf@>%w86D~zrB%RR7qlPJnD7v$ox`|msZuEbA(Pzwc2R}5>8CcT8)~q7 zdaVoH2)5men&gMdSb=IsQ4^;r+zzN!96sX&2$306yLaihuXktD(v{qd=JImzcgSK4 z+lOO7NLS$f8&iK*617RZl<=fsgMEElv+0TNAJ>D?^!1bByt20O#2{eLIJ0yk>vKuN z>(@z(Y9kufe%joig(&hpiEfED3GNh?G|Hs1*88X>bKoiJ(zlt1Ti+qN9NN9*D=8gG z4&1I0M^q~pdNwLv`+%e{6%YNZ{04nUtMGm)#(9!ra4OzP_&fT zj06Nl!i-lp5yG0PrS3+V`9df!hEX-Akx+VI{L^S@<%+wr*py7N%tb)BZ6Ww!$3^d! z*Jph__BKL3QDC#bzN9`->8xl8Xvp|2oh8s7bn_bkf9XK1AuN@~uMnd=PD?q+KyrWzz__4Z6$O-`ty8`lA=HxI3@N{?`oESGc4LRmMr9g zQXadmb!Q+)6$g*-`lIn#zM;A7@oEqXX_T8ZvXgijoQawjPqmFDEYz?z928XHwuOzy zdFR;Ai@$qBvuQnIShIF!Dh3`J_hr=x6BRrEN+S=}!4_ryS|u=|17$6n_fqYX0|pWG zw1g3Bq#b;mU0q}7L>dUZWY>)E2ARz^^QE(=!bD5JmG-^Igp+5|WR!tJVy;w!?Af4H zB1)2{!k1C)&HVjPRRA!~7Rr1SyQbecwGn3EaLl#$%e5=!AYcdL7UH`@OT3{y5d&_n zy#%$-N3va3f;WrYM-KAO8-kAV%K051(1hpGD0-==KfjEWN}`O~au5bi{dq@*(fL{5 zv|#}jARgnt*N=qTHp!X|5mz$R+{!gE>qhnGPB@9h(*hdhveMJVSbA~#T(!8v$p@VB zlRZYK_6LtK0y2k4;U|Gw)6>(tGcd{ZDuJIOnAY~nr=u&C)lNZ3~%TSI8MKL~`q^K-+%*{ZtBjq_? z!h+B)ZN@KKLjH^i%nScGD)HlEUz*m}yC}@v{2+}1+m7b1_MCny?#qpsT5NW~> z%N?`vb^TS|`7nw~3^1OnUKdc za_LcUmd;jk#R*S>%A#ySAtkdh2*{Dv&99HY+MWa$d?BQIPuGsWo7->j8>pagXSgZ3 zr2!UvHo}Z#1Vaa2MA*HG;L4X_gNF0`;ut^kgwb?%_0eD!_sn~ z{V4f%4dc8L4vrv)w~>pgI8cAH{KwdN{tF|0ljAO}43@e3vn38ZTNVUYRonrU7fxB4 zu@Kj1yobkpleE^Wn_w2T!llf*Hte%IgGcy!$)}Q)+mfDFh`lvRvT3jxbcm=7pNYrn z!0zkmV@hWgf|{N2A8t4YL?z*i`1KX3=Csb=m!$Xy+sv6+cEhX*j_;;Q>D~uPq4`?< z|9rE)k_|l0S<#j{`MhR-Bwjavkl4aa?QC3DI&dN-7Bb}7BUX61Y~)W;pYr9)uMd>W zKP+1P7UGUXZ>+R`q!u;a3iVf4RF-QyG_otwS(@&IcC7o$_qgs~>RMWPp7+QFUljr7 z?0s4cIf@%a#?YOWz5Q<<-F4hV5Q7A>EPUVeH))dm(U5fQB1dG(L@n97!;-l0KQvu; zIMr|1N0M1bD0`H$SN7(Fa_pT=633Rki$mFEoXQLxGdp_|ijYnm9D8#dd(Zdzz3=t9 zT(0wn>s;UQJoj_opZjxvK59&)Ieqz#qc30IoseslS$9V8J`z`Bcj zmwhZvYP4PWoQY3L$9g};I%qD|+IKGU?wT1V7AZ&BK8{sVfzIiEdzOiJJ;9yqERSZ*+rjZc@xZ3+l8Ea@EOyANGH>R@)GsYk01gx z3YPEEK`cJ;XuQs1$(Xoq@Y8*HSkHFp<%mYuT(ya{-rIJkxu%nvVRet1=_=Mzw1T)d zxrwDl=x-U$U;6%ATWyISAXnP= zcywraYmp-@v|eG|y~AD{>KGzdxTGa1>prS?#M-!Lzxr|bzv4Qs#-L)Y=+IztpT#e@ zJTTNakw0EU!GC+lLGg^C)|HRP5(8-IN>P)uo^s+;c4C0wVQg%SGHeA9>BD563az;g zJtWH2G#{>J3nc9s_4aF=-B*vE!qCc5RZ&8lDCPzlZOA7pAO0USu^*-)1HTG zp_!?kcrvd9D16qg7UP3|$S>MDL%LD}RKQWj{R)~n zl7x~zt#d-nZFuuhQ$}!rK1^WNiqznXM3`LrzRgdf zLPOd(k=H^I!e8PVvYgFFJ3G(+MlW6So1_RQF>@|@0R5!f2Pq~~{xloIFHWD($*!wU zZp)a@p()z$h4I;Kepi6jhaq;!@wB$pPeAEied^(KY2kno3RbK}dnUc0yo{Xi*ZTg|^>BR<`Q zqZ6JRaAr2y(C3&zd=^e3eSn+XZ*wvy<#f5Ol~0o{{FOU`d!%pHRCdN0qs4IT= zRWVbNz1fB~XYsEkExQ@@-=2uj(xPq?y0zFSmNDugbz)s81jGm-leD*XZ}v{p5?J5)RAT=P-G&eIE)&HV>Ls>WFdd`cX zEK6q`6BCXp0~FtbfB7{G5RlGi$fXyLB5y5b#B*%nP`0*LKID@wia09{*ZRqmXY4|#sHnWLW8vu@a+1pOH= zIlW(QbMyOM&{#Fg z(8f63qE8mMG<*m0IGw#*M-^LSKGOA#!HkF0?^q&^6#MZWHO2l%ukEQK?y8D>*8FNn zOapTqqXa#tYi%QL>P2_%EYI-}P>?)Ze@fN|tv)6}6WE2f`Qjyko>l+QwBO~S(!fDiPLUI5gR-4 z)Idq$s$zfB*uPagWaJYb0;t5-_ht5a;%d+AB89mlX~@kg#0zyhI?`u1D7nXDqCf(D zWCDJhltsUd2V^b5ijZ${+cBjh-7p-Pa1(Xo#m)^Ui|Z^>=aAdWEnGLJ0v12SIAl5) z-oJ;SC5n1wicGsrIY;W|J4I+@Pg{}1$N7Rn;;YUnR%h~ZoDj`+5S2~!dtaT(u401e z=9AVHClrJO#@jQtJ^_P%7Talg%T|^(UG^5-#j3K)C*2q$8)(X8Uyb6hbuw&VBhUit z>FOZG@FsTnA>A3-Os;}|Zkumz+h`g2p*ZwsrAyJ``kg_Z(0MMye4YBA1DAbU=N;KC z^QmpR7&gb2>&Fq?@hlS9b(C+ zBAx7IsW`Ol{J;M%=F=5;;5B~z%)MpL7j^Jp#j3DIjowE#b0!GS(HAuiSYm@#=g;@5;efBHO88 zLh)vLRvLZ5#MLyuX{LcBv@q)>ymUC_;-wPO;~j5$~oj(g{)s~Lb^0Lr0kO6a*7z)3-7G_&kIr)@l@DKv=|fB zTQK}4^Z8y}?}A7>dAqdMUs~UEH9=C2vW3&ux}c-7Ty8LWdn^6<-ajKBxsIna1PRKc zkm6bQHoTx4eWshAy?rnc!5E|+R^lX;RH*HdE5vhhsifK7qY2gNthbiW+J=Yr!gT>jAvTv_g-@Suf-g?DX=?ot)Uep2mXnhJv=}&qolQ(6x$O#f z{U%gCC<&9cQ^JZm8f?>$uHZS93iK1bhdRpx7@#XASd1A|*cm1%GDhiN%iZ^ZtWanB zUC^?x0cc1S{}nE1TG1e?c&O=@^XoS~+>xiapv_im(n@Dc*|4J_(%$q=>xzI-d7K&m z0WyA&*$2yIJuTwasu}eJh*6YCcxh-I`evRmB@wn3VQ(9U!JJ0fhC^81FTd+~mlSgm zCGwyqkCX#Qqxu2!kh_BX{PZOykz3eW76f2r%|?N$mInn`Io#xhP$IMVlm~X2PKym? zD*&B2ZN=by8SF427tT@e-^^o#&Rw5$qoPSX2npZz3&&yI+MIzd_(bWNw-XjGrWGk% zki2%<)8h|19t9apd2@w7OUMIFktw$c=JV7HX(QqE2@ASCV6_!}xZE#j6ZQ)4B)3DL zQs~#T-up+T8U*5fW=`WV=j-$H^XuzQdbA0yH(Gn?`C@O|F>|RS`+ji_?n3!gxv!@_ zcJn~hfEBwnFf>37J#W9&HM{fpEynmPPDE4q0j({fPv1G_X}88TLu!i!1Uz(Yz5Kk# zIkc3&KeSDeHBrmpd)mOr$VdkOx8lhjM0C7{=)=g;$=i(|qU(p>$zdL(d{5Krtpu-% zsted&xQq0W5>lKwd1EMf4EJV>hZ^vQO~EtHb>?u;zW7$OEqC-Inl4VnP7}tUV^<+F zZ00~Z@|eZJlob(rKL${H;y@{nJNTNycKNeF%7IRwRN^Kh546}^r=%Umu$0kub&V}( zv^uNRuJ@+FC(jIy z-o5Un^&BP6k!C}=tXiKG@|9#IyKTOB<}%DGFU6Y~>oYay@smN4s#=krK1zf&@xY%l zsm4*wob!j6mMz)Po>}S3`!W0)Dtak*yL%OPOrQF3#HAYE)`*Ab(-(#v_}Hm2tZcNu z3Cd}f9P;Y*l^Zg*wqD85d6>*U#1?vNLr=`kbPtuHuct=Fp`JuyJ1gZ&Pu#jip&^z& z&|oE$umFa8*cw}21!2t85vzB8A|WJ=oQUA<2)3Xt>x&h%jx7E|#0^)hlLS+50-L0k zm#dGf6eG}S;}qD<_;($N_`4>ydC&-`1cS1f#`j|=yDIo&br1hMz7@_bu*k^Q@F~sD z^jC9uIpYdissZR=3pQ=cbH{0Qd0S$9rj}^>b#-JI6H<)Pa40;#j=KOSE?oS4=*yRc^0 z?c8w}F-EF5J$hmooa0AH zRl1s9T-t~+vPcK=55;!a{EVmn;R=Cfcws9ed0eod3XqccnPpas56Buleav>+{s@NMQl;fd35uFJ+{{}Fa>0>V_jnTNdFh$F)+4Rh?S2MY&Fy#n)13mxZ{M`km- zl@-T?B2SJ->2vyKAZk1h=;2Wi-k5M|CtWp$mgC>mu~p1Efd65erjInux*L!HaS~@L zOv>WoGT4z=BDqw@XA=rv*a}HUe$JW{E{3B>X~MyysCA`?qUxf*AH2un_tX@skNEcr zUugDkAVot7O8hQ^akgY+II1jmKW9?bL*9_(Do0Z<_|+9|WS9i%7}e5wOH^v(#UbBj3t;x4K_f)d~*$m%2AXcJH)wl8*5SN_tHn2wqy-M%!-G+-w`Sgr^x*-2D zVpV>$Ytp=K56qMM1;fZwU)hj9<#g9x8Cb1Ml|KC{ofkHy8+j`}{^yF&ynlsKhYLl1=-|=X1uU2KSiy`pEU^iZvvr_^iU1wi{Z9&f}Z2Gqp7o%u!}6rRgd%B zHS<#6f+^{v46m(*tt`c`nK10Be9biH(B+>6(h(mM9hn3OIkUU#zqgkFKndxUKarXU zzwaFX$3upkc`HmTWGiU3eY_z1>T#G)G3aTO{$Ed{$c>)EQo#BT(qRRRyaWS)69VPu zP_huBBe`*TicCU}7t6szK@jXfXeiUoVQV8M zVF$n-PYZ(ZY+f9bK>f(-u{VuU7JeNwAw~G6SxS3e>H`dkdJ%WpI)nE$8@SUMIFvP& zv8NNdzQ@<^tQ3``zuwo|O0?y4wL~ueHI5nz02;un((0-Z==X6!c+#K3)2_d1t7??^ ztQpfxP}9VzuFN$kHXuxy#1A?lP*RO|k=>E^gf15|w@7+uXyw;FGZd+bv&9g&z_{%* zW`g_i?w1#mq#P0w5)*h|KzFU}Nmh55Tyx*&La+7h!kBeZ^*u9QkJ38Cc`Y|?mRZW) zSzhT9cBwNTFy5QJpBTD}wti7#F1F$N@;f_X=XZaEanHgr*r?c<05mR~onWBJw@I8; zCzm^J;e}nEBJ)jYJ0&k|^fzve(wdHjg|TEfV-&};1VJ}*Zi)cBk0ifPxv`TYsnJrN zPhEr+VN|?NJVr5e_2;-lW?7A3e=ffU2S3LT8{yx(E9E@utwS)5pJpxxD8w(w-Ke*S&`Vrt#NN59Worf%?zwyS zE~GQ;XlLj>ESO;EHK!5gnlS?p^ve*(4d2D>O3Nm{F{GBT2$h{&7Jby*m~q-mumkPv zuK8c+^TxfeK;Xtu{7o&|)#L9vAqa&)uNJVnw_UGp+QmJ!Ecx=ihw(OV>J(+El-qmM zagSu%S-xb8@6fb-#HG!n&ttVLQFFVyuqHGs)sxw3iTDNnezKl8QEU|gGVn5Q+-U+i z;#4E$fV3a4z1Yq?vIx6~kEHzDr1nYIarPH|L@BkqHZ*@~IKi)x!&SQx_Md+oY}PcK3t$Lbl(vcBKA`$2DC zl`H~u@6~7nv#>Z{s))1JA$n2D`;=X4VwaL(=WMeX!c$_S=?lzuW@l7jYOu>Dqh+gH z@pQjH(f+^QQ>Zm;&BcWj?NVc&H^;4gTMabT0<2yyKjQcyR{ubyWZc`Lx0b)RGJ@TM zxp(#)n5R9n-lBm?$WPgZrae5e^Om1?bnIk!rPr+17E@+)tl^3L({wpX~qDKqd2|Kt|b#pCM`Zgkc zE9B~iP|z9$;O*iA1nbL8pi5Z_lzG@SF~$!#nJ)&5pbZ?P zYGrYODi;}a_<3E8^uAfc`w>$1;%ff59lo;Tzg}4v-y$PVP$(3OY;98+?!+q0PMA5K zArFq!DX7$k-Ny%&!P8o-5=BUk>s`$=_BhoeA81C*_+32)FX8Je{}SH2SgOH1jX8R---)9-VF z&OQuxP`F0KxWEi2)~WVu#t*#SHn}C+#h)(!PEDK&QW}5ioEO@E>ss$yhps!Td%Y)a zBYX}&;j@8UEq)aI1vNdY9H)GkDS!71@joutrS8W=ufgGkFB<2fn1J}X()H_BLUrFc z)xqcgDB;->&attvnc6F7GgsjB%~rhsz$WhdLr5?LYTbH?lyz&v-zudt!WcPym{+66W*Y}#O^AA$2e?O&zl>8yv)8KYnC1LE!0N;spAB6t z&a^FMx}(jk_iD-&R#vhjATs{j)X<;6O>jv1EW@oJXfLylqZI6u%2Jl#*}-dfEj;F= z9kw2mPI(Q<1Di3@)~n021Oeqm`nn@ zJMoui3@{~3o%h*JrhvWr)rHWZympNNK1>x%*}JoUH*MF&hkS3{>Dg*qPZjdC3dQ)K#l*EDyxi#3ApQd{(ViJEtLFmTGG6Ec z&$%8%JL_5V-XL5&UGM(7PgB?2DwX?bm?WR=%T%E+L?#SP$>ee+!FbSn>CM{N??(v7 zLWv#&ZuD8t%RK5RWyrB!US(}#Rz93ZBd)@hvDVd31}{1&H6=FC5_Cu(wbRX4e)-n9 zES;KFlFXFOKgM}VQ4ZY$p1mJlGIZyz^|F+<9<43C8B{Fy=Ya*rhZzfU;wd*t_3C>< zF;)D1`tSj&u01dYHjaVUx%N)CIGd!|hn*)}{pUuAp{RF~EvYevMNnv5Pn&0O(-7|L z{fHE7acO(-t%^UFo7i3-Th)GMA8MN=GQD++pa!883n%UOJlvcfajIj+n10GOw=_M& zOE%L1!gp3`0ulCW(wi>2G+iIX4zso1TPy*~qFLm^%zwra5afedE6MbDVM>Y9$1ooL zc&QbnYg5A6_W~;t;*m^QKSz{%!_tkgi_5l19g?W*zjV6RQ@-JRQrE9B(ZWmJ>*uiL zflILh{e4xjZsdc;%t25I#Z>wC z%FbM@=Dl@TrL*}+zWp4fYtMVVo=1(8(ACD?=U_z;E2QZq$B0e9pS3QGAt7bB@7Pjd z@{cB?efpge@0lijnH5P7x7sQ&_-6qB(W@SQW^R22?zqOxIFI4ExpTj5xPzmQ{^Nsf#Q9|49NO{{XozNX<92Wr7BOz06fDdFF zZCo{xS#CPM+ule| zprQHFjFv7f3IYg)jX+2{uFsE;lxW7saVScP&2l$eNz`%}PK% z9Gqfqb&{iJ^Tc>s3{5pT_*D-Bb4;YYIkKig zc!)k|aW)UQ8QgFZ3jAbULv+3KJ*u$n8J$n+zyDP1mOd()f6ATNil|q~qb9t~!o{O& zQ8W4p62XLYwf3JoQKt8maUF`d@fv_U^HP`FPcAp--m;czy)Pj(MjsP=`*uTGIFn4- zOh`xwL@QNg2={}?3YXq!XxlJ2^oLIa75lw-I{bhL_c^=VUL0C(=2k?zuZvBMBxAhX z(9Cvz9dGG+uXHgQTF4vwts%eqiFD6#$6L0R+4B(PS;=&&ccrac0CaYZrQkxEpuVl2 z_v_z=X&G4fj(3;7y!JB-X>SWni;q%U1(fIW?I#KiJ_d0PmT|?zT3RWSDh!(qE0+aw z2T5x8lTg3+Iz0hdXT(v(-ZVjN!8DaG=LhZcvqRUQqs_I0Mm^e-))gs14$invIU$A9 zVI8^ipLW%eXe8Iuk(1Q05cyp)j%4_G%NB5v?9aZYE`_a>#otjm_$3wvDf;k`UexUw zGNfm%0H7+yB@AkB9`K{Z_T(t?3c34cLKn`)5Ejx#9b;f3g&OOjkyvi-G@YoSO%naHQ%?dSjFT-qlo0S@ujDtK5@5>jHi75cAsIIg%?3zQV*u~=W!FXBnZ8(nk28PjV@+s`eu41@D&%(SY*ZhD_DmtA~R zaK5rv3>!ubHEz#4FxQ_jO(gmoRU2Oqq0fMWTM(kQJRc!p&P;t5{q}(Op(QAJbtodv+;* zG;NpmkapoQ!ot+F0UYW;9(Hu=$e|EN`G)1@TMZ43wtbB@T2<=esfX&WaulsiDSuB+ zB3IhB=}f}rBl;kSJ>HK|xL*ms@-ydwuX-LQw#nN9AE7s!KygS(1Xk@O2R;IjI;4mT&OgD`?|JK~s-rBPY;wu^Qaq1N-r zls}4>I$MoNz5nR|f{;dsaI>$_<&d3M!xE;FKCbJdNfus$BUS~V%KK^x!; zYNtGVj}n*z9QU?Hj0-1j@RxtHc=bya2|=YK*rn$aF0w1eS@e>3RZy#D;j|wbpv7E! zZxXeQJ8h1&)_biaXctsB)*d1ij~CvuhSCnbQ!?X2z0evhl<*+1{iP^bcjRDvDq1B3{^7$7J`B*{pf_wS&Y ze}FqL0jFZ?f4WwHpRTFK;y1=y%z1nUK9*l>tdtRrrl#Efdio`nZ=R#L_@AC?+X#%X ztPnsYf(?sMG%Y;o(V(?Xib8!iM^UQx7R0V`~ALu3}q` zVbOUAN=D>Tz^17~mgmH@e8yhGv;}RHvollJ{$ZFT{Y}Dbl3)vGVn5p+-*0D3*X+LV z_vdn#pX~a8#6zRr_NzJ(WfMDTuJC@a4k>!CS(uzE;<2qi zrfDIdDbT!i!B!geNX_x(xL#g9nDBzf=Mo6%z`-Ds+^fa0>vyx9$9->enIcV*5GW|3 z&$718uyq2bZ_2+u((Ia*BRvOAZoeSQ{@V#S!QVqmsyhjO&1YFbtSaI#dAo+u{dL$E z0*MR{P2Qpunc&4S>6HvRk~g;W$-n+pA@pTP&$-E&;@^o*yNFluUptqfi~te*?8y3$ ziv(rYs!vls{99>h8y>%v7FW<-T_|t%(yM_tJUxC-psg2Uc~xrCe6Grv!><*ayB&Nh zFf_EaAZ4P(nQPUC6#d<`3G2Gmw%Vy(XXl~KGgVvviSyTtwa-A_!E^-F7>gqx#vjMd*fxa8VO0WZv#Y!i%)YsdX z-qXHK-aXq%G`#2<8w=y<66Oa}a3K6<1Wij17>klWhB18lR9fzSK8L;ZmSV;X)5w@( z=nBPeR2WYXDN%87qRuam+(V|lvgwFhUCniArko_YgbAw5o2D2OdZKKl66jQiuiwpR z^1>XllWKvdP%`2IAi1L=E?~GcF#fNp)vZ5 z#SJ{GR@W{%)FD`fqjLV)Jc@5Sz;9Vyo;y6zdj=yLm%>)%sr`f3MX)Q;%O%ireGAd#Z)QI#i znS0H6IQWNrT>IC(C*Wx^2@4Hww2}YG&Vpc5!*5FtI#`;vqUwJp`jlC&oumSe85a~JC8TrEEfAisHNV?<8 zA^D}hZUrpj_j)UB_t+viI{gCzmX3f!Ua@1DgI;OiSL31Ea!lm(-~G5z>Pf(&20s+E zS5`9q_4F}9wVL+(Xd{2$r486eYYrQ(5{yhtA<(D)-T^?ilk{7*1%@hEku-co?#Q&o z?S^A_${&3s>4Gq2HUHJr%vskj>FNZ*S;JK~`l{j7mF6qOKzI{pZ0wi{ZL|y*}y* zE@N~fi@N#X>A-Zi(Q_N*TB*`5qoG#ScSY7m&`5Y^zkq>o=RAL;cvOG<-cT!n8+i|L z&ma&$>L3kX@-(ZyWpV3P~$aDY`i=fGa4kE_8uz?P{o(}I%P8^VfGNR;-6pCl%w z8R(EU?A30uE9Rz*y_ONUKuFK|f+_ACJ@#0;H z+{SX=Ee@5x$9+OpY^v1%yp(1qVr<+pYawkwu%;G>3hKg??bAJtQ?9!ZCooGtVoj6` zVD&L`AxZBXs+)Y(4=e+g8wJ0rDoJ$5_m{(K@Pguh%?Myp` z=hRkIKhUndKf~cz0)+c2@W;0(q-`X zaAUknTGnHB^J;(P>SA^Hfniu6s=EGUHhYBDnCZ2aqSe7w<cnMR?ryq(nLxQV(?}B^X7W7L4kIr%!+wIF}He> z>}E6Wo2cK>zC>eFQ~E%@CZL#`n3%AKZ*Ibh49UB`Se3_9-lDom=`vZ-T3+X{_hWf3 zCr2uw{HoJL;Z=9bndxW5 zB&5L4A4NI2@AmyF5R&9%^c6tzBA&2?OiGNXnI%R4BBTi_q&AAc7TaVvmz{JnG}Rp) z9w1}7#~T{B*9mNxd)M#4=`aoU&-Z$$aBrhXBokb}88)7tojp;DB3xZ}E(^o3U8+}) z>z^Z&_^WIrnpTm|5XwFYGSbPUtvm5pq2_Hlx&cW^$-3{~RaD4%*YWrgZ==ytrm1?* zuCcMGfpkful95*?4SMAm=NhSm%fqd*`t@R*-VG*3{$Ee4=j9mvbfw$>wv(&hV^j3l z9;@UUQoPF0uY9TkQtwP{Lw+OzVrjYLR3r09ZW4{Ih6lTNd)E)64c3Z@m4zj0EA@*q zn=JjFWxpAB>oN+Ar^jD8cTPt8m=*CVSTYa5D^iA!<3ec6m#ilN7=Fy8}p`u z*JM^YVI=52B~ux428O)y(j^n!$0}M4dFf)ihlhu?|23QeG$<2%Z?LnnySY~m@`d&k z5dl=-@W+r3RE4Nou5asfumwDVbjf&=k}1!Or=KAo$lbR#%MvJWxie#h z#l-5pdJhk97%~oCOFAC-R3kMtF_(^J>zo$A1qRK%CkrLG4gAuYQpg@oG9ccDA;2CSez)Gxd0Fx~b*E;=HM<{6iiJ z(Xowi)7xC?wA}zVaW6nm*El~hLEVFZYCq|z&*YP^u$g?V1+W|4XDWTbYr5a{xNjwH z-ak0lE{Rh|A>iC^W@j_6SDRo})Te0I#~G(jEu}|Y}k6w3#35$$Y2zAOp*!`w)9~xr@Om541~kWc_9UEylL+8 zb4xng(#ryATVXAhGYZ7(y}j7F$-uM7yBX#s#(b$|Yipl5)oGdK9zALf?P|1?^>dqP zbqx-7nQ9!?FZ!0B{>sFp#W*&1m8I<{3yfJAf7cUjkGdNUt@oacBRozCHK7VyoqH0Y zzWQG&;-%#hNAJ?%sq&pPq=}z9#)vy9T=*uoV)7#V=XP)?wDgeZGDpr{CDxGOr7r z0>PuVN5U;@?B5K=gqKcTpP5TVSXj8Cx%oVEYjW?fuB|sS+u6pyH7{xWwqWw#gMpX0 zWpg)djaQJnyN-^|(D^wL0Uddc3~?}SG`g}fKE0>+#*G^wN>l4u{xT9w<(-1QrNWE_3nNvG8o{6`q3 zi<+!yv!U{~ISgyaVo;6QfP@OH!K)XMnbIY!`v^5V9C;GirNiu6YlcP-aL2g#fCyLm zvicc+@wFeQ6lL~*`rpM%D5H{Ol4s8}ujr`r3qCHvlm475rlu%ANAB}PF91^?m&tl+ zg5sL8S0*P64*0e8_1nu|U#f5;Y#~wAq6165z4NcTU*UiLR(Bo3LX1g13)OynY34;3 zla!mSQPV@_=%$*CDQz=xtA@{iNkSiWlnGh+NG~m)_PVNxI zC%VuxuuyZi`r1|2Wt<{B158ZCb!dKvE`O0aF|}zjMs?rx@QyG zbe+4S9oEqARP9^PGG?k)Vf?n|GRPT#kb+!Fm1Cy*iCH0oiAy65$kD&I{LCV>Fn<%D zHy%zTE>F0Pdb9#(q2(3xl?*Rq)L%>9RN@#g6|m;LymB`UM3o;&+>~9=N+}Rc!RFd@ zTH3j|p>XkeC}fdMv9iAY2W801_H;#}xpOC_1!C$V*$?TZqX(F~8BtbN zrd=Q^iP=!mD-mbwjc)v>kdSOv+UU6u)zQ(xPI{ABYX42!X#$?yv|0VT+6Zczbn z>w)^K5r^`#KX0#2Dtm8of9z?OOe-R=*`cuC{=7Ev92WRwU*$f~*r)(L8>2_2=v#o62W${@dGI zEqg5>5Z=h0Rc1S!F|3ieyvaOG{7$&7!uvoRqj=PCw?vqkLxr|CQ~RHrU)lgN<(02* z!qYpk-7r*D=!Ijc@q_TDR<9vz>&rQi?3ugjq&`xgC${|k@$hbg&|0ut3o7H?>}$V-FhERwyJz~U3lHfZQe-atVPWo?@cf=JSe)28y;B3I)K*Dl$xTUarH|*eOZ;!%h zXIvtyyZN=Sh|%B+;a|w`t8uQYL zyL|lk(QI!|BUZzcnafjO@MCNw(Y=I(I7%uiat>B(-PO_b$+Y{7Px+xPgLfgH5)ExZ z^5d#@*x7dt;@~* zJJ-16CKZ(-Z>l!eX|m!;`_jj&2qK3+HM~?J^;8}b`P2SJwvgXK{GnhdkB-Q9YhoCS zH4ywrFdLosBv1Q$^W+_Fz*0$4QPa!#p&k}yt&>;K)bwip$D;e?p)9D#u*F7=Jhi<7 zk#VtOC%-hJ3wb;D;NX9vJ)&3aJ?{JANvke4)rYt!?;0F&n zPl_lUn)BtRqk+kV7~?@%S>Jr;e1W3ZLD2Nx3OJhc!}QLC)g~@RDX{)DAB$1jOm$P0 z6%`(v(heg|M&dOBf`Su!FI+tUJsY6w7Z)wI^sKVJ=eK4q3M4}>n7&9xnBV5NdMT61 zBcsqmSJhBo?>*&XEl3+)YR=azQ<|vt&Kl)U?)>SZ1pG0Si$D)$>){NN{wj~lT_}+s&XJ? z2%6B*DFolF6(`V+{6ck#VHY2TuF}J#d^hUlA&ENcJ?8(2x5vySP=7nm=R=O<$6O?i zGAj8X$|k51{US{*Ep>HH9^Lm0N(D3z)WPa|8}$|e=}nM70TJjd?5wV2M7ew7$xE}T zVktJm?`D<)2m00GSKUHW&v@xTlKK~oUftXjq>U*Zl_MyW#Ti|7d)`B=uB{bea4O#O zKYq=e;%8bG61EDHG2<44n~=&Ux9M3{jo+dSPiwv}j zo@1S?XA-}F;JxRlroWD26a9Fhkdu!Y8LW$L56&@Z^qM@H2+go8oE~ghNv7y;-igdbC zpc-B>T>?=`Nt~XvTAG@)&~K7X@oIKBBpHWFOA>u1Y|VOK>eU+q_&osTnUhUFo$V zC>BdNNd8;r$4>fhi^|EJ3$ZmYheLA{^mbc_pcTx2?r^TXjiGkSWO?&C&{;lBM+Lp- z1;Yx|ezdx(F4sF>7M0~53YW_na1t8^)9RgRToI&w ze(~pL6ctlrpQTCIoQyDQ21U27;vW|4w0=$2CwZSJREpnpLp>YC3#|BSK7xaK1l|4I zvq#QPkt`1Jeng@_v-SfGckFKChf01zXSl$HZh?^vdgS4hPUvpW718t2zhZ7l;umy)AD=g!S_U|N@r?pk}dzRID942RT%ko2a|+lNqz8JsKL$3JNR|IY^; zLvK@}&CdPam@M9lcz05Vq>trEicLXh9sc>#?tb1QlwBEAup8OGl%)B!3U%ir@?WJR zT2%DxQ2sLU>Ud{?>*2MzIFi`;XV)e)zpcuPh&AYF#;t2uozsB$>M{53Oj_*iTzd;JhBjdM`f^vD)7h_|KWB$7fA?oyHLuXdUiXm< ztTd!u&DEC4bPNbM0U}4CN3Cay0HC0)w?j@+nfMsKhu7G)BohstiG-JYChksw6 z)8FPv<{YmKnO_nL`z0#W`bC#Jr5^>&`Lm`{>_;B?{#}wvEf#-vsNk`bicvVaGW5v{6T0S)1w{ofma zdhdI2U@h&tfk<|xX&vaVJ@7K>ioSh%?`6!w3&)D9B#o?-_3SYF4rW4QZbT%s61`T4 z#ec;1<`Zj&YjiO?O4IH%Iwhb*i>JE z)R^cL06P?*siB3npgDJOcFSTXku7LD=Sc@K-Ndj{+@+WsMUU44kxe_7(hrrssixYC zzqruQl|ekoeX7`QC~dbb{g29>{oK{8pw_d`eB}YNZ=QS-Yfz{$6EeD+#(2QP;8gVV zMxch0KbpzP>(_&!^))#62Lq+j=V0lA95XUf^&X)5TA!~ZhLRKT^RK1Bu}hcYpQ3kM z2sIJ!t{=TodlF@J+_|E-mE>@AZ~)Gz>;HJ8?#4t)#Ta$jCk5{sn1pV6SwD51C*ID} zLM;^EO@PLcsBj=Av@7j;ksn+4t73&@tl=22c=_-Ai}c59b4A;UgZYK9^ZO>}xUO4U z6ht{EYRiwjBpNT&kw+ATkshCAD+QO z@<$h4bXS4rzmk7S;XJe8az}9kj#d()ciT=^tUdqJzxC`99KkrbqFN@6DGb+3KwZw% zdU_Ccx}uZQ*sF8BT7%Crs$q6OxY>#?=G{|r<@c}r{bxUhu8jTb_{uB{-DRq#jm zp^`|6d~iidw8~%HtmvS$o!h?_42~3!pV2!;oNsqr%Wj)1uAgR#;{EdoGyfM}G41Rg zpua03IU_Tk@k@k4^dy}^Ac!yJFVO00`0n4G9p;GHoa*zhV5Ho4Eqt+{84X}qkQgS0 zoi70EPL%%I&ky%Oel`^-DJxsD*!rbfzT+&@R9G9&?De8Y{`pTfTy0+b* z1q#L8Demr0(Bkgy?ykitP~5G!ySuwPMTxqxb%D7IelM8#^(`r}56LygE1j?jbhn%P8Y$r8?gm`t_hpHrPUhyEv3oOqm(N{~ zLIkiuaF8&4QZ#mB%XJE3Qr_x!e+l2?-<8o&V`9JP`Ch|xxZ9r*A8&VBRdVz=9`F2e zF98aK(YL$La$X(<5ij43k*9aK%bt^O5dA>NDj-6RwQXJ%KiaglI9lrrA7poEPq;WusDJ_%4OqhHnMaShR$Eg zk_?j0dVMJo@&E?Nf|14h15=|pOz#a5&Xnk+fQj~rBDTl#&g1-BPFu}@t+pAV(4D}Jaw0qevQ%03%;%5O`^r3cE8Qz*?+|`sa=0Z`kBwq&eSmF zvJEg2ZrwOu7UIlj^yR#DWqT506G|mT2!)+7CkP4Ve`aiP?p2>0@Z?YDf$W8 zV92dJP5)*!%;ChLrHCaby6-{&d}}b z8u1ub=(pJad%c~`S>+dG=l6VIo7UCU1%$tj1ttD}cmB)-yiYt{_{|0mY?16T$Y|ZV zFFJvK{L&bQ_&O96AKwQQow}HMI{NzV4GtcfH*oOqP)I}eSqMEo0TuLMx0XJFKF*(F zwEFl9adlm^GPlqt>tp|43y?L58xLmZW%UHEDZstKM<#E(#?G}OyKCxge%Ko%=HY+F zhrICtm)C7DitbRo)fXL5f?#{Kx&_;iyah)UNZ#hN@&JU^``GS<$z_fVkfdd2W@co_ zqj~Mca0$Kt`vt^HqX(gsV(s_t$b`W)+B(TXC@}vsC3QF!svc^MYYf0zN@nJ+tC_m`p26RdsR$d>Cf5qEnCg_Hxkz`E@^*ya8FF> zqukEIUS50*{=Oa|A|nH2L})~@g?W&rv-Rn=kl+1RWFf4OAYNw(#3}Q3b3%9!I8wIw zchy)Cz{x`wUh=WGY~$*gF-922%-SJ*7f$OyhscIRwYA@)7xY_Ir7$A;Mq@^1@Kl+L zLqO2{N}hjEluix-mPu$`kS>ho%?6Z2($p06^z=wy9{4}JW~|xqij+}7yVSAymTg_H zHyT~lHOnehON)3qB+$$|i^YweS?=D}fhT2x+%b;|EsbbrE`};5q2uIU_Z0@$8%KgF zGG$nQ^QnLLd|U%hAt{C_8Te396j%sYy#r^hAr_L|jg9kUjet&-3hd7?9iU!|yhcAr zX2V{^A6fEv{OtGeWb)Crsy!~iKc7y{zWo~h__0^5*C9LE9qw8FP-dwDicZ0M#k&pc3S%w|4YB{Rf;2n>82ZA?TKN*%3&#z)|zB?K4v+3JS6B-=Ln? zzX$3@tiRi024e=3$UZ3qK%n$(h`QACRIq3EO+`i=Vrf6{98C@$534?5aZ=E1KhXss z04th6*Zd=VrvEOwP3?*Hvyt56hReELi(lWs)C~zgv%{!vR8_Inff%Lqd?c?^7AUYi zA$c{Me5=J;=DPRWOu?-p)k}rAaGFiIeEAMA%FA8;zmDB*2FL74;C4U%GHf zu3Ro}G@Tr#Xv;%$6l5eZY-%KBz=&HO#SHhISylZT!HDTqHOG6TBuI;EM$A^@#x%+9 zgqK+9jV8qXX9$B_G{8z7q18@V)Cy_`SGvHq(0w&c*{ifI%HzP zk4{wK+q7qTbVVA#K?pBZgOp)1o#BrpS|6#3#7Hg-x&Fnge4#$~Q`cT!?b@>bQFoEs zc=s>)&}qOgL0PUWcUc@B5;g3XFe<=^iCf=NGflxa3|RD)kp-#_croA_yIvi$Fn%XN z>?Z+}7RvHw$3{Jqqg!M*U*E#E5=L8njCONy=>&d;F~dG1qm3KcDk_G>I|+A~c0{;ni`9LT#pD+jWiad&ki3yi!rJwN(z8JI+5=j!3kh!`dH7QrDA z?vHc5?^?Ud%+`A)oi2ZHEiL+qZSBYjMjXgP!BQlV?z${BC2GtWQ=^Pa;OapzT&RY+ zzsq$$T`Z6#ev%coUK_I(av>A(raY)}R!uj3Lh=uXXpP^SQj8W=Zw1Jmy*`${?Kw)FUl7j9|J?Jx#CxMcN&M%dH z&CqAt+*FPuV(>=_Hl+zEL78*?^GJ%tu2f$!&T%$!(4~rpPP3w3WMBv$PE+7#blWak zL!!Kk`=rx9vQuicf@1QIv;N3sQZ}y#)zp%M35bXUz0WN%#1Synp4H<$mebc6 zTX#COtMDQM0~5HdJOHca%QWBB^Un6WNr4g*R@9I&3!E4;9|QY*V|`tn_jts=GX3i3 zKC8|EykfO~_Md2U%x77%$;Wa#uk-{2?!hvlAWG?*UMS>k_m|CV`A?Okcww(73SfE+ z1cTT99tIBYB!nq?Qtzhqa0H&F^!m{r9IacX}q9MBX-2osnP_>rkpL+*h zzs#=+q12QV!Ph^?BTl}+%?FqW;^X6kf*@!PfKu4kSKp4!=GrpCuwl?Lwg3PT)~w{- zW*zbG;m%Me%U}rtf19_8Li1src3aVZ(;$9LEL~>aiptLFYReL`Kz1vE=ojv)`7=-q zB8h~x7Vx_#a$|1v#)l1Re^n%rp%Ed(M*>6Zh0brk9UO>8NOnJtzPukYK}g{~$Kv=U zQ&4+|t@T=aZJsKTX)$OVrl!{Ch{JN~owT`y@gs4z%w||mmtw!&>o4h(@%-;MQJFmm z*=Sp-_I66 z4o#+f&Gj@n9IkZ9Dg*w)|OGT>)P|7PvT2x4IsBDv}NTc%mrXrl? zwocHGGu&`*u zwi00l2~5Q;AE@Y_s1_wDPKM)C^i8{9ic2E1!hae*Rp60El1L}bWY;gniNUHz%g*qO zX;5+>S8Z$*J+`kqZyK?Wr^j2PAfO)8O@X9jj8TXlLzVSxKc!cpsmL<3Mw-J6eg&y| zd?Mg5e03=Zq|v zbYT%b>K(t0*6Ad`Q7iK9G8$#g;sY%grI-Di9fIWjJK=h)=A-rJntZ)c$J}jfW(E@e@0Pze8k=v0}kT)c+Nym&x{lr1{x$9@%|1h=})3M<7C2G(0uOaUi;Tq@T3SJzUC`0%k5zA zvu{;Ov=~d))n$YYH+g4Jpun|Ia}?+pYrU@XipRTUpN!k8vSrzFcp1ng&ClY!nbZ(v zs_Hs>n7&qTUzt;ahZry9TLIF?z6Ds-akhb=aB7V6`j{rJN+c7moimOca;+Bo&HqsF zJ{W9GdbPQ-nKh%@GFo-GIB2)HajlUTf0A40qY#(@u1w4oX$v2JY@k zrrfHkR-q4a)>%jK=Fhzxs#rQ^Rax0vW`WNG0!br+Q6fOLNg-o33eFw}vr-BlV{Pdr z{22d1(SH>F8+yE-DIf!VuDX`m_9oFm7B@HN&s-PFGE&S+u=U;JC;}yEW=8=w$i2Ld zaHg-G`iZxfO+B)Q7%qGN5+mJIi>SZS9N4!V@?vLj4D#+i1UdC*vF&i_$>56DaY&*F z*VyXJv;A_bj3Zba#*&Zw?pWoq$B#zlrh`Qh$wOljb^l!=;hEK4_yPp$x4T6Sae?7gOuGY2Tn+#*Xl^GST&@ERCFaxhcxd>nDjt{yMIEOt) zb0WF_Bldc)K61E46^(t#AcM7{;DlXuO>mOVk~{sJR}?u${xUS0kIvH}we#awKck3@ zaqwh};t-kf?&UK!p@>%Hg21(SR=fxr2&h?8<|a)4+?j9yj&kKIWudzP;ew@JpkyYc zDB3+8t`fi}(fAU|VdFSi<)Kzb| zSRMKjv6tzMP|K_D!FHWLk8~fNM#slcaA^8@mM{bwoRkOFE6MDfKVwL_J|*5F_N6{; zkjT!zFLULG3K%d=^+=u*#HD16)D~F_7pkdM2v2xR5T~zUwIfBxgdX9ih+|m$JF#BE9|X#LsWvmeQHwSr;O`d zzlDL_692N4>P}N0GfZ2Vb0s=$<#;UuVhDlmNVfu-u;5htouC5@-j(gwLqk}ou;1M( zH#B!gh|A0A?7roAyXNv+O2_Qg6p%^Q8n$9vIADmO1d#Xsm>4MV01e{@M6a0S14MpO zy(;aH2+3Y6Ln0&s2uG&}6=jzk{(By}xKm|KG-Shtw2=e?rhK6+o$*YHCWG1iEzSQP z4g2$DnhuM!`SpL3TQ`CD2|f=ygoA=b zHf6?x(^falMEXCN?+0W1afVH`QcXU!b;~-|HnjUt;@4idP82dgWxciK-hl+-hfY5F zlX=%SS$?+SFdO3tXJjvAbn0P{xuU@YoeDZr*U+=Y2m7x6$vbrro^IMXZf^Eo_4JvU0OBS z2$RV@Db9Am}<+dc)S+9tI{EuV=!PbUBlrEEMMLOW|wGZ;93GR77_fC{iDz5W;g3`<%wk$fHR9>wBNy|c!`W$&PFfe*)nn3a$-MmTcqq=zi6mvKr$Ar6cPbwPfurwU~oyxGp7-qWZl(Q0_mit%G>1cr%sZezQ(6L z#V4j^EJ|RL`JU-`^z33XSv6?~p@bScE&NOSy$B<$C!HXy^ylXRw>%`6%tth3PTKxR zMCM0vc+Jz0{iK7cjrGP#g&Sxe{~`0o?F0Kvkavw&5vDjwL`U}vp$2#6)P*&5?!f@F zZi{_(JHFh-6jSO1?IFOWbH`qUb6TsNAiJ3c5RB~Y?ICb-g!jTebV7RUdxzl&qx_K5 zx~e<3==^IQo!tI|W!l%grRN_pZ>CTQIT#eQ8%+E*t5^_F&oQz1i^cEhXtdEbtXPGg z#d|4JIyzR$K;jR=b+N-1t)O3_Sb9udc@Ag0O`;2%%rG*+S^#kPoX@ns*_@oDF6aM; zh_lk*4rqD^RMeO;qvZ&Bip#+0P^d<>%uWSSQ%3LRP|vvZ0c+WDXMs#S}>vg&j^ zMo#UGvwPtf3%}CPh0Vu^GP54vo6BF7K*t#|ofz~ER(#ipZeWN(7lnl|vXgLNcS_+c z{qd?U!ziVmMPGH?-?iC*$bKU752SBG!+=}aw!B0F>4FryJyG^2`v9x3HQPvVVv^u< zhohnY*B7_(m-_LjRC8<|!{k93&Ps9Yl>{W~hxyboC4#JYqX}eZ3({e+A`nbR1?-+G9%bT0oMhhf)UG z(ON+Dy0-d^yHPR;4Z+Lykm(06r`WD)ijQ>Z)ih%G=6EMOZL*SDkTI7}U3M~`*V5TS zL+h@1v0G_*ygI7Go2=1hOdyhUoG0l|a4uR9p9n#H2bTx?fIy0&&Zv1{VNp_HN)kvC zc;%{l+JrcAG)RObawThN(JppLNN5e5FxQ)(g@&i03MB4-S0SZ_rCB#l_^+t+i<{5J z!R7cz`VR}K2tlXx+c`NB7$Z&?;!cgNt#_;MZ$>BtUb~Ss0dI$8WrsKO$_eCTaMkUn zrxFGFWvY!z`ODw@f(q#?*b= ze}o1Fy(4$-WjA>l~ca zu;!#?CXnMVwa+g#U0PRllvhW70(nex2v>E`O3P1c?I)4Xy#ZM?fQ1GS=fIwh^L>P< zFfUYiqe1uW?CgYM>w6w$dz7QoWK0$Ru66jS*y33sL*Pb;gQKahpQ2nYca23Qo^r0y z86%nNNp?~$O_mfwz1e8B)Q!usubSQzt?Pqg*vwJ#^95Qenj*q|FX+nNa~T#O{EZgM zoy)GSUWr?_mMJylLC zI4P%G#IUn%kmd}Ac*Ws2O?SYND}LTE&KByVO-k+)@nm{o^fr$nt)WM1 zk_!6_8t`HhZ-Z=z6>thNA{>E-H6*A*P6y>}77y+o&6{MIIP3BzFXcR@ph{I%d0m$C zz$e>and%L61V$#Bvl(!XCS{xNoqWC3-GS+G9hx0u4J@f97~Cre?ymitWYx_Gi(2TK znvMc-^5X3~biN`NjcJcGfPX`@>FYLbUg!9;98B_E5t^B062(aTJGL3#i7*3YL^MNA zKBqjE#)2)lhI_C#_v<;ET;T1dZyoDmr80f1JZBYicp3|uN@#U4Lg!-}F^ivs{umus z+}oudl%~th`e8$ppO7Z-96U)wFIo5tmfHK>Yfc~2x{br=d`bQFj zo5A*H!_?V@IjAL5*+5`m08dPkQ%EL~Td3#_PjQo<4IqRkz-Zitf2MTwC>1`RsVADZuKi>*4U3?}!(yDUVm(a@L` zXg6e(*8ElsD!Rl3fdILwc9D;|0jan!$-v*AA9r0q&f3pXfg2jSP+~W0jSz$Ta*a)3 zSwsM3=jMI_Vftoh_cVre;W2?~U5=)Kb(kKDscj!EbHv}0tpqL(@KQW#>RyV1is%BT zaNXyj4AS1%MmOl(41PUtI>Vz_U}RZiXj)S$S-E$YAy}*0fS(P^lkz18-$uTa@pYtK zeDam2`1xG&HwzawM_{-_olIFq?WA{*Irpwj?U~0SqrDF;jZro0`jFC5EsL|T=Rcve z*8hakKwaI$=I0+jlx5P%=WkhccZHMh39_k%beXm}`1CLaGGTCUhGJleB@ec%nU9za z7<5=ZVfpRO3r5*xd>uo}j7qvDpywj~4O^IFv#Wr=etme4xNGP%Q%Pl8;;PeRCm3Gw z8a2<*@H&}N?ElaF)8QS4ji>$il%dw3mle!>{2-w9aDL@KN%|hHE=#10)X6;&aEsG~ zeu^!w*`Uk}dNmd(*(ixCU}i*SN?fX+U*-2xnd>VqIf}1l zE>&Q%Vlm0L$uYgHu6CF2QJ)+&l^HT^v=*FdliMj(lA#6N{4nEoi#?pAAYaNHG}iI# zS`%%}cjjS0th%Yxv}=EeUf*K@;sNI`@m;N>A1cG7C5 z;!x9xT;H=`1Y4eRO#_P;TlK}mx@ZD#Kh;-4uNw+daEBI*8zImxVF?nXt#^LMGE?}6 z;Xt}rshS8y-9;ol#o@KLNw1Y=lzf@Apy;IK9mir?l(aMxL0v>16?v!Z9GkD6kkdTY zo8$7mv?f&-6=Xn)wESZ0rMpXWUt>*-3hF%S0z4Ca;hv zk$XV+0w5j-Moj8hRj{?FbepQgEZ1Nf0!kn~V=Tz9Z=qzimjBvdla>1-Ki9d7=Y-~A z7TJ@P5)HJvtw;h15HD`(vYK#v+mzr+M{=`8>tVWar=$NH_#I z7ZAOsF6Q+-V&GFopP6O%Cco|A{tP0oDbMn;#~fEJTh#OS@T;{tGh}AE&!{S4N7~xo z6n-}T-~IHv=?wSwwx;t>*o;&I>)0Eesr}DX-<{!B>ScjChr61!oi7?Nw@LN0_%0H@ zxw&S1YUnOwR5yOeKL)Q$!n^~ck)z*llWUXdwc~G4G(^eNMcH447G~ys<#FlDt=*hS zZ$~2!WI4W?O=!=o`rF}I=B}o&1aQrCDKs(MJ1yU6MiTO9hJ{j4x16f=HL8fHo~P2g zo|=h6gN=yyMbf=Xd2;)1{-`?Q(k<7ICspEC+SSPl?c=!Yq({@`7b7-1=7~h*XIYb! zENCP`zw-yX6v2&Bcn^gW%-5%V*Z3DT{7A#`GyKF<(~=@l-O9(mE3QAfo?-k7hV!pR z7!Zj@v6hV zGMB1NAWfXAA?pu1`3P6Tfg=+m-+dv(P;{|eatc|rP5mOeBvIkwcmIhL*q9y1i>}>C zvjI1iqN2MHp#VpW`Q=nRLoK@huLYP`n#|>tT{jz5O(j&J;jD?H$~E7|$lU@NOu=%) z2(cj-C6V}9x!vU@o4rx}9@YLy$Z3M%+- z&crqsOP!gqvFK2i^Ka$nW<_d}-RJwWfU_3=Gl%y>2Y}Y=LYA3|d{tHX5}e~Sj2p#+ zt>+rwFN?}D>#?R%9aUSiTV1YbgY-avVE`uwSI!qUki8(gbz8Pv8QCT0GPY1OtZg$r zL$|SZ!ezphZtaW_?jOv&nUQTj^|0dYZS9sk$EUnBc>!D*I`p$&CAJMx;k{V6%mXc) z$Uue#I@|C2zrTUtPQ8|qtQcFN90{TB`<@jmez;8IQjr0s4vGV3k?a~2@p@fGQ&C9s!j(vauha=X-eeFe@SQN2hb2Q-_uvD`B_E(N$B4nfgUPlb5YW476NbhUm zYsJr9Sj9LHnYK*Ns zRhvx%8q47$PkDvb{>D*HsBr0qf+4pWVn?I!Un5(HZ|`hJRyGb=YGdtz9^M zNm6IHR;m!Or@ttVp2&Q(8C=Um%+nsMcB*@xi&0<@@iv!JSCd!Jsdag4i+^5YRiVyY z!8)|53VEp%T|I5eHJ3q6Sw_~{Og<5OVTM`d*_;^v7Ky7uvs0M7af{J$o|rVR!W1}n zNE3%?&Lsx*!DPT$N%=>fDN(|~fd7+=%BJ-Z!k56J(%pq$F_g|3-cqf9=Gm=<}r0+=gg@s!X}P z*RM|AZE|W_8~Ij!fqUwb`8AOo9-aaL93%>dihvpc3Z3;VgrvM5;)#~O?GVluX*~k* zp3jWqq{?hG+Y``3dHFDuZS`cB!0*ga{Uj9h^#s%r2*(g03=xc>B4-?EkzXKiy&^Rb zKI+_-B$Y1J)>^x&ANykn(1FUz(qbSO;Xo=9jFi)T7jB)assKubPDm4ey}52@ogP>f ze;DGnO+Asy%VVQLC<6CdLjxeZ7*fUoxPb*qHylO?S6-z$&4`3TP3j2YLh~5F1Z;#7 zAzbQq)-B->P~c(T%~8}6XQ38H8Ym>w}+#CFpQbshef{^gmY@An{;EWNO{{F7&=9vSlk^L^&+9_PspX(pW}!A2Z!U=BEm2s~wJ;d8w?h19TLQ$aXT??NT^wJf1GuZo4J6?NX_*8sUm;o9Q&#vmpVB? z1sjs9t#VUI;cp<#q{0X_?Bd_BC*Eh~=sS89K~Y`1QKe7FhHk{pB+`8AGV^eoBxEjf z$m{jT-!L8rb?GjSHHT(ycS4f$8lw^a87I5QfHWt5ClyQ_&F2t)*QJ<+#;F!sE-2Z%gJeIt%P_~8Co4o!#O)xAu(Jnc&0tLjHzsqha^ODIj zG(@kKO~^|7&ANM3T0*^O$)VGYX0u-+cTPw?ln-*U_OGhINV6u7ulZzi1df_I?bG66 zMww(+Tfz6$$+Y}lV(#{_NBVDAh`yZ;~ZyScWe!NbibD`V5M;Cjdr(NGbZ0^6j41Kitl-v)ZOnfA9PVGQt=x4*3fL5vv zmpWPhF@?+jHJCU%EvK%^BAuM4zMc>v{tK%b$rwOB)> zl#JKInnkKi(F9`$kT_*Wo2Lf%3sU3he4s)l_u~fN0R3n5C*Ciq!NqXB7J|0hQwR!+zf`ZIzOPhZUa-7n^&91~^^LqO#NL zZ!H0Sr7Zr_JQiCnGx}iu$Bl-|E{_^Cq@_gK7cGlyAQj*d4i&IIKUFqNd$-5lHQn9G zR{*Pyd(MBXq=;OE<1p?Kfsp_H!+H-r8w(!I5&3p3*JY@@^5GY_?I8ACNN$7KjYPx0 z;z&iP`CSR~yyT_~!32DZx9_tVF#0ANLgW-$TdoK^HTXv<))h1` z*pQYw(vytNqEt(Ki1cAw##25yhm5!cLsf@o8ji?&wcr@l&eK%p?P5}-Vg7}zycYUP z;f<|&ausw66+p5Sr|a9D_IL`NE4M!$Bob3_8&9 zN$?brUGj9_Aluw99_8s!w;YSIZw$5jjJ;a#0^ZJZ9wAs}BY36FD`DqZ#Ccom{7TsO%5fVQqrN5+mfD0qpi1xE9 zYM#mYAQw^FngLhH;FOMGJ<5}h^f@ZnBiAf%{MYX&_jH70PrTJd-$WQ;m9gA1eu4&=?|5wSer-8b;6%38>GUIwi`7dMJsVTT zXNHdT_MWYZV6`Vhabg~|Ce(>aq|f+D*sZo$>%P%r{RkEPGv(k9xC!cxS`bK;4`ZIk$*MqqCmcL4 z#Mr1p;1<_lcHjxqbJK7so(9Zsk8uL7|ILZf9I_u}-dhubK-bp=pIrXQf@N$dSfldE z0seH?9YZpnJf9?xF(Q#X)&x=+^{$+~$Q8m05KqcBdV4ILbu6m(cOveRYX`6S$(|fd zVzXaRFuCxO-eT*q4+wWX?r$KH!H6)k)?cjF9mL1mML6Ca@6OI*Nwmv{e`$h+ZPv7T z%Ky>4<#p8EdHUZO?~}8c``<;4f|~R3n!4pQg0$??aB1nz3cKTOHEr)PK7kCn^vb!o zdSUpT>SUjmL^7*=H~1E}_?mn_)5j|st1mBIotyi>ws~SZ+#-yuBz_pK6ZKE64U5w_ zk=8J33lk+}qxm|_6fb4^oX5QKsnRiqg}Q!p9}0xezVEet?sE8*HCkLGb_b*qUK%tc zpk{`}Ry&&EBCB$-L}pYZ?|1bXv=7ww5$9wE<6>_Z)f6X>yB|1Tu!Ou7NApUivit^l zvaJPV6}7v+wNwy6D1SQzQ}!+eO&6b`kTd<(MVZ3s?O^(`tR>gmf8MAoK)dWN#%BPmo3<g?Gy;)i^Y*$-jQmCE1*#hDLB25bAU{ zq7elqMrhXfhN=UJDqY$zm#QLfk887@C#wgm*y?#{5ntOs(lx@=QxNh9b0oT^B;^Vp zPZRL9D6rYoO5Gm7bQ@8&HuRoTU34(b)V-&D(hz@}#U?bAzet5;v!gHo;Z6JxYCCjG4(?Tj z$(-v>?`HU~JrKhoB>CJxNdg2|`3zOsw#%1-)7@Bs4Oc2JP*^b`LO2i1_l^<|if^b%YFd#z0ovKz+u7P$22P1K+1$bc$OEB>Bu{{X zf}&E$A%`n(>ZZ!^G&VB&rAiwU8;dq3N?NH(8!9S7$c{n^&c+GKxv%_u+3mx`oU|po z<&DSbJAU_ORk$#5@0aY9Aznh6hRK#4miCrMX~Bc8wdp*P?eXzk(>3;+n+)(YNhd^* z;f5JCsjEmC|5D7!%BobaKycCcjf17*)Wk^5EyzbBP1~CUD%aaws=jP{D(=4B%sszL z<7B5e%>C~Bb>V&QW$b}#SQGWn}jsn%X3E6-^myhGLV+V<1$&$vg=fk%t1 zEHF^W`DL_sM1GW!EdFOrV)CB*5n*|?)pZK=GlCl}W{60^IANipL5M`BwzOEbHXa9` zNiTsY@s_vC%sp=f6ljtn)A-`8JA`4p*%&dIGq*S2+hYkDy^yQ-g79>XvW4rlGW3Rp zVaKJ9y@hsJpubC+VC3^fclX@S_f1R?%1B+3vR({k*dHKJqr(4B2{v4?j8YjrX-JpN zx3wm!!(y~__I4Y0`Nj$-dw-A5qUNw)Zy^~XcMiCoV*;)9HmS@@7H@$!SgN+a?;Ou@ zp~(6P$hcv@R z@U>x3hw|iy3n=%e91808VXn#1%g@=ALlI1KWiGaC=pf~)R~{0yPT3^q_l8Km@Yj+f zF4lxmT!g$zxEKKz$WFWq*R)U9rh2!wCU)_Z2Jdt;wz_mE&!DsXN^Xlj;K~jMLaqq{X>IY$6?5Jn>MTXT2yrrkTDOO=It}Oo5;zhn8B(*dQrBD>Z^+o@wa5 zwbsEVf~z*H5(2~2P{jS4eyf?SCfqNH-NU+Hp+oK^=+gOA3X{Kw4_W&Jcc~GrtH#@z zVBFX93qwe#G5Sdm5pZI^JTiCh#8X+I7@5}A*|=OiewkToGp0z+Iwd^eE3##*&9`1; zCPPh;5eKcdRwG~RVhG##dwIKeE23cS%h35QYgtN^B1zwlH9>{a51JZ4gCXlw>GR+! zlAW76Y`H%RJDv~PqCkTq?=yPQb~S?2lu*yxa{N%@XCe^|RCa%>(T0W^E`+r!-G>B< zL0ycUsCyJaMM^is__l%X^xKLJk(1ceHBj8u0jFzsVlE_u2Pe>-^z#YHw^JZ2|Q>>X~1*n zG|&$8h-oZPOG5_{{~cls))v7wTd=b5JvH;)7GL-YvNax#6h;gYh9~ zklP25Bq{iCAhlCsN=Bn@__&u8oPegG5{Io#G)e3R-LVNsc!I z9#$-JU8=2Pt-s-ffk=vg2&j+6k?PmOix$22cOh$;%0-_PaET&ym`L7NJ9yB};6`Io z{g&Q>6s=R&7Z7Dyukg)l+nU-g3l!3@P42A+rFVb8C4AVj`TVFxm9hW}R~7l;Az$}h z=MRIVH(D-$|JnjfXxddN3Tz($x-P_R<+ge!WRjo<=sG_1LV}dSNR$J(6!CLKl@rwh{?vgrDFS>26e}_Y`}9tJ9>fMvt9N{lhrXS6&llO znMv~(zc?jN_>XmDt`6(G48D)|kMkd`HfPWW?ulB;*?oC*HP3dZyZ3n*Zr`R*=!z-KXnh7t-wD5K$;LnGLbcN7%*sJ;j*?7c@$e&d+h(#*bMs(T`7M;5%-QH~ zd{FJ`Xa(phG&Q}+>=U%2#%rT&C~J{`^Z5NPU})Tc@v_Hy3m$09p= z$JocT6dx3U!a<>;rBo6z{@?%LfQFp%W9cv>AjnX%di)-pJ7Po|*9oby^10Pt?$FZ# z7aXm42p;zy2bn>>fZ6y$vI0ky;&6b(T-yVlk4O8|tH?J~|5YzZ1XSq0^K;!Mbr@hW zQj7p*m8Eh7b@U*(U;xtqo(m)>r-o8UnMF^`Ygy^G)3r!1h5kw{?G_2|SqA92>wevN zzuG0Y)FA?6tO-#SrsY&n0#P0bz0bFL-c1tFP(Mqw!IMs>t={kKAz!U_x@>ocgA5lA zFN7U;dLKb~P|(miTy}i_{uSVlwD5Oc{n68L7ij$W#nyb;?eH;R;jq=_u^k*yYcX;8 z=|Kn@6baWa&xa~fnQspP)}Wa6c}l+#Y0x~C4O~FWV|e{So%gooRSW{57vS4*{f^Vm zQ7uv)-zs__ep@@R5ZJTzSdLNRqg7R>$&ZhpOYPaU0E9C&tuK5YZ^Jb`l;sa%NI}`2 zdHKc)oE8zKN>vq-rk3O%?65EVpD?Gb>pN4QV3(}Ob)LD1JD}%(R&075VMb)fKFh?*x>NCTbIn%;26tmkn8S9s5%vZ-5MZ}h4D*z*s}(%1 zjMwlldfxBf&ZP#_2U=x7l&}|b8~DjEXU>%f)ubeCXJ2~9z~*&DPL5@w8s4&8A0ROH zW*FZidKZy^OWU)M1Dz87Wvv){B6^_4}^ z6w6HpTez`D)o?~N=MS$DEG<9`OvCfRjH$s9XRDX#(bzr`Y*A&4jxoVsa9XgU_jRv% z7V%J-0DsS#$V1nLg2fS8(%t)2gpyH=@^lZ^p3OQkjdJU7_+uo zLIo5UXdSK_lR;KLI(TwoGbl&5f@ijPxL-HmW1>DG#Q?ulE;uyodkXmtWH^c=X&POK zC?*1qQXw;@#w8OwbYi;l+SohF@#7?G=ChlwT{)WuerA>^#z^3hiFt}!8?VDKwhd?R zJfZ#!6KB8{Ub~WKXP-BG;b{s?VXa3DWN`;#!2bOC#SG{2v=MfHR>)I9xI{@4eXb~j>)cBLe@w;hl$j&GQPB?E-=+(ldFc+F!iiiq|Skk zh`^IwJp%y=!9r%J`^Wn?Evb*;Hlygn?3Ui-Ey(aFNB|^EqyejecCp&=4f1035y#Va zq+4&t3;Dt?+U2Sb>Zb`KG8{7DYK(tQAWk3wEhx}pcbX$EdKs2HZREHotuuP>07KoR z*!=!I2UvM65Cb`7t5}F&)V?KaebrgXr+v}2a(jQu2*^!KbDWzGVbR!Dvt&4Wef^yC z5zI&2b|V(xG$6+np$+54U;o<)6l7S;^E0B!d1zWuyeq8Yll-rIR6-DS1xAnkkLF6& za`^z!5c3iHg<--X3jajG2Zd1 zEWde{pEc&*0nf_gG!fUsdg-x zphmGgd>mI6kXiC6BPgdvk!V8&SC#XX$6LUwf@pwq9^LTF0N|=R_wW!u|+h8e6ajX~{*k zZnj)-aLd1rV{UUMFKKk^*5dfakIIIv7#!pNF<9FZj{2V$ARRKLN3s4>u&P(IR42>g#2H& zMEma=L-Qf~)qPtZ1{7HmXg-$GBw9l?+x04?uv;<8RInte=jpX=%SomP|MGa6XKhW@ zO>q7>=@yG1l>!B6FW0b8d=4I6G>aC?!c1iU2y(?k_1=zQZ?-1oF~tq*us+~h$HDcE zCs~^$h`%#0OY9yh4S{QsNKs+9x-)o+TCO${{f`g;gLP;h<+g{iB0*pUnZ^}jvd=FD zz4L3m&yJU#@dE#j3xlN&kFqxmzQ_&|ysa&N{jV+p2OzM71dJ`JoMrUn?V7xdSQjKd zCaN}hQ1@R?m4~+8M~T?je{Bt0D!03vvY2$274swTjynKHyze)=JtLS@WE!)%c`W*_*XvN zJBKRtnzp5~#Tz-+Q-0NK*t zIGg%Kluz$}VA*v+W-Y)fL}}=tMizuwQwC4K8R^!Kj+m$X=Kx1?MYfcfFPDLP;L!mN zOBW+O$1^4Cj{{!jv<4SEW(n<|cqP(E&U6ZHcr*N+%nBD`8n-u_n4z8#dGgmJkAIaN z8Q`6<{8_Tk{Y>lR%y=WOG%%M;DQH|KiU%hsuuM*;yiz~vAkUn-EFSqMtX={X5=!0~ zb{oJDt_o5Wt!Lq-l#F2V<#>6ajMaZOf;vyX%ctWZ8Q--EwE;NGbZ9c>5=?#<-6_nedXcQI{&6o5hk~}@M`KpK;LKiv zfMGqRw*FWF)RJO%E?V#f5}NC%t;0=eOe<=BNthr#eb_s;>4FesoERN19+2xN1loy* z-01|LIOVAWiaN|xBAK$Bt5EK%*D=lm8pHO!3qomjLD&^kLJt*1s%zwKtTX6;@N|Lik8N1 zZuh_EGc}rV5#WFaPMxGEDY5%Go_XbM=a^uou@T#y@%aFbyttBls)@N_5_5y#;}lQn zIJ#W^2=50Xn0QHy5gs1h>-X(=ZU@G6W&X62F*Y`iOOFc!b{T`fPDu#AWHuT&7Bcd! zr{XnjxQrTf7{~`&Bo)c+6&g(HDWpcOohs_tV?7ZnaOjQhH|uaF0Xz#i&Rb-$s*(kL zmm>0ZT!jv;b;{}r9AZ4v7X4l^amuFwEe=kt>+NY_awv1>EtXl%$}OHLI&JRFem1S% z=CI`)DTQlM)hU7yAX#0R@lAsr4pVgQ?Qyxs*vTo00LN)SP1$T`T1bHIT~0>E-+%pn z7jNEs5=x)(N$0>R2q{gbU#j$>dGQ28T37t*IrV81Zgy$3O%~nOI8(+Oi$lH`n~tw( z_<3N>K0^^-x4KN!w@G=8oCQqr!)1Rb5AtV`#dn&CqJ;~xeM}#+kDAqL zTJ=zO@!dY7vhIRcdB)RNnV&AN{EG3vNLhK@41j8AzW< z7A3n!O}6dWV@$c3kg1naw2T)5>i{Txq`NuOst8&P``x_(G$If(gd+wNgd-ZUz=%lc zFUah%pz%MHzhp%jSxZF|my2o9CED@P@wNI%kVMBYpGBn+9&@BbR3Oy+=7GOiWxrvy zKB&EXdilw>c@f%~!(4KH4-Z5oE4^|Yv70$+&b`EbF5h5a>HPLjCh5bVa~GJ*3^d`u ztb~>$ny-!+!J;?j$|uNyo?#KAovS*9uZX=0Mn6GhL_2^>#9W93|!3KamK8(mwR&=eo@{@X6j1QfFe*zG@B>5s*rRjFvn&J;SO;8807H> zZMcVnx54k~V&l5#Hj3bov}@0~*a{9hRy#CCFNBH?FF$6VkI@Pm~)vk-pnH z`|5lixt#ZWDhc5G(>Nyur3`II$M0V^+;zeiXv@BdtQrjH*z+O-X{&MrGESD?2H$+|2Gm_}kwoVP)X;d;VMyTvfMlG9EFInu@Bv^FEB* zzzY}~pO`Y7xp|&zR3;Q2(CUO}!o{ixQf*Z&lx?&N3MGF}CQ_=!70~^8<}ys`_=vvr zkBg~>zKwfUc^2zHFvg|NrSq41wXiQQsgycJmhg;&WpcL+<=$8Q`qE@2C=xUT#vrHG zGq!}#Fjc#Ze$6}+s(R;W zA`$I*_CDadkHSzX$e%7c6Nk{^a-?B)s{Z)n-vy*Wnej!}pH6D}YWCy|gappzKL|6O zm^S0EzLq(0W*ru=;HAK6>g&%X9ut;juB zJ3l5mGA74CuvW~vZH$)AE3gK=wFqvmV$!az#z2?+y=^73>%Ys4p2S0v}=W>wYX9LL#*Cu1G~0m85#Rz-*kd;w%u zeE7O??Oad1bO6-79a{hIweDFoBqn1W)jos@NSK|SrlJu=Iigs}qDP0mtgC=#TNNoo z7GmQh7NLX^!D(|RN+7o$TguDDO&(H%BX=5TQmDm%b5;wLclKkoHIpKi$z1h3OjdtC z_*AJbhC(}%ZSWw64;%BtAcRvhGk&-4NKk{eG2V7xh91etCYx)$e9ZltNXr#!fhudp zV|nDy*pGG@I*cI^3`r+TanoP;sSN7-@C!YrnR|Fq;Bi5U5S!N_vCR@obu&LfrjUHFmZN169&G1n*4Z?!OtlUxFSxIr!HfHb5b2w z#zLIKG#s&jPNt$W<;c<$F(T)3s1pE%G(_!tWxHO0AFJ4KVxqiiK$E^qFLgHriP$Z>Il(Ld1K5UoktIpOioigARui0Y zPCu?3?h3zAZtsywaKDa4AyN%0&MY;EDPl-IE|U1QTRzN?h5jMQ`e|DAv(RU0HD6)g zA3#A8MBI-P(_p1f#+(h=gQ8?XRvb!I!bN)qEyT0|3graM#n0X>YB-G?RvlRg-6rtv z8-I?;O~7dJWJi-SXJUfM14hLNdl5;_h(xX+{1s? zRvbF@ES#`G1>)fX5^zGuEE#aXN49Bm5D$st>|he}tb>Zh>u|WHGkmyD(}?lx&~H{_ z4=9+dI7Q1Cz=)D!B?5K3yI7OhX)l>ZAGSWaGM*tn;q9Dm-rIM90gr^jtP5@SG>>Jh zWQiuaol*uGdM`PZqR;y3y;sg#M=JQ4-<7UDEMp8SZ7zEhRX0)rvvuH=6^7z5ziu{G z&r}C{#c7dGXZXp#D+7jGy+he(iIvrDf%SLYd}yjBQ>YuDt-~6}Nm@{xnH_=BRl;`_ zr!zEKw&L5)W2T}YpqOBdnZ@yaPbs0m{vjdj=k*{Y*1%_#lnRj^qG?l+SqnFof^1|r7mIq!!VL}x2YWD_WU&#WlSl*_CvztBP7Wg4?(mZ|L~vQ z%H7NGqB(L5$AT_oe`-*uNO_o6r7NEA&OKl3G%L>_X2I-ksJzQ+CqK)K4T<8i zw*ns#5P#!Uyz|Tp$ecXTkXL{~z02IrB@hsx8M5D|*Kkp})CX3(K79V#`{u^0*W?V7 zK8Tu;s85@d%v!Y?FZ4&!==K*q#2wkwmy(&H{C`A38Q?N0*Ug+OaVGCHigoCgb*FVI zRlaiD>!g}g&O4|_9Z2${%Bg)N6VtEv54VAR#5wiQ)LWOQW`a}5W4{r0R@cphE)Ira z7q?+!{nV?sXyK>HH&rGaIHNXoO?#DQ>ZL9)Lcj-ljqJWaz_-~%Ul4m(i?K~?D^nX1 zt(;j_eu4Y^$XApgKujzCDMU_n?{wjN*~A!2^D_qD&Ci&|Xu~(y_B?HP7cLM9pBcr2 z1TjKC)Qz>NG1_uA2JLiM4zX|ug9!gLCTZY>+@eX2C&lsLRLIGUw;NKw_-S?SfL z=woRn&Y94uDAre^0PL?Q06cEa$vo7 zC6pPIDI0l{ZL67aw(qRWT_P~5<6eyk&!%@topNYJKEaP~zavI;lO-ti8Zz*JQavsN zIKo*1Gu{(RaX6f3`WtOJ6mNx0vu(CvBxR4bRs50Q4uG>D39{%!vZ7Z7vs3ca(%T9~^fV4bpb6CazT*rYFKp8OznK=av!B?04Y2j$<$%$+Xr-J}H z?IsFK>nU@Eqx6x0fd1&1k^80vNFM$RVS!2jN@}kAx!79f85eHl8f$fO=1VjM)Nav) z9B)*1n9fAGIOh7MECf^VO8|utw3q7!ub_3TqIc~v-bFLDg`44YkgHbj>`?0~ry_^q z9ZRwT{ZDQ2BX#x6UF+SsNp>b zC8Ki<@{326NS!MnBwIUmDcNOMK@QHD;W)auBMDm!dTDf;CT=ibOnYCTL-$UkRgEgS zp2!JSs{__1V3G(nF%75t6faJyasmJ(DlTOCEHyEC%-r-n^j=ktX@jxE2w?$>o5N`p zSvK3P*x0Dd`w#TH&zW70Whj6KW2e>&$y)N1rSKqg*@L3rO5e`&v)~(ZXjarvSo!&% z3o(4HVBriHzd`wsislYRPk#&-zIX3`-rPc+96|?V@{Z7&?qjtt1GWk0WTBbj@N9uS ztWx9~&nt=)#->HpZPl^oa~|eqU99B>p8Ji+Grw+gS_*zRC9BNLGE37=9*Eg+bV1~9 zr%FeR79@yf|8wf+TkXRJ@|a?+YSlsoXMC8^#b#juNB`xQiKlGB`|jL`y&;0B2rQ4n zQ@M@y3)iObm4skc-ahvxMxC#t7ZtfaSlYvvyvHh7^lHuF@0np&HYyM4n3#(%QHZqx zml5RhT(1UGqY8T>^W44#Nw0xGWTWP98_R(?)X`}>^B>s!8+VA6)as=3#+3tz26&QU zmp`mFXEd+Kvq2R=fgLO_$_yQ9!<1*D;-fTf&8AW2}S z1ABsR-Ekc_gsopV0;dj>`zq+5tbgBs6S%nxOryZ9m4{H2>V}jwWqx%`uFlRKl2pTR zAX@?iW7YGM$MU$3zmDzpN3Tex-`F`Pf?Xc;+T;ug;KU7SmU@&%$e!Pn9a2@@d=L6LwZkU$}YD8T^LisQdZP7;_A+_FR`*z45G^X9D+Pia&FQGv_u)=aE3s(cv# ztZEPe?=;H0GOdbj%8P9dPVJgMD(gfRHdWuPY98u*n9(X#YdmNv4(&*UgQpGwfP2-K zx+{;x-U zS0p^&j9|Y?BzsikaUYCsyPqWIGk-NnX1^eBb*NW8Ljcp{qC9(Fs`s=L9Vt@u=N9xc zdg=^R!0Jt4(lDMao5czBk#H5Z)IcIcpt5aYtntfG zK?T-^lZW5;+p|=~IC1_MrsT25rWL`IJZ;4s$C-{JPl}RH{_-`MYj4NI7U`$dKGPli z>7a+vt|5>brt=#i2je~J8sxTuQVegZKk%8|;xBQgo`lh-6-CZ#NZ3$~k6z}^-+8d` zh54Ov*sXPqlf&l7IhI&1Gm9g6m5ZfTvC*A0Y1N1YHi}*2b~ZMT zAVi!Kx2kl7$i9c9n#|xS54pXMiBUQzjs& zV6jA&_+&@er#9gQ*^@f3M&xuztz+ri<_0d0QiQKTWn?0-Fj>AIqW~7W615~cns^X% zm}F;0P?7ICw_6-pvIzZI;K-p35dc!@>@uglgL?wn*!DXpi>8VLF;241@M!$QBAPEMwSbZgG&|!EF0E)o9Rk?rl`? zQ4X1dL94-Fb(I!ux^~2nuE`eVrDO4p1`G~?9S2T>!0-c?L(dUo0Yv)%dYV$4DOi7P zfGzE8a3lXIgVkYonqH1Q=lrYybBq7 zWActGVUxq`6rt2CjlE@NlEiw9W9R^qEW@cH-s- znQYa%cEkdmO19;ycUpP|xLRM70$CdXEJX?KVE6=PC3>h#ZmkFM)@pqg>|T(UV;h7}k;5%HB_L-X_h^!`= z(4Xng3auA&c1`#ppE#br0(*eA)~t*d{sENUKb0+yI#`kbpD)hk16^<^@wqZBrAv9cq+yiP5TY?59rn> z*!XlRS04a7jpEPW=6ywpoMW?h%dM}3dN7KrC?1sWe0bFUP6%dGYW~j)P`jlzU$(7Q zIwBq^jXHmE$A?Cg?~Ks#dx{a(P$NHk6LITDnpBd)cKopQCrz&YdEx^qd*Ygi#L|h* zvg+b?dC`%upH5HnQ~|YW4K;HR^&Jx5Q?kc=D)38pr{pnr)t1ZHfOndLY;AtJ5;|7v$0?H+}eq)ap{N0d<& zuH$wS+h(AXmm0^wd^O^_ZFan8HR}9sgkuvvur*8|B{vTf_+eU~jcXQU?LAkrsWgK4 zh;i#W&XK^i;<@IjTuRGc(_V$iT zFuuO>YG=<2Yva@B_I4&osvyMx7ceq%*fomzlQUTbpgCJV$=n&o|Q#*efGrVEAI4*zowhnwW>#Ap3@Y$@uLiLP2x zMQ(spi1ogM3~Jm{hD64E5`CGfdK*O(TQZFO-6PsL6G{Hmu84Tfgx-paisRn$C@^gL z2yv8tP@=n9&`d2!iMf$}n@a=(;B(rFI?hDi zm9`LenZ@c0)Pqcef3ZhzW>tx(gg<5ANffkcrH1WQbT>2> z;$6BoIkP84%F;FDdea)(&8 zm^gFbjwLRxVNHV8>ac-E3srX&yVoF9k@w$fOb_LKG8)mWL%N zsRSjsfCPb@oRgOFD94*vhPFhWCiB2o2{Bh*^Ob)-IdMcaP1g=35o{~=_9vL+?VqrJ zR!K?(oy+2bFRs?9cVC!-{dtRu%iR}i=G6}jz`->sTOzyuV66IUWD2P@R&}DK_bq|HP-#aICBN1?z^??^Yv2oJI;Z&i}lz*c($3#p1q&WGrXf0 z@i<1G!i?*eRdFYH-!8UZFA59Ez^Fr*01FEXFxfh-342g6YAUi|J;@WJ+#(FmXVm>;**s0$Tx=oT}P`$h(EY z_idL?y!gG%6+-7t1&1N`YLz&OmA1M?lwL@4|Mk1*fQ!~i{yETyk%_z36)xH`hNd{J zW>ucNlLCs?GL8Fe`6+0*I9*9HY3>JgFbBPF=A#{A-KHXrsM^S;X(G>74T7s)WJgU$ z>kt9nZA*l{IlJ+2fhBTRe*-CrO(r&_r%`YB}S{=t>)QhvmeLeHI43|&5L2wsX zb*{St>BE>yA|F>>b7g{!6D4>BI9X`IGV@XhiqBc+Ojt~*4EUI;V`{JtgJ?Ds0SvFS zqJbq@{_qh$F{^?jTf~9l&RB*`G?iJX!lEuJ>@+n*2iPOrnM#iJ=(JNxWXQqsdQ{P< zonI&gM5Dy_Asm8Rs|{6nxt~Lg(zf9%Kw1+5xODJaO@i?ixljuptWqTb0j~nj##(&R zumUn!B2cL-z;yK!>&SGypTGiAN7gwCPV7H*N=zA+C<`<)+$5Xs(!Vw0Y>wqF1TM0< z5;bu@+U@wdelY%t>x!DEwdV6Yn+#eS9(g1v_+2;u*)CN6PAae2b|C4}4wegGQ(GoeXeZq&!ZOeCZK@PQplF^(O}OH_n9 zMj=f~ONq%AcBjFf@?A{XhKvLB*{Er`X{E!Y78P6dHsiZ|Zc!p}DQVGV4COcr`pcmJ z%Z!9H1dgrrosbNKB1sHo6{CQ}Bjyo3EI{z;+!=K!bZ1AbdjqcE@$u<=>U?=t(Y9_G_t=ClqQ=Df{C{zJDjWyfz zN)nQRnk-4p{H=D1)u290SkQyy2RtgLaZI?M(aM}p99Y~#v7W-PZYy9Bhe z_W1kvUkv8~>PM=8+Vx8b$Y-rj2%`O4R}asp-I%+_^Tnc=`%b(wo!{m4Svc%Xr6eWE zj*&$J&;`Lz0&-|1`M46wNZg%Ci8DG5&+=gJ<$bf)KxzKRQj}0wtpLtpcNbGhAMyKe zX-KoE4tn9-7cAvoWs=I+68@&0ogGeFkH(di8;H~aQ)vntHH^gLrxOoT-t#j5zw(}3 zgKT0Pcs&tumllaafJZlC&^**@*6@CHo9Jkv%j`0L#5&=@M6hxA9bN|LR@MSqH<0O}x+1QzJ8%?A&Bays|E`^WmX?{Iyl0XI878l-i!t-Z{J4dGpIGg81 zj3bMI;bfL&jNPwiqPn>Ax$)CMmNA{^IAdzrI{ZMV4@cPwUp3DisdYCTU%+nSt4i&`W%Fw|_mUlc)L9rc#@JzeZX7 zF6tCF+S;Vm!ych7sz-?>ru>XcAwW_Mj}m~MI!c=(?tPJ09sS$5+Tbj#>bOJ=6SFi0 zpT^NLnRGPEbS<^+%2Zl~jST z!b->~>Z|$oVNBQ?B$nYvwS|)G;I6jOKW!&8OE3brXGn+3gtH|wyR-K^Cmv53*ETC!+n73TKd zdvL%RqKqRnrB*3}qUw+*(l|z=7?rVNJY{%Kr}6R1>c<-(17v3eCFyxg@aDLX1O+(t zGhdmeW&CXJyf6`pl+!m5p>tQQ=7m8KCLI)EVFH(q@y^ghvSjp(Y0ZP;%uI)w*_PA2 zRG@DFSwNJStM&#XCD zf?vP?hU@ZsGM}xjZufef4J-R%EJWUB+n)ebvIGc?7+#e^w&)#sMfn~;zVtNilL8TB zc`r|4xRFksqQa)J<_zY&VKpRRDy{FPD`=~4U8>7#3F%CxFeM|A4ys0c1h3QxZPLY6){mjo!$KH~? z=qjA^j;%YmUsrAI(K{{<$e{jgKYDDgR$t3Mo}*vfa|xHo;KV>-sH)PxulT5=u(Xfq z50UwZ?EXwviV9mtVUi@1bObZAV=b5{duG+>TcJub8vdyEENPka+G|0H*hWo`_(8f@ zGW&HVE5&(s2_sBc#-&{ITeo(&4JRFTH!CE8GFQn0vAi7Ox8SKb@r*^5~R z8blohc6Oj1;n02Zpc={Z5>z=SmEVt>F|nkqHgjb#5WGgp?ijz_8G%4lPhhU>=)%6y z)`R7tPBA&9>oA%!PLWsudL+O}=<04rhZp}phc(U7`Lf0hRf?I6*e^RVjqYUzDx?+kdT8+RR7&9L~c)#RfVhuP(rb?o+?SM za~ht6y%k&8#xzQBI0K__e`btUJZp$F1U_KD10C!v(7MC{3g!XMyB|*L(IdF*Rsbr1 z8~K?C0IZ7c^n=a7Z`-SX`7kaB5#ZZQq!n!3)c}EIsFlALftgDm22at8@^8F*`zZbhY&y6f z9v#J#_!QlztO^U75$ z*ZaeJBrfi`wfxE>Q+b-ru0f}6Ltb2^zi2}a0K5p{##koH@+*Im_ig+?`>VRml8Sb3 zilibtmTW-4sjv-F1ROzBf#1Qr@#MD41g1@D*h|W@Hv;kG z$OTq6yA{J!3_fMN`2&P^IVeTnGND?toJ#Y&2yevJ!L!XRl|fs3oX=R zN}oK4h`ZQWPEC@O)HpHN(`LPEhP)A3z30*|vSf6{Tgzdc0XfD2ikEaisDFH6wtj>J z2t9YLI#JT;k>BMAv+p&U0gOeE=ihUWX3^}{$_Baj>g^P#w%gU6;k_Y(J@u+&+xGQZ zjp8L68V^KZ_0rn#uo^7U`SKr!q|noKJbaLji-x{X+RY2f7UY)a3K&zB3pO$?v%V3$xsAQ*`nS9^R*V&24@RK-caK*f7=iWU*o_JvI(m6hy|1GHvR7Le1R&@6U8LH~nMF`h`XFrX80+ z{MCBD<#g7E5fe|7$CByA+RM*hJ6`$Qe~DQs3bY5MKT{9U?q9(25y~V zL8ePkzX$DCd+P~mJR>oi9P#uE!pfo^=R=5sOPV&cAPwR<|aL9>ARl11Xk7=Hh-^BXi}C##7c zo?b}B{Bw+uN5n15jPnhJIA5Qy{H}igZs3zibKbmQLJ;*Y37{r^#;YpEkOw1#M4YOD zp$QQa68))Ykd>S8@U&%U*G688?VG%KThu(pQ_*U(Hf+-0|M>5Q82~_Bbn8ZwZ5NCe z{xkM2n=6->QzvWlPNA0q^F6v`Yy`#o&a{EfS^fU!V;}cI+d^HkP@J_WI{zk50h@Vj zY*YVRsjGG;B!GD%|Aj`eHgZ5>V&nXLqk8qveW%XC!b1J6H{I?Hu2X%q79|3BAPSI4 zm%nvRTS-cu<~@x_11~%Be3gj@td^adjm^ZibHSS2q3MGJ-;0spi}_hOzb=FXu9(ETrR*pmT$nYyT{HAJneCfeVp@Xws{blj-NkpervAU*Ddqh0%W1r-Z?Z(HpEd&7qi6i-@vGViUYJq973zmZ?JK z0HcG6)T`HN_ai6X_QW-=B|FZE(kK*(MlGjj+SKP?|9%%bhHOIAOlhe~(9y$6()X#}m>B(t{JE-S7p&`d0a zIs47L+U0&Lz#d^=Ajbpi^m+Yx@V{}l=QfrUg|%F-+Wq_-+m+&UFu^%u(y2yA$v5}_ zD}Z+R9)ds<@QxG!&jNtfJx<{Vkpcvb%V%%)KlYyN8g|{U*$%O&g;(D`zl4+c{cWxH zWdu7x%OulieGsM-`D{FjHQsc>G9|(42&9;Ehqz}kIyA4_uvzsK?_Ng_pAJl^+4R5D zSjdZpIlC59|9rD<6M883|4WS_@SBu<{+G(cqnF&Be}Q`LGqHrtqX7g*G1auFfFp8k284h|w_=UDs&(>%Q@v{A zE8u`$^&qHu>_oa#hh8)L;!xgM=zUxvW1w?r&cCVGUd&En)O+gpSQJ>K@Q3jv$8OJ~ z<-vV`_xt1_`bGfs2v%i=2(0OA#dj0rPA&vT72FE}R-~3?bMnuv4YUx}y0h}11!mfusJnJmId#vbP3?3i8aQxrqnozN>m|{-w)vUN>~WaUiT-cE&l+dDHW(&3+wC zt_3pdp;I&2^>mu;XXnE(k0sbkK?!3JabJ4;P9sH!(1hUFrq?feyM!BKA{1h(AO{=^ zmVamQSvY$ewLataIoRs=dYcJPdA51(WI;c3Zk~$`!^&3FeW;uCCz2;ADI#8Ebh@u? zHY`aI{yT`_(6JL1FFJ_Z|seY4`c?^7vvM#lT1e z-%Q_XMxB0d4_4Q8O(+y{@s`O+A&3wkK`_G#2{vTZYZRML-~~T(*Qk$Gej-@8)%O^C zRdcU@U1k>eH}ElA%-m%7u2^6eEl3F!4uIwij7Teh1?FBK-e`fV&eU)K%#yjdZo!x& z`ThB}i}n875oU`rjZgJn#8=2AO4$6_Agt1VFAB*$q*_wsVoUWjTo+y<1pB6dxzX^k(Tb= zvwi_o?%#~uP;H7CrFc2~`U*W=vSla|6^UW}ERv$(1o#^){P!Jq=&pwZZ)DymZjD9i zsstWZlqeP&Bw1kX|46^S z4X%9^41VA_A_jyO!uXN=vk2RH-d8VwT9?agGJ^)9exz{(DFj11~6{;;WE~|`7 zpLiEKEBuyZ*o6axSw_@oqML1EzK6bh(BJdy+k3WdPXQ3XlXB_yzEJaRd3s0|rqMmH zpyR$P8{P&l0$A8Ie2?n;Y@M8b{A~It=3*k?yG8Qp6AaoL@Ap85mi_5~or$Q3A}iO{ z=cF#vt(+)Jn}i&$k!4GkV_&oXG*$SyDZe?h%O(sxGJ;IiNy!d{Z1X0(|8Df_GfdBR zKLlbxiV8mt$>V1P~m>pCL+Cc07ilATnNryFspF98@VIrQnW z{yyWKeOK%dc%|30#cu-e%Kck!d;b53T@bzjFba@K;ZUF&4BpGSTb(|SPMWSjWvOgB z4}Io~b|R+kml^&(*GYwlG0e}+H<5K3*x`jyxlG{M8=YLd7V?xQ&U(M4+1`J+KlS*v z*`XPjVpj}1w=pEIzmY91Op>)aPgyGNutfkMF8m>R+*GN_^!`03e$cmPG>A(U(wa}Z zL+=&rUe3)8>{pq4K_dm+X4&KWlR&jh3H3l-k0UCC+vAg z-2AoJciQSX2d$0sHVUzci)~?UisPxcKzqGLyn#VW0I_h-ES~8?RO=<9`zYdPA1R1f z#Fv*P>bm|{FMe2>u|aF9f4@Bc%JCzLm{s(=Lu*$rF)55M`rJ60&*Nryc${dB>s6NI z_j?feyOLUUDy%rxM~In{Osv12yF2EThv}as&daxqlijb(s2+KrK0TQZ$>%yoYkVgA z?$vw!9lsBze&B~c9zFY3CZOw*>q^hVxQ*aPIYN)s^_Pg$>NX3{X_2k zWvY!YR|y3Z9wC6^f>=Q@Mzj-Q$te(Dw!tS95nLPV#LxDO_(1>_0-MZG*In^C4KPM} z0aWs$RU=}~=&t|cj98RXtYkxl8NvR>%5A+K8ouJf=EldHu&xf6?;3W2J4HA;Jk0ah zjkIa4icz;h(#*L@@7bqBWsjXe!3^7>OxJ_`#Rkf4-SY9WCBY(|Iai*gsj5!`_(fejdhx&|9mAlh$|f6PD9l`iL?|`N>?mGx6eEI zyY9tsDkYg&^-|Y?_j)OV{TfG?=S^2;CN$Sw=st$iT<&%&cJt|{=EpA1Z5BcRpkqI& z{RJgM8we4+#o@xVE>UZ=oj9MvUg~05crkREX9n-yZFPtS?Ca&`*YG2e zL4<@CZKdk|p8xs>qvU!%omi&IQns%*m*r2%3PNCe@KLI!L8q-I!gWjyh#CFEs^(%y z&XMOtWivSzbdKZ`s@c|^nM41}{Sfj)?nBSbyq%XsQV1pmB_AR}0L&@lb8u$Zd+mi> zjaZ=&D`yhX6IIaU3Z&3oVHAvG?(_=i_G&lYpeCY zS@w6{U3t%K*ou)j{cA6eLm3o!{Nc|}uioEf*$#gM`*vCuuy$$j-iZI_1yJ8V^gEs_ ze0w7ia=yxSw=73YV8-P+f?y?9CNZ_>1~>J+y*{^p?e)Gnv8)1D`;=xLn$O?`!Y(v0 zp8esGu)k}2Z$fUGd4ZNls|_Nn-m#?+yCA#w?7cTtxw8bnGOTcyxRSoTKKf}^8Cn)&r;WW#%2ELSY_Qxn}q#Q=6>n3IQk>UnhRX5w<+iP z+;Ui{G-XJ;HTr-6kt?X8IMMHEsMi1b&>>=WP_0z1pdXkd--c5u;x|MX5u!qXFDNF~ z{`GJf-f0-Iq_dq#5q!mr!l7vOe{8O*d6>C!YdWap`6j@*lgC;#ohKG+wO7{tDC4cY zKt%Mg`f}6azpCFI5X#4nE-BJ))A!7Bcz=Jd8gP($yX;!1zjbi|oKDF~n;NM;{POWL zon;*NN+RohLL`;A(^@n?7zQ^nFi)e^W%%Cdz6eY7KQbRR`iLt-x)T}ULm-LAk35O( z@>^!@ZYHk&DowJMc*$*Axuk>+o|dBiaTcj_i(D6@J|~LZ%)=j?`?G|1(I7;Edjq4* z^h$PHATO);B=(YaTmA{8WrYm6vvFL!KO%@QW_!QWlXndJGh|cCz#b0CNr$x@i^|cm z5yW)u<{p3K&t}4U&d#F*2)f-37~U>9|9hCWqoZ@c%GojfDcX5T*(X>WL!)-bDj3Lx z4k~$jlzX!9Jn-q?cTDUohsovem3N*?$;0>XsVF}a*Ko_S-3Gi!F-Gjh)Yyx~&yw)l%iMj5BJJ^lFy6ESHx2K^=J>eD@L^=734(*U>+xWOR zJ+)l6N!g!(qQ&EYm&;eTQT|t-wLidc4QlfNZxVrs59gn+F2iX+$dY6CPTwZd#aP4o zJ>gCG;&M$ZOsqt#XGjXaxvt2L?XsL~S&Rajl_8; z4I(V-7g}xdp8o2&`itZcty878D(6hPTfm51Gi4v;S)~?Jr6XMnD=eOs-RezcsaSwl zA>nFY`eBbffr)fWPi2WOJuR^Fc+_#B%fFonB{|I{AmF5$SHRi9%A-Wg+p>1CYJ4t{ zQbb&04&yf*QejPs;?`oq8Xp}cd=vi7j}kC*q`^l8sF!nBB)r)yO+5<>E$ERw$sc+w zmzU@g{37rLDNj*B3XjdWYw7m+8x3b6mGEoFE)F)fw?Qp<0twXuxz6_%L1Yva@Lwht zaCph+?{rvYYJ5p$5C#EAxF^d1TyxcnhaBuz?N|$+Ico9AhPFu?!UN{YQWV8982SXA zW9&mzOVjKh_O9IM(D|&$5WPqi^y0MGg7EMZ)y@!nFkODwXa(<~g4ujJRJnE5;3^sR zh2O*r1ziC725eSjnbQDwH#kKYz5sIS`MA87663(Y5`62*85l0z)_sv)JAYXOSc9jq z(cQ~?Kgv=3fW;(6Mn?8B`(3lg=a&q2{hZMqsPPjbHZH5SM&dml(D}Q881rkE`?A?| z^kHX!@f`n#Qvg%paCvPzCs*G^D}`X4t;8PZRa3J(%@0l^-^uYzMN9JYM7`i-XH>~3 zd$R_gGn389kDhtHC%V!D{*NkHHIZ=QKNYM0b(J=58+4xbc?NL;{>`hj#n@MnWKX>d zHmMA)94O9tEdFEl#?KjHlD5u~9c^--InP|R&3 zImcbae+(uYlXt!vf3L2t-~qw^a#R2zO9}x25C_RIfz@&~2B8m#;Q-X-r;4&-9{wcP zpZhe@c{E>-gof+)n31bWAxh+)j%;4_z9$Hw z43`0L=1-Nh--E|&uA1g&BRk(e^69yR>Ur-^jAf?5lah6w_j|#${NhkJ8g(eq{XB7l z_V${)*`?J5V^qs42)&>`oZz4-Y-qs7e3E+9(eF}!^=_xd=z&)PQrx$+;QROZTEHmq zQduCECtJPbG8F)D2}tlY2zLzPaVtRUB_TeZSKfk4f!5M1X z-I{#QCI8)L{gy&Mt}z7UyRl}hSi$~F#vO^rg>i(QL(YKZZ0mf89cflVS(>_vs%qdd z*x3K<7kvTY@WicaD+TQv8uF7G&EHFxqWsC9N#A{KBAZB9+7>x&+Z}5^@g81WY4F*$ z%de$j-mW+A2dw?3dU2xVtQFR%(wL2r4*yBYDU7D;PGtzw}Aic4qEd{;ee0ZHcxJyaA)MOPF%X(SBt)%@S;+# z5g{MFx8uC;^(Xx0wXYQLfWqlhAA=3DKJDG?Pn38%OMsK!d5`%50Vdl9Py<;2;)Ng@ z$aUlg%qg_hw#Kf+{4(BKdnQr*;jSdnsC8S&8jg0wBAD3O4Y4-}hh8tPw%WEMhzKvM zK-8g!>mNVLEz0Dt7%U#F^xD5wiH9rog1a9>^~aWe-pg_Z4F35OcHJ|(7GPzy1MkvS z*jxM@*BOV&t@E%@ZK;#g(OJmM~{O;r6*z> zm*=2Pr)KT=h$KEeg`~=*BWy9ybyhRA>b>#ffw2y}OMqC$0gRuy$UK7N@n{ek>5D@c zJx*7#m+_E)!~<0rjJf`~kG1L>-Ch#Y6vwnc5y}}=^7fh*1p*!=IQ*rQvkfaeF(ltU z+nFuH9SQ2tgb~7GcN}a2x~}UJ{q6nio>JyIO)V_k%*;Zv-G`zEgjS#LHd|KR`}6fr zkx|!t+vbp{6%3Bq$iM0W)ar0$*6@DM`32n}PyAheVpsUDKf*t_ULo#FUd_rslcibe}~6!HI(oF9tF??&+7q)kd{Q%gziJ_RasD_ z)WQk{5SL2)XU5>W7C3x2-H%o^>v>GREh$12) zA|qvhip*BlyDE8ELQZE+-HaFaPo&m)H+imO0A!jl^uK#Hkk3p{wXe@c<|8D~+WYs%~(u2?22RAw$6E?rAsNbniaTm9uc1KNqsJH}K>a z8dW*lfcGNZ0%z9{r0tNsrDY*j3gVj1YLps3p^^A5apf=*uhpo|rprJBh{NrhNrbCb z05oGPuN(DPv=z7ISiP_O9{z60^7DEAoL$^^`}Z6$fy;zNLroo}+^O)^dk7<{Xs%?x z^~OfCcgamqIDwMK0^Me}tKqYro&;1FrV9{tw&>nY34$GBtCpZmgeA8{Ex($dj{as) zs(I*fRr%8?aJl`$vs%^J*4C!qDK7~&edJ2Px*52^IzvF*JQr9q6(#e%6aURsQXlpm zNNo{@ibpbH7WUT+LGFSvIOw#IJrp2dBNd;KF#|rHg?8S$1ae-kLHbp9Eb-(OkI357vxUruR(WX`{-if#tci|fl73gG^;tIU{@lqkHog(J+jL9pSnjaTtN%6!574psuKVHN+|y-c2^VVaTUuMl zA@m`$qe#gO7YNmJ=kDQ^Xwg}a60v#w#|zx6ef}qv^dj~6YO{$Oc+}nTN_WZ{=M2+3 zghMGK!i7j2WVcT!eMf%l#J6-3XQ3h@A`&-^5`!Zfj$2v&27yNM?_{hfNBgXrT0%w@ zlA=T3ma72Y5ckqsfL;dmsumoYiu)O=SDX}?!4U2bR_eG~nutN`78d>K zJz{D?ThBx)1szC1oO!Kv8z9PUaM) zeooiVVbt|!BvJhO&O+SqlXU=KoSK-ILfBb@8EWv5kmYSnh`G4x*6L5YlrQMNF_3Id z6|fh&au$~E*m}WLodB8$rhp}WeRIH{qvF+u_-evx?qNiz8p1}Zg-?=DC>*eV!~g(j zVmQ57l{=nzjZX3)KZK(~C+tKe&M0-@(tK)i1VoznGV|^`;ZMk-2;E1PoQ$;K<3hi+ z#OK}FA1tVVc|i}7BE{Jv0-@cDUgY|5%vhk`FT63KoCNQJP@ID%BomT6!K)`?nOmz{>Z%afkbfu)`BEk{DN84xN90Xj9>!OV! zR+lLSVab0}2irb8biZ!vft_vQFw_^&Z0M-Rc9g)QiO(nf7k?3f-pP*>N8|tSb)-V- zHkMHVShuULudPbfDL&88)f+Pi|08li(67X}cj%pCJHyB4N7gTE=5^Y4olY%OGDn`P zFGCU-Dy#l;*^R0Q2u#TIpWL*no+nxYTVXLWJYN9D11sTaC?cB+xvSSS^Q=8#mtBs} z*DN`tWu#Oh9tR}6R3bi4bb;rl<6;B8_rWk-$W7?#4L*G-q0hyeXyUEHk+buD&esR3 zKvWN9Z#@JPY!i%eye0pQ6Oo?QSZj`q!=7M&S!)^4P($Cpf4F{^n5m!=+^O5{Ivj#v z`qcZ~(>nkg7M^5AFHe}+{36cUpZ!$g@ueYBiKfvnAMqyi^huDgHury!g&Kd&|LuPs z+yvwK?e(E~Gne|QhcGc;*yDa_+j`H_X#)?)p6M;RzgY#Jy^DeZiwIKqWk=V~8UVch+c_%aCI z7RT?gX*JNvrK6?Uk*zmi%Xjro#+0nn*@;%^IvOtUoZJ7BuM(M54ecWssZQMryk}hH zH0AL1#ROe>xJAVV2P=z3);PXc2(#n-GkQ18IRm1xX#SbiseK zdvy2QT+NYtV{pW2X9xv=`xVv6`*rvH`B_VwX{Z(n0LW`~k?J!bKrvXg+>!9zLrPCc z?L`bGjru&5KC*ksSkM95f{VrM77Dpu^A@(oP3rhe;QVWx>$((iB>x`x8ULWj|C}Gk zC8nQUR1%%ZJ{PC}q!rw)dK-gzu=@C*&6hh`HCJm19nmF@YxooZA)F3g8DTSMvjZ(cMQWr`=0pu^%9RV0*Ml1+vwSf6xXVwRcH1TXHX08v1O(|@I(e&(7mR)g8 zPJ4IG2(UgVGc5@F#qvpXw3le^r;j4e2xy1?5KSWjg`A#( zb9sthxxlq?MXv>uPWamC$rw?r5Q)BFjKs?tT1SCFmD{@)mnCf~97zdppb{%|1(E= zE>>q5kEK^~uHQhfyc&#>@VBIH003@IgfA>m5S6~z#zeM)4 zOy8?9Y!gR#6m}fR9fhAWBEXfIGsx0&w?G^Xz}jwbPegLDd>`L=pKQ*!^|tiKqz&ng zpD1he2Qv!IBSJum=2=SveP*p8GO|t32pZlmp(yCcCV2CQ`noUcRV!jz8X2l&nWV0X zS~LM3!ndtEH@(76;jZ1gw#a?N0)4SAD4QGD8H{*W0#G#JqxgshT5b#;pCC!jjV*r^2&qx25}K+n6ys z_P?Je$$UMi8qi==`=#_s`W|(MZCI{E&5ei9i2^_t--jlC7W|)m5I{06856}}sOsLz zK_np1H={7q<#@E6%jUNEy-fDJh4@!^1~j?8oNq2K@hI76NYnpX@#)6+X;0ty7O57Y zmd=dJn46kK7QiyxAd`Cxp7X4Rj#?eJ?g|9LQ^U*6NZr2c$&Qc>+Oezs>pf?HF**rG zvJz0302fgXWM+}{)6L3fGL-Yv)K#*oSc+x#UFndaEC{c+KHAUjXjCtL4(<2gfRKRk zNuLHk;B$IBp7VsRV>r2d$C#i2^jB-@wnC|fS{C2v)4RdAUuw!m%t6C_4<>nKBcjXs zh_uYIDY8mW{9GVZq^reFt&+idbZ4$9ACWo%p%8VudY$*t{W#p8f@xSN0a&?*NGI1H z8x=Y;mgg5Pc;f^6$P>NA0DnqBr*om3&xz=Y`+r@s=_@QU(@k+;kDGki3C-FoiRb{^ z3__SgH79e`fi#JJt|;J>YQUTO3GSnc*?SX(YBYy5b#}KKVvKP9)Z^~ zn-?`Lr74v5%>%x|UcDEsnF*LZ_1a&7-;OEN{%vXOy{Pi^&l%esjxV+2?rqO}%GK_G zI^9LdKe8)4FszRvLDX3xl8L4qSfKA$axJTRU`2LE6OyZk>1A63`}{o+_)sS~q$$rN zg}(H8K9wBMQ#7ZV`WyaV){`ejN=kv^+bKS@EuMIgv8#T;i;5ZkT^9Am+`mg6z0Q^p zR9$`h?L{u-ed;ns6f|2%dCEW7S~p{~Q6r*pN z6^Hw+MmV!01?8Vl)YiFDXS<@regyOclr!c#B(`);3_EQF@>ou-8 zX(8#813q^@*xwg7X-V+R^Yx82EdR{v5v9TWLTXr|y{Jz)c6@?zz7OKZiemVw%7LznYO0FASl&Hm|BksBt2Tvp= zW8!Pn=|%HTatj_N*2P#W9w87u5&;h^8U(7!YvvB35?h~wp| zCf#7%XMGO<7~10Iy1np$8*Fo#pfK}&a^>3B%n943Y+YuT5mJ0!WAQ+osW)eBxE}OD z8dZGt|0GRCmC?82x75r{-@RN^8WAxZiaQ^rp_9XOTB8fPoVtz#5?bGUEBih*V`~Lw z`6=#GRkthu>!UFJIEu&YqjcA-tyKo*Ku09=duDvQ(x^1~_hUl&Hng(pP6lJu$P;KI zPknAod@KyTr6;gdRpX>DoVX}&6;oPHeO>PN?BT>rau?aqVt`Fit`bbKKL>w~Y|=QrCf zaI#Bn?OpamE+!yNU2k9JFw~)nT{~=kxJvx{F9)Zp6N#4hj)#2uy>I@FYPC<2jhBxf zRgn37>{}!4?ad}3Y9AhF5T<_`00be)ausYLiFtI2Bfmx1I9Nawd?#}%RvyHw&8bBt z?0DnQ zNGb-LFvwfb*-+_OQJ`{`K496nFz5rguo2;pdo!jJmFB+D{!MFRC zej^*!QxcP~-1i{)Fr|pixan`c$EOcknNyOSdXq%bP}E@FAB0CsYGz1qK4>v{GkH5O zfZ;|F{4!GyoiDs$-h}GRd2r-MVb=J(`COWMB*)conZhp}t`y!~BRWV4$le zn5dJ6Ou~I>)tvlZp?lGKrU`hAG5ITd~}B$un!@eNx$l zL4_|em61t}C4a6CaT|%8^T@9r&~2)d!w!LGU;+KGE)CR=)Op$2nmKZNeh?$$9oY=a z9xPj@2Bd+8INAm_Zx-p&N4DV)XyjvUGSAq6ypeL?Nt*i+-(u+jdcl++s0g!^xs(Y0 zaF^A!0V)4o{qzg60MKi&SIrv!=uIoLB{`Gk!%sO92O3i3c+g?c z8-9bLr%*%nmPZ5Z-tg{Shwh4h#3_O*Hr>eAqs8p+^_liN)U6Pg;^N_awKvoK%kmWY zne~_gvq@*;Wks4g7ReUUI$2W8)KQ<5jS%%2hz)z}MZmchpry*_#`W>9`Jtct9LyF& z(1tfPt7r>pht!ZTqO6*sBEs=nd1@<_2}MG^Q1gC+aXUGE^Z08npP!z9tapNr%1e7U z(Vwq`!G_s>2x`nzitJX6%VsI1wzbRYqe7zdVZU~qJ}j)X;?%q`-MDc}v($Bkm_oW= z5*#QZ;(s@on<>WNVwws%XV;Q&hTB|t&KX6e81F$>veGx% z%VYK(3%Z&3!XUooeCARnJU(P@RH-GM=krvygJdD~rqGaAMO2^h$uH{~_Y#Fa-L%q^ z&~Su1y%-&oBbn*tqn;Z%gfESfEZZo}rAG0NWp_lc`!q`O+2EUncMf!AwY2ZI;V`;;(Zrc#PFCT(;L z#XShRLJE_tnHinzxp3-lvAp6OS5@|=m-sPMWXipEnRFCB{W-uh zy_O*vFOSi<60!_3YA;Fqcf)+4RJ`~~%Snjh9&6F4pgL%2aq%sDN#SqFk{+CkeIc3&mSrxL9{M7y$gB)v z`3?@kgJ>r3#&uVYYSz*wG{)o!`>B9({6=y2Rm4x5g?}#yMwys`v#fpFkD*qe1_Vjg zQh9D(J3a`3obSlf%I(VutMNViH(HGWgA)`L#ip8BJCGy@0wWXE#*#!kE?RDW*YjUO zX^g)56ound(q5C4H%Eu4g|`tNtQ)2_D3Y4n%X(Q%$~$cN{#F_Tz*8baYlSMCMqivV@)U!QyUQ43hHSC!gsglLy^07HRY6oQBVEaAh8-;i^a zt183O8;h=Auc1&CCWXot{@ONY!5}@z4lf^yCjS^0Sq~D)h^13n6m^sy*hDg)Is``_ z3-DbD=SU2UEP@kT;V%81LZmb;GAp!cAbCImA5|?(*-{fjEm?88i6Cui&*6>j-^H|0 z6)Kc<5Tl9^d{uW)SP6QCS5M%99P+!N<-^B|)IWjIFg4&cXH8F@8c#f{Y}hqh*!)&K8oGzCo;PI3xp`0_|Fix3Z3d+OtofOI1rIPu}HI5MD5=W5nB3vux8ar7o` zamOAgtt`_*rLX~ZNOK2fx#NBY&l?Z$`!k-9Z#@wVMjP>}*U9sK5M>flT<_o3 zq>m+@!_Rk>Z_1e2mahIR&ef<+q0AptlqRq|P`2dyq~eX8tjCtAkRs~5&McpjVwskN znTd3EX?ZB9q&|*jupMU}4b}QZV5N1$fU9c_ad*IC!3Kl`d{?NHj$=WmLm_elG3vS8 z58}7I5iXK%K&ZT9eNFs)!j@3dK`o{ytI79$YoGAkrlj#?H!NyIRn*^z3nhDLzl0IV zzfp9`wyCWB5;FLCFZ+|!G)5E+MTe$(khxVxk#B>R5D`gfjZ@>Q0BmHp{V0z+A|DB4 z%}(9%5cpb9_!w?1gHtj{cSSe+dHngz)#sqroqC*o%gr6VU;vpbCyw!0Wl6pF4fpeaCA3Ss#LC8 zDDHPx2UT&|oj6!3J!HW;=nq%piLM0s6;xqyeF_KGFWTdln|lWclEZIFa2t>G3kT~r zPom&AY>T$dEsS)@h4z(}c8>S;RDs*9-LtsDdaE8A?2<9kS` z$3y|$G2nxkjj??^Ddo#n;jl4$8ANzALt6@%EM#URwT6cH^K}I+g??dWp~l9Uum}y{ zXP>VvMe*mb5Byg#*>!K;+XiE(E_Svk!KPV;)+wR%&y893mx;`}8AHSx>eo2pB6Nz8 zH)_nsnzz(AcMrVlJgICbFDJE3#}}{;E|OhM>8=na6mOaVp9|yuJUn19ltWtdb87^! z2y05J_O_27k9UX1*hdo?i10^K-A(jOsPXBIPyUIQaI5$VD&UYlL_H)W8A*~^dQHyL zA1sOt$2I00nyHy`QtvfH?NI*e@5pxiOrGShEmZK&G)2|>fF1fXpBLj5=a1AdPUY!Qk|GeDT?xb-LRaxn3&kNHS#gx z&KmB=7;b7Wn20?DFHkW`T`LFyqFZi0paSnfT8g3tfKb-nsM5%wxbO90xd7|$R^7;@ zlgU}11sb3xu|+`S*`aY1uqTG{Bu@gE-yZgB7AQPYkv+i9(w;Bj>c>~T_?B&SL3A2y zmYu0jZp~up-dl-CTOX^cvpb;+A)rI+=2Bp3Ft&^9{Hh$GxYR&m*@d v3I22ok? zp248a)1DF}?qgyPw^c~`!_|s@D;+dI%PS2sMGxpoP}Yf89}XHYqq!mnfB{yP#pb`iGnY+BAQ<9>4v6;L6^tjazjf5SA;v=U}Q5u+anfJ|P5% zB*gty>EM~F5?0Whu8t^+q2xMtNvHZJ**etZAIUr;M{IG%cRki!^!#h3QFOp9g~ZF5|--03nnkPCn-`~(` zM5>uErzTK;1EB2olH<7d5;=Ws_0#w@e3KEYRiY3DWgEp5ilC793^(Ai5R%+#Ps}GG zqoy^-0*ZO8EBx^KEO$fXA;N_e7{DzcTl|-o;GL^eBet}{Ul+U`pzZP|2-Yf_j8;(Z zLXHZ?M(Q1NicETGIejR9JrD>G>U;lj=P)qtr`S7;ws;VH3a8F5odjiZi~@h*I8&~o z?1n=Tv%nSqBb@%DEC~PW@0J&&{wMJ112#kx3_(zjqCg;dY1j5UtlwmW!bn_DI?jH< zhc*jYpRA#JRxxIR6xOMdwS-8@%CZ&2~m61O>ETnJ>TwAw66W!7l(pfMH+xx z`@7Pa+LtL2vUsSUu3P(8;Cz$%>-lpaC25W8$?DTnZmR$w;&>k&_;2(>*!3}=epDSi zGXIIzin#LTcjkm>Xgfm5A<^_2&qtTH%CmM5RAB?xBZ6d@B2Z{IDoF@JXvMeOem_US z_Hj~N@9Tz~UjF<-IcJTi6Cd&^Z_W2LwnXfj9NH&=;(1NS)r-p*8z_%L@$1>k>s?Ft ziKE!-{rD@Ktojfcco#_<{Pp;>y1hh@M$t^5C)xRzTUPgvWq;?Fy@62wpnQ)JW~-;*|xs@Viep@&At=ZZ5V zqaE+!YqNST7>PMvXcZzawT^kZx~v)#B)`!}^!7z@1)7fjcjSjV;z%D!secV^YY2lA zrx&S@7qXb>R!lKoY$-Dq>W^U|Vj8@ARW*|H7J${@V?|oV2$G_0#S+u6cUUIzG{Lxl z^kPAIP!p`doYv(CSx_FIT`F@-IDZcSXmm{>2gWng+sUaT(Cd+dOH1$=_bie&mWgQJ z$dcewSC0@|e4?QY-=IYlXGmIQF^_l6vtf57_yxD-g-ZXCJ~KA)4(0wJ{W~N`2RzM} ze*P2hmt7no!-Q@usEjFX%K0>EK<_XMI>qglgMdCL%vx%&A&%M_mv0V9;mvtuc-k3@WoY1REYjfFt1I!n6Hp|{U z$^&6a0c!GGc`#)aMTw#6FrjZc3fOF02dTCx-v^wMp8!Qn7xW6@GpT%pRGNS~m@asV zoIH9GxCp$X(mOdR)jjd_qM?p|K{Z}gFMM4Vm@+VCTk zqBIxSkc%de^su8ntWgWDx5N-8MMs_0%OuNVVkIe!(Eit1PRFeH?Jp20)9Y&d_1XRq z13+)0J$MP%hcJ@UR(!rnd;yuh*;g#&LI%W%rhq~;2|8mX{tyqhvoRzJb z*Ly_33_iYlXl0bRSfs49a`cPIs$P@0U40BW^_p!_D(~G(n@Mr`1cK>G=@h94(YtiW zTZMBgq;NHg#sw)9$8*Q*U6@mKJ#10k1GUPiIOpW#9DOhKG0nro$js5zY~zEg&^GaD zzcp%QaExQF;}%3@1JyXl#vT3n`0Yx?pF|uJ4w$15DpItY6V5wZdSg8hoD;JDAENJ^4zOLRx4@5!(I z0tJvjbYT*_rU@|v!sY1=Ff;V+#R$xr^*T1)@)T2&!8Z;#i9eLj8Q!L_Pp^^(E;temysz$0t-(cjmz#n)e{ zs^Yc~|4Z=7y#0sn*Z5l78VHnJx&J90x9hId_*y{xrap1d1w%1~KC8^Oc9FY}%e7^0 z>{5EGYKg?-r0*J%pPye|i&LIjk#|V1@Ja2vZAg8enL7{V3DYucy%lytr((R;)%vh% z-L0u?H$_5mX`WaJ<|ETvkTZwO+7Zf5+O|a18Pzh=Qm5qYTPkw_gQLs_|3S{3z&!B! zJ0AdUhULJEw@(o$KgfQ<^xq|VnWdjNx;yl?=x@^SHjyiPV<0w>vs=veGMDxlUk{yBj zc)ao=7^!Kj_M>Lxg)}f@TnWe?72fmoC!6sVw)*7b%s<-j3CD$?ers3n(5KU=YL;G* zxvY<;k3hD*wUs&fv3G=Zin3z_C6C`1364>ulFV0j`@v!5A9_k+JdzU5cENLuls-Lyw>BA zb#}tm42XrbL$wF7(peOrF3#@{1fW^!3Sq~?ld}rs6r8MXb>~J zKTjyh%yvt<2RSpa{Gw0L#TwqYEb%KSn~2Yh914-{3UH8=+)}m9cZHEu5!{3Ij85`! z6L4(O)GhLn0E38qzt)cPz5o@lYIm|6@PmRO=kQHC^-#&RRHlr=;*ZJFJw+d7q!XkP zgC2v^`IQlV4}~Bz5u#wEy-mW=r5Ieafq<(a*r=hm-LKEX+bL213F+3}=hOuTR^zxqluYLWvl&&6rI=Tt+wQO>K_gBE|7ScH`mQj z_}px7=7zZ8LjDZwN%D`*{(H%K;DKo5@?-MP(I3;+$|+1PmRim4Zp93=i+Z`cveB!$ z{xc5a zB_NT%$T-hg98x8wT@2QiKf3hDVcyx~25rzQOdED{wYA%X%`N`h-y{ zI0AM?NKNMnB`(uL?45_Vc$~ybT0R3KAXTX8nIPW9)&wI);+kXAcLvlJLvQ5mr)F(qwm?d2s&>_`BN@d6?6UnZKtenMF) znK!Zrd9JcX)ewl-(`4csDg4QWMr-4nhD`q*-ohK*-QLz89C|u07ZWDiSF&z`Q$n;c z{2FDORhODII|obky)1w8Fj723dFqpZC_#|=t(#ENdT#?>NO=7;AylZ0X)o>UZsgfwWE z?HBS39Tc;3DTMfPSNqhV6;s-*{>c}JQ!I$1glNp&CinP-O^p^=w_YjOy?F@ul_9Bk z^$5-<0t8u-U(V`*A&pbMU^sNo-S_hBc;D>Vd1xrB29V6KBqt|#^nS*DEtGijS%`hT zNX89VUiI>h8z_RDuEoq`Ox7~-oA#-ZJxq058~MHwB+d4pbYO7n-AM3 zZ|RcQ2$x1?3p+gy#|o84_-=glx2`Z_%H)iUk8`pSs-f^TND-Hplzd_#$g}{+q8lPX`Y+N@LR7Vqn<9@gUb8g3(^Qt)3v01`bK|kJ$ka zOn}=Mt#`RIfO-n>gTHq6=!71xh6J*v@HMyN3c3MDecL=wYaK2HO8|(vYij5F6 z)rJC)o(Dgc%8>=lpMTh=b61`^+*LFfk(*%AnpvB>k8_b<2O5uh;~7+iJraZjReMgK z#vWJBBB;(XQPiN?v=(xZ>*U{&o}SjWIbMV30Ddu>@mUW*E@cyELtlt)R3beW?mt67 zlrXUG4yiT<5fOiqF46yCT2(yemy)az1CFw+X-u&bY>1FWkqY3%)VTJ$FKC*+9$~Ng zpf9A-1f4z=fW2#=Mq;ejqHY2ViQ*L3H3DC4pmT}ra}<3TNnp55b|YvKskMyQs${4M zj@rOYCzg{Go)l%^%p3nq>8yKYxp9lY&BHnwo~|B{!EHyWG@2)$lK-}mw~wGtG7f~h zA4k#X+N>d!o)M*YrkKz9OiMz}bqJF7`@utkOI}2eFH0~B#4Eqthgl4a#CFWv-1;2j zB*#1sA5nHX$>kLkg;grqvb8ng71uXU+sHw)#bVxN4sil$26@L?OPSx83kpKMdcfL8 zoA(-17p{iRBG~S%tPS%}kjsejm&nZH?iX4*j>S&BRhPQx6(|Gl*7sdr_8FGNls!^O^3&&@O)`?LXy<17jes_ZH1L5Gp1D1SsH=ni(QtU&OKrOVphgzuDK%28Ls+z zVh9>9!7}ucJ*k=F5t&td3Ih^Iv7)Pn9CWc~*8|K!rf>j9>D%hGTNawgE}t6vC_dy{ z2*0lr`vW&ZkZSd>sGn?a_KB%YSOat?L>l$U1^iLiWU%U(Y1JEzRMQZR8^?rpjRM+k z*Yf>6GK7T7Q6JF(NH)rSZT(dDC96;Ao>95_Ai!WMx16DJQFhRJOuw*&LQbh|i4j^) zF99M&tnvWaVV?i>YAa{4dwpw?(U%52gMfqI5_gB)42B{eFda2tYK<^FLAH(MUg4bU zHk{da)Lcn`(-5ain;4n@aRK0pE%F{kMJF+w^6v;8^)5Q?!F#=TFD@VUyJNv)I#SIBah>^re|5RW5J9>BG zk@=dd_O!^@Ub88Hc8zzGFogbmZp8G*cs~k=R&V&+_wU{X*yz=LPTu`VFuZ>T8$d@>`|+xug^jBdP^R7RT6kkyupb9=*xl^yemGZ znJf1=^gag{tqW$jh-jF}c$%BU6el>aMZ>{umeM4-#`E-bEy539VTadxqvSuTv2E%w z_r6Ievdo)QyS5KAXe|Yc381%ReNVl-1p=ZRp)J~Kg3C+QwHWzP&zdLV;P`4G;4&QU zUpMDzF6SsDA1ebeWj7(vPpjAt#Vk^cl;Vxb;}>vtlonV)>FdV``>O9O!{3vlg~dl* z?%UUE8$C;Ts-_}uBgaU6!Jp9^K5Ej!pCtVSJbV07%)qMXH)y*x;-%*Je*il{#J)V6 zNU=&p*l4d`AMe~W*wwZ=8KLlCPv?L5&ENj+cfUKj|2yX{U%vd3m%PMFp3$%ngaK=r z*&4&_oYG1W<$0mBR>sz{)H$0t?W~6o|ZFM#PxNyW4Gz$1@1B z$7nPHz*eK#X|*Qv+4f`v3{vC1(`b2<7eKq&WMKJxYdQqrcBtgc(p%F3%()0x3#3vHfOZW~M-64I=>iSTQ7pXmye##uUcI zP8C6~(=da>abCtl?3BG8CQKHWQizmlT%x zo3L+@srzYCk|Z~_ZVU!ToO4ns-~c&2XwS`d0Gl4PFUD3nI-xJ`&M{d{4c;$_3kdwUBAep^`aiHomf0Cq0e z%cIf&Rl^~NxPG~7nK? zArer+Z-f7A(3Zq$OYn;#U)lhGA_A8cn5Cnj4~5NO$Ch2#fimh-Mre%{uvqd2iVDF# zj2cBURYc+lwzs#~-CH1`e~pto2A)j(fWx+nr&38pVN5bwO=>BL-lh0SRIDZAzRZD; zom&>gq9|ngdSW;%h`6D+=Cx()IcC=S7N=cvuk)fAE7J{Hm9H z#iyTm6uf;H0xdvYgNs{x@A1kjHwq~-IS>Jcze~J4M+n3@2@RHgVdV2yFY4f& zNJNA7y0JDd3IM3-TBjzo%u!K~O-{bA0^~jEO58dKvdqj904NAGB3f7asmU`^-ODpsd&_*@*oO>Rw_xfIKVw}1HSYQ$7PjT-vW}uf}wQR z(nIZj%oX5IUvLJjV)Ru`RUJ>JQ>~Tw+hRnwB`t}SLgyjfnYWz)1IO5bRz2Bl_2SE; zs#Bd;>2F(^wb**nB#qi+Rhf~IU)uwAF6c&aA<)Itu>kFQdZ@i#g=NvZ4SL7z@|YtM zQI@2fIIf5Bgp(_d)G-!gnHNlKjPZ;JQGB;Oa2s@B1aRyQwb!dF94%N?hZLn00?=AJ zV(1($PNirxn-HS2Zn`^n?2|vpM4`NK*h#wPpafz7u&lN1wWf3K%4jR>w_|{rF}r(< zwOXya)?(|J`H3f`!5FHO$fk%sPOm-B} zdZ!2M2heS_yNx!Nh^}u^q%q!D!V~1)uac!P)-lKO7$qY_1aH5Ak}{o7SrJbD7daSUZ?Q1O zXi}-xGDyTSm2I4wK>6eOTsS9&7Yl#$msd2;Nij`H2*r=g>G zgNMoO2N?X9;h#4q!)~ixG7pX>Qf7uH&OF&n*NUQ8EEZDp8UW6oJsZfLfnhWnMO;ky z!}S~6_df4VKm-P*l(=a#0HOjYtb^U%z4>$x0BW^b*wZvk(4y zt(FI?j?M8h+@6jBu}pQ^Y|5mzCc|)V2|^}6z=-iHMQN7hhcFv0)FNLlbD6t!4t;ghe`No3( zDNUCPVRXFB=PR$fsT)GFNg1T| z@WX%kl9#*)R+Jn0lMtCmX{~Bm4FK-D@95)?Ulqc*O^*ldpgo)InPAgfSdb6eL3_@( zhadSMky4`JkS}iy-p|VgV5$;@Ev!6u_i{fR<@jXEwDm6M0?j`cpwZCiAIaXEMfXqwOH-I_uaL z#!EHK5Iv*AfMhX;lMypq8*L3*y-u?wc7pkQ9s?C6fxWYCcXy8z`7n0p60PNYlu$`H z0u}J2LbefP4i&;8`X(}^lOFw-V60^ZYaAf3<2=uod2XFERuo9*PaWdD$M2M3|KO`% zpJ;vY;>F{~k6Y*7@RlD^q&kh(_0e|R+b~8z3UL&u20fMJR0TMluZ`zZ^2*UNGikevj&XFjtfb(s>q{8&A_#!glRk` zD@#$~Le){lg+d=M?_#fED*yr6v0_5yz2Tyw7AQr4Ghr17z|DHnZMChn5U?}`j&a!$ zV2V@2fUs0`3jtdcDnJl^CHT&#E-xN9RtNOikU16-gt9(cVO+c33<)TG9)`FY*dv&x85S*wP5Mff7W>P1I0C3^*r5N@o z!oYp^-S@Bm?K^zeawnn`{z4FMzA%3bcjVQb8^F+Ob>cZHPc9%ZJ8%HtjD;W$NF_jd zNnwp!EuZLVEW(jN^OXN_Nu?z*`;`#ls$ixFxLoF3xh#m#7^{^s#`+!+9=-6CPp{#T zRAzJ@hx2C4u*V?LDo&`^Tez4*wL`xh&bl0Nmsz3#3S(+%x+n@M{Zx{rw-;d`6|BO1 z%uE6D#DLH%L_h`A#y|mx1Vj}wASv=0dyq(n1R&v z)EIN=;^n;fGVPqx3IOsvUoMx-%q5sY+URo5z z+kWa7iBNKvYmIR&BSk!0=)x`f{roZ0&v)=u(CBk}{I(p9o5Uu)w@aN zjHF1VIx$&>yL+^~XN_^}2+0@|*aIb66~=0SqlePu)bo-giHUtqA30ej6+#5Wb5|}( z5~m<{!JUVXuUHnss(HD)oKDdGq9W#D$>7CO5#6x*9sqW(*XoSs)94KlE-`xeV;@qX zojiH+&2N75>t6S|JkKR{skL@{dpkz2$HXtrxuZvq7DXZauV_Qli~0IuG+ zBwU$@*m*A@&*wvVUkvV4P`Od}Aw3A-02r7Eon_DJc?D&yNJAyc5S`i_GZ+g1g)zY3 z69fb`7^{89-Z20|p^pm4TB4{7j1}ctaJup_YXQ&*vH*aD0kdGQfS0Qk{!WF`?9 zEuXwu{>19wz!(cC`RpK$-(wjrRLS zxjx>OAzj(IF4y<9;r2#nz1wU7!*Dug=K!htQpfDUGgl@AtfDy(kUOmIYjs$_h4F}_ z0TXaw^!jG_iAOARwU;K%m zeeZkUyId}p%jM&bKaPk$@|rik;VnO`P;GYlLMMK73;_JRW2eOx=zCxFJ@?;x9{_y% z(a-$LxBr|{s@rS>@U_u45gBVQ-ne$}@wF0(D}jwLuP~AD_HssXH8B3Kvg`ojO;;Wx z0C@D$QywXlla6@riPPmyLAhVmUscz?#7P6EfDli8>+!I6ivs{3Q&#e)vd3~KE4@d= zXXX8o`Xi&PVyO{-i}}xtK}mop*jb#Je@Q9q`-2t@FaW?{(PBj*ildFJxMWnpU;`m$ zz*=iV!b4_2@6`tZl`r`+`b&gkohd*6;0uNT4od{egP5+WQ}|`I4gevB?+IpFuC3Qf zR#sBJa)D(@H1`Te@joo}rs_BHHfyX!Z`CuaWWWLOmp;qfE)|B)A*%oYgqn*S5K?EW zUdGkCfw?fjUB-G}+V)z@IleyLX20kFD8c+3l0--PLWEF5JWv`3565<+)oHdLz3`M@ z96tcQbmMBX)&PKa|NeXa=2v}fBU_V9pr`J<^Ot|?*Ce0DbUsUzF07Fuc&I!yuTO^K zer0%r*>#(pa?ug0mse%Q!vzt@t?CIF*+{v$;iyJxE0+;h*hTeRuUx(H83xN%f+>n% z4PxNOE}W?{V^1m-%0PhN6jm7la3wB8L=_H1<4F<$qqp^5o}*AT2EW;Tj1HjUz|4TC zy*3_LXjr9y2*){AXtgwjV9@%*KO!t!`EB`JB1!<%JclCFMHXW-u4zL05zUZfce1(AAIDIN8(ajYYSuF`5W&R7#f(3b&I`) zF$Iz~rdTeG*80USe*V4BySu->uC!w3jJ0z4N==}^VB-!3cP)6%w9gYW{eL;~3W)bv zAH3~TUohkp0am3FL=%y7{Ln)mym;}-a=9Qvog|2urfIF#%Can` z__%oS;%~k49b<6)?z+%wr`hf_+Y$X7PRCSn2;KMX&U7rCGj2hr*+#@$Ag8ySNoeP; zUh21d?M73~bmF@z$Z7S;3)A->MpV(%Yg`zf=4K(q{Rkwu+nTQ0Z5_Wuce>)aDL*`Q zF5RpLGthAoO{l>!#R6bt@p>Os$J*a?j(v}9^Wm(?R)pGUE``%YyE1~TK;V8;~)RT zFaPo{f!{--v6P09(z;YCA3C(Z)!q_|nrmq-J>Ak1!=`JryO#bpOU(Spu?O5yr*-Tc zJU}OE4tj+shyWZS_JGrcLV<*o=p=_cpaZ~OT>?Z1@zb3xsxKo(NaCHl04sv!5qNt{ z-xvs6wf`vsa?n3G6y^T3UPX7Mx(@PaU4UW^;iNZ*AiF+~45EML+7vGQgCDbz4@MM0 z--Z#r+5IWyNUiJ(4lQ{2=t1-YQq)vbQZy{2lk+oPfsB+~gp>2LCmjlDBiR^7`w5}N z0CHh*wdUsF65jyuosX*Y6y`@JEuC-3%JwN{7k#iM1Z^RzxQk3 zxJLpy5uwsLNm4*~`0zomTjJ;}M^Z;TWs#`Dg<$E?E-%I*;-9?#&z^Pd4PXEI*TJ8M zpO`&4;)DS}0?7ly!$%GRz&TrYp$EA}hS%d>BQ)aim$25tuoqYzu9$x~IX^r4M6_^d zH<}FmBQJc(V~;&H91g`bnEX6)ZKvwe5L zog!p1LKb46(aovP6cIqg{e1h1@rKbZdhWypSd8JO_DBQV=yJr|1ls~0Jrr?+;QU}P zi1oXZqnX9>P5iuK0E5`0r0%e!hyVuGH7_(Z=o7AOBPg-&gS0Gi(ZzwQFw88tNK@{b zb@3?xA_FKYzKTw_d}b7jIpJzvO9|LwfMBU0j1|W|AV-i$ObU{s@JVvLMM zlMcl?WgH!%z#hU-Mpd|D8CRm8q6ot+U_LjGqf2JAd98W_fKPO0019`*#XcAJDrSxh z0MO~pH>!16AeQYp+jc#4__1i01wiQZ=i7~zBK3v4ZWB$FkZND8C5aXUY^>Er!lToZ zS)Tj8x;-}hQ7nDt$jm%EFH6fnWfThFO0v zB&fhXsu@I?-Hzh-#*iVfPG$xNOvf9j|Jb`6aDfQpJQ#J*&x@}&l1l*mSMd}h6#emQ z2mO#02qt1FEyW-}D8UyKZ?g;|%nMd-4^J^g+jIQ)DRbiJTuEs1X04HE{lSlXNMKDu z{NmkT7EkNles8)vmuLA+*X()O|M7F^?*Pd!Rzh2`#1AyaqOru*SOXh2Y{;^#R;^Sj zl}e>-ErVh!vCcf`?nchf)XHJ_Hx0(Ol2%rTr+xF}-+s%@crs1Z%O&{aS--7mL?Q$r zqP=?`c=oezkiec=Yh&`wn>QajKASKhqQHwp%38a;yd0dT6Vd*Ccc~p`CrQ$7G(-9B z!hW|Ceg@=p_1l?lXQJ6I?%LOy;q6mTGu=4=ZdB{Okb1LLpYL~Px}EVxI}En~wc#tl z1d}A;vNhF;$}&Azw$?&6w55ttN+(I8HTk$|0I+k@HZK=BUU6{LpDBO~k+fo{SESRd z_0wi%#MN)*`FNu}+v^l85daZ~%nv^J-~|_403INI{p(*p7z|FFIPtNMee9ll?imaQ zBBlnx;M{Z1MZ|KsoFvIhU;5H@>)ig5B#D%;d-s`jP4-kEY1lqa(Rl9_$8&Fg&=Otd z0Kdn@`9t;T1q|Vt=kC=%(1ZMDkfBkY1l8BNt$+FC=$B}hOFjo*| zIh5imML-}xkei#}^>+VCTH-OcQ5`c#2(duQq4a>i@QC6YD+Do;R;ULU3y>J2rs$P< zzq$HT#nB;(8|g7vr}KL?X9XPNMg~1pSZI`f*Z>|rdN9Bb#jNm1T@1X!rp$(oyQnm{ z9V%>cg4P%^1?1#pcg}q*BQ1Uf{0J9a5Wrv*Vu%!&Lg$XB$c=IYwz}JYHahhVEgeM_ z5b)t62hZ8I3js-S6mS_4Q83duzrZyC>gD*Ryoa$IsO6-W0%#N9<3wj#>;=BI_nxoZ z`}NB%ytq;-uV26ZqksQ#rIjMZ%#R#7B+Uo`LnQ4#a&XV~U1F^V1#lFNRWbIX%FBv% zS0Epw#4qT@h?wRoBkx3b@7}%ADTzvyB#F4*hZqw8MBt5M>x8!7_XqF!$VWbs=eY#T zIez^3=Rg1X|NNTQ|Iz#2?Y&9}sbZ(s9c#7pCTs+WiP)>8M=2ae}? z?u!MtDx!#3(g-UtT_eN>y&0)Qy$W)?)bs%24d+}c5T{_e1rF>d3laApIhbczxQQGw zy-2M=0P*tTLeu&=bm5r9?U(p+b>E4P(dV9d?s&7NSgXf%u^`v1V+?Pi9_xoKnUShMBW0>F7Y&Jy1m zUXz5$&I|*L)mucQNXh(US%wHnl1kxY%{C$)IXRh`+^cj2#ls3n5&!@uJJW&Aq&3#l zzaO8KpA-iierfmxQ552<4GWO{8XNH!4B)&W05JOKl!21b|1mPN01zB#6l91b=2XCl zq=>AaERlJ{hl6Zj(jy@ykZbRXV8mQi4nD-KDLF*I)dWNei<`9$1T3X#Xk6f(1r@V1 zY#uo<3W60#vmq$t=mU;9)8yE5hzx}1U=eeIlik^-1Rn=z)*B&ORH%uaOyVBMS!}D` z(5RKx0T(C41INDUW{_~War^)L?5!Lewy?EfdKg07u%+cC1Rz90y5ijP&p&UcMD$Xc zOr8@W6B=vtJj+d@F~NY-pF&(+_2B86z8wu6ktm)x%c`tT;oE<|G`>d z=2)}d5L#_i>&zjt6HIsKSnhHGAVRa&aBsuX4QGlYeJDgnsfD>fP641lp4skCFqjyJsF4JS^VICkvVJKyNNT3fAFgRJ_$5l>r7|G!FWu<2U*Zc9c`6BjPU8f!crSBUtc^~B&&tniMY z2Fw6pEfY~T%ov<$P0%_60wViXT&&j-B3lxFTedLk3Bo;coSDV2C^QKeyuUmUF~JtQ zon`9~C4#v2;-|+7i?X6_hCD0Lw8BXai11KAKcVF@k|lTXV<49UTIVs*(czWaA3kz0 z$NS^z001BWNkl6x`iSu&IzmyAOwSR4Y=>nBO6;2V!!aUz4yp_QUnaf8Zm^V!eG{0 zS^@*WTz9@*Z#vSlk(A~KGCnI{kE;XXC@&CMAf$w~-mYHDiz#tq&rF9cMmS*y!6c1N`F zf&u`5x&Hj*-1Lb29V&%zyn=|hX>8qCqZPFGu<9Q=e87E&fDGqu-|fPH`0hUR_yI>R zp0rmkSbyXZGN6MEoIniDj?#(6LR8?_x<$Vvyx-U$97kAipnhLw5X%Eu0E97zutdBU zXu%H{xXM~tv{s?zq0Pwx=3uPRVS%qg2p9kX*VQW%P#Iu`2nlLK*17ETmMNYGurX%F zEU@? zVj#?y8^vi@5SSzk1rd>=ajGDqvN+^Cus&<8Vw~$NkJZaeh%xm;!DbL~3@bxY)>qj02H-``7VSWs3b#8i3XUoHKDc#lD)a&*bIOE)k zrKPn2h4t%4)}m9|8=Nl9_i z`|V_B`i%8k){Rey7|^OWiRharjt=w8Ln{TCSx`9o)#~^DKx*Oa0AbWOdO^4&kL6z* zSej=AVY~1(dhvs8t=Gwk1W=|t%e>Kj8Mrk4>QUIWoraWWj zMN+0xm3`X+5VO`=Yt5d4ATlx$7GOje3&er~xmbq4KnNBY=DHoZUknHnjn;IxQ_PHK zg~l3Vjr?t_G2Ssf0$9MX!-DrB4aGxd78l!v+;XBbGu4?{-x`nM>FMrVK&}}W2niMV zTmQydbcZ+KcOOGJ8EYjvc#4l6O4V39)18w}o#;#(YnrvXbhKWn)+^Qdh2Bhe4gjY*Gsc>5 zVLy8NuP{5E>dc<#%#7DtjcN_t&TA!v>5u;4OAmbW(B1p)tCp+Q;km_%cK@J{2Is~H z5rM(!S-Usy@KOT+BO@N1JnWAs-LUQ2ye(eH5b@ALSc!jx?G!`qKmx^N#HeXd*<< z_KYRS@eUt6bnpN(=Xox&s5sOX7<9*u9XogKeC9KsDX^(HK9-yKGRw>yU{etZj4@+l z;|cSUHE7ZLYlYG=C%#5nRpjz%^f^DJ=e0^rPLHI&zIZK#m}KyF0~kZO#vwqB`9Ofz<2&`_L6?muz>T%d6B z2Lc7E0mw*VMp!ueb5KDfpB94@xf1X_DAvHn*1DKtizy3&GK2WW1LltRuk`ubzHrmE zH$L#d10r{}#@e6y;y-Gw5b?zPEO^5sKi165lP4x6+*Xn#gTX*4l_bgScij=z9YzTv z>W$Y%r`MhAoD>qa*08rD3k=5(776G!IX8WxGb8YH7?6jL9twI90`A$ii#_2n1G9bb z@MFM5h<1*mhsDcJkdA^TAT*xAA3Vr<#ArpJtUTk+npX44B4-#4gy3t#lWjar1%-tv z3~UMzHgTN+7@5f$FpANDIWfCjkOaD}frm>R9D#PMQBFW9ayD_KNGmP)kv^@%w%TE^Xa-j?JM>ctpiK6vSHCqZ zvmzLmKP4Jjv#WlI*;g1|B5xKN{Vs34NpjK7VDXBM<|#b)0+RbM{4N{W`~UnMiN@k`aK=eTQMNN8V#RIqp9IfL5(}a^9KRogQyoS$|+-VM$Iq zOo};(8IB=>Pz+1F6eV7I8FFKkN{55ITul?HK+6LjocvZtrciY=7|5K8Ie;AVqhq3c2 zX0z6q?RCH}djLQ}1@yIh?|v;n2;n6nhsi6APK>V?~1Jt^fcwGbR;* zCkB|i3;kg1dCC-Z;dBh|sXQ-=IW5~{09-Y42;wpe0N(!g-+tN4Uh1V{Z;%kOBWk5e zr8F&-EEhZ1T3Sm_x3mVEuBGp$#J~5K|IO(o=$(&xCDwx&9>{Q;pr8}(`W8fZVjP)Q zlnS>2AV^eP?|=+enth!=4-3Y$T2bO#;*^z*)Iv`6d*(2qaIGNRXC zr3=8!0xwGX`riA#df(mF+RHAu`0MxItCUuX0AT--gOSu713U1~U;3Bl+;G#~ci%0_ zJTos2m+yUGuTrYh>-qun1M81uMlsX*$LC}xJa?)_K5nq@>9W&>+nyf15w)+sZW(lRs8q61t=QGuJY zn15L$8i`d0#fed9K!uVCtRp$}9RS3n!WeUO&d4P-nQRFj9TSUCdcB$e00Ihds))R` zDWbDZV}Eo3h&GX; z0zYfp&PR_PS{f{8dG1bs(8HFlB966sKmDEx!0&(&5A>&tzMa85zc>XFh8HWH2vL87 z?1KL4YS=_ZTCqa3IDHCv5J%3gPAw!v&zYHZ3Xz~8v7tk5>0(qd z79Q8ZA)@SfKZXsv5H}*)^i@aO2rvMg?9R69O&qCXMH9kf9C^s`M0heRFdb$n;);6y zjq4lj{>;g%p7G3fyRDT*LIFpvz2;IGuB}_Q96vre91e!V;o{;_Zp`)_JN7^F@Gu)5 zJ$CHifkTs%Cx*iz5oTHbHy`=CBuOl@L{(?DVy+dpL&h3bP2aaEt*qv$ReRc8|F%{a z(|d|H{|4mr$-erQ(~1g2=tumkzq<7^pZUjYuD$|*lQgZ@s^b&mzw)|UYPBkO=a^!R z*y(g1+J6vy3?FOl=f3c%zV`zk_&}Cra-ewm<(I$j_uu{6|LgT~m)`@jL3{|j4xEUJ z2mre`Z66&uK5+a9`t>gybJwQr5pNz1Qn3o+^K9gFGqmb2K5aSi^79uV7y&KP!D9#6 zM=TW0(G5%NXoZ=*sYlFF?adAo&T+()7bkG79TePuiNb1$l-5a}4K{4p zxOy{MOKa(AlGb3;we($;w9>{J0<>Vq8!d6j=wL`|SU5OHJktWLDxi=N%!xUuWgY<2 zN*O?QcRpRv7N3t?$y>c~MKy-4jlF@IZ;^{rRD2PA7*sG9nM5k`P=tqUB5g`! zMQ_mt?$%4#e+vsizX=!WM^FVL?|~zI9MvSFL5)V&S-*mFU*x17U_!J$uvS3Vn0dYB zXIywiz0umZVeu;6nKdw6y{sUy70rS}s1THlf3%dO zv))G16O(tx7*J6{n(1|vs|(#VwUL2j@}^$wje7QM-xbhbX^G&Z`yPF$TrSI#hmRbT zs2b5k;CORPKD_sVy@*(=RA&ITKRjqP<#y5-2u8i0YP zI^kr4b1!VR+6Ykhff`~7c=GtMuiWvEUCT=ZL^R!<6EQTPAq=pwH9^kt zB{TE>!v~D9)|lGN^scinyzI(r>-ENJ#6+r?Jbv`f+dkK2UIaLQ$5}z6E)MSz#+z+< zKG7QAf8+o=BU#&6=$*0s>>Ho`{E=a2j_W#h^za>D{7lE%C9MFo@|JFZ2p$7P`8_QE zup*cdu#M#^m5B%ei0F+!evvU^nFWMUN_D%DDk37ZmbJofJapIv!wE~tGb6_+0|SeK zXcK`1Y)3b=v=N&f)H&r1ENQI?Nyt%=(mHwLk6lzLC2||LT230ZR4Zkyl_vETmLEHG z!dOOTr{P6vlK>eWxB)_90P7(a0zj?Cv4)5PGn~MhPTaV$TM9@PNU1l!{E|vJT^{5U z?J^-GiC$P7H0$N1*Tva|SkYon0ZJ60fl=zDfW9KoQk-g$-1z zmAS(w($t}r!o>p&1AqujO8wVYU11rvZfvlHBq3{gn3*I|h&bC>+&ED`GTE7(>lVvW zmSSWn=`Ld<0HZ>vaA`BN*6-dl`}hfgq$7k55!s686#y2em_&rQee-y&Rwgaf!a3V_&2{IGPEQW=+z~B0gF*CFgkMIF)WYu={{@~UW6;4JDX&%wJ!>wuT>t<} zOkUp(Ef2-URh%-dfId003|(EqlPaq#l=)M>4Zn*am9n{@KdFRyiGrGiQvn1(za$HR zDz0%A=pWS z=6JJKX8>#M&%ENb_k8W{t=qPVahcp4x#ZGI#Og{Bjg5~PV}^sla5!9CT0S{5Qz@6G zrlw9DKXLHj6j}Z}(=<&nH_ME%4yu4USv0AO>#$JE@warGIlv1QfkqC+>r)RtU9R2AvK%~A>;Mi-aLr+E6 zf&gfS4g2WpAqBAGH$8;yDH_r0XvXmBJ*6?OSPwrt&g^Y^{%pFVTzj8}%; z42CEy1ptZy)_8eYF6g5d8KmLGAdCw2IAW0+F8z;`e+pS(g^cNFlY!BXUy;?BnvUoB zmd$5ecjI#lD2;h~dOB#T^840pJAU}bUw7NTd~V^y5fK{Y*6nY-7D` z&xy76Ex+~4f`&fu-kx)nQk_ocZNKp=id1m6;1_IhA$Pph9#M!GI=wCezWwKaHH?-7 ztv)_^eAC8F9yx^oEC9fpe&ZJz__yEse;0!f06vPVb5ZO&+wJ!n%|@wILd08s;=<&zca&2b%hko=mtyY_8MB0lnQ$*alZTk=X@GC$5kq<1$ z%`E4e!_$zLn9(oxPOl3LY;A3J`iK70Yg+B1{CJMS&#l{bNcr2h?-uaEGQaN+-t*vt z57z7Tty{PL(-%Jf*Ppmm7$Z9`d2Fq%%+J2)rLUIqV^Ahueb(Bo+jhM8M_%>ezkGLw zsX-(_R36l@E@Y7BnPL74mXH!D_&7EPgxyi0F)M>nfG9QmWmoiMNI%QNzqE4>DtIo|{j8@u1U4 z3oy|GaNx^9M5Pi;no#cYk~=Yta8m#{d~$L)%+`-hBw7Oi1CBM@0C42wWR_)t>V#Rv z>@My_jC4jOJu5eo@ZvNem-7?8;+3zf(6k|9EN~jk^a&oW#!P*CM?lr^sWH~V~AfmXlTv%AhvaDPxmr5mL3?k&lXgRd@E4@~$#H$_= zG0!|BizP9f>vs{cS!)0yFt+N=R-@VN_vA+TT)&G*&3YrSnpVAm2(!I;1eoh}5V0jk zvxxpJ%D1>`b_&yQW&rFh_UqNUh)m%UiNxoeoSfW!)|nVswC{xs#t6R0uw&yE@81(d znh$obfdTFkyIQA}G5ezwgq2L`lsszn^T3u@KL^i;N4}4CFDyLIqEhPi+rM=F`R7OX zDhhsE$ar49^5W~t%LH6l1E`}%k0gnXeE~_D>&?pqad0Oy+5@lmt$eX^ml@gG06c6t z=UreSL#y7H?RQoT1p)LH`;A%yBH_DLZ_ac(44{-UskVs5nBWJ-$s2{l21V6GNs{#Y zJui4Z`AObdT1(&Iv<925rSF==ci(>}7tm(m=qJL90vPHwJ$CV91$=Z8J49xm022A! z^LYRP5uzhO_G)YdymI)pu)Rd~F||WgUiJ0%IdTLTz`a5?j=@zZ@X8T96d5Eu6ck}_ z&|73l!6U)fT@l{K0|1d2nLLJw&d(T*J2^Ma zz(n-@*FWpO{M^qF(e(68sZ^@hY79I--)lDOM~|M^wrx|l+dFjV=>CTf8fyezZZw-` z>^^Vq*ukKzxuIL(>?pRL^=Z1>iFMyq6cu%QMF&rzcb&q468fKkYyympK0KHw3)f~1 zaP@UJjp*d7i}mS}%dS5B4X-9oxxiBJHPPjZ~Dz& z{J!gM{Dn9ET({eAH0%BTqGWC~YQ0{+UatYb@#9kraMxY;Wm#s7sn+V4=Qc?q5Fdp0 zM0$+Oqopdo?81v*_41$i(wDyU@rOPR02?=M{L^>5>!;rIv#0?hH?^_7z=&)o;42~` zKI4K5y^Ji<7oU619s%0ehYJh6288Edb<+jsoiCPRPF?r3YHbl=TqNBK&O85&zx0;x zz3Jw2&OSRdsL)9;_%r69rA&;Ef9ke>V&EOS&ucbYt1-tIK0tqC>#FOX_l4X4u^X9> zg~0-Zv1Tg}g{b40XWMt4+h{i9^5cp^A@a+$H$MM+f8d3``|dw@^DS>^Hk!BIdTW+t z5~}6qn{WQBkAH-K0Aaq@Wsjj#Zl(PAPFakZ#(lZ&+28+#+demMEh~mm!PkF_?1EWw z{7S-^2u~rzOV8U}E~l2ERx9}-0|s7L94sykmj^>~W~ah*7#P_y5dx|!&l|sM-?TBt z8bheWVipJ?@Gd|Cu+c&lg`;Q?0WcELc&nNuNq=#;b6eAKLj(p`S{g3TW)hessEdNm zvvpC`z$td@*h@g|WJLf0xHB_nGz1>N!Ej&OrD`RuRm)3*tX?Yz(_mmI=cd0n&`LGy z6`^^F=N2L@_)7g+35&?DL_$DR5*Xd%%C2zP;li<$gPaHvant&C(Kv*qrQyRE2shI$N4(*$SKWGWsCtl`<+?UK$L$ zz2)*^A^=Ry&j9fHu?ejcndGrX3mA@^JRX8X zxoaP|5=q2>K*t-z3f{e1Irkc2G{D8r6^Xpn4~-(ut5dvk<3FDu=Zc51(jNbQx^fCe zeHxqs5w%Vdt;K9^gts$r2%G5Q#X-D#U@@HXCs-B<%B{3wydi)u5RD=NoT}xgd3@SF zhVl{CH^3VZMk+CwhKHKb^_GX6 zyz&{Z%=hHTDXuK$+Y6@p3jExPjLN|ju~I45>-B7yRVx*jgIS^m)vA>ha)oA_!>Qmr zfZ5(W0JiFlfSEOGbpW32%>%$}uQSt~+r4E+$S>BZv%Pshob7dHd!6xMvI@|u%iB}A zI*o?R>l}=TpZ&r=H7m7+g$1p(1V`GoZQB>`xXsBe?t>BWAZTY}jb^aHl!ph89}Wy& z?nms}yu*tpQ6aFJ$DblS!8!>W?^FD$S*y?XI!-2Z`VJD&hd%Yu*S+qiV}umFwm33) z(xXwny`oz7@Oq_L*WPhWNaM|xFKT2s5!fXHhr2LXRf8S^b`~N-B-ez zfL9DTer}^J${Y2_3(@C;d1lz;EP&H5(cOq=V5O8X1_`b4F^GYYaKuzJ2uTipbuftN zs)!T}Mg1<~%W=JNx+M}B8yUl{!URQ_!XjKf0yz6w4r(=k7`}Q$*B{$LVK<9t5s?W= z6)rgefQZ-u#8^~WpioGPf|6qFf(|#;N@c_BybG_0&!{1i z9fSB4ekN(Ev_^90)NlLsU-|i;eKP>$CI^P4rNzrHznqXdozC&e$>DGaz>ABEmtTIl z8JfwdNdPQC)4pc@g*X0Onx^YFtV6^~xgsNCnaicJWs8Wrcb#!^dWOh4f=B#;7ytMN zKYeQ<`ue_%HCkSS1ZQ=_006Ij#bY2M|8{^TI<@4CIEDLU4f=2=cau* z?uU#?!j8cL03fv+QOjY9%FtnYliAQ!ejjlC@Trab3Ftaztc-nXEtJ z0>9=3Um+lO4uv4pR3KJf<5G$eO<8ULg)0LB0<%&?3M-{FH#TrcXDSg+;KFa#vZ8o; z+&Tr~z=bjF0^nNcK_l03W2~{@PSJvwYfFT=F};N)8B9WKRJ9|R!n)MA42fK7XdClP!!M}O0Z4$j7$XQDhDTG~xyiZd zXYAgiwHyfHShJ-ReRJ|?mgfQ33)V4V2aWp+a=HLOK~p`2by74?BWixEqT4`tH z9ks#Wa7(8mj{$#i)0o|a4?u>LZqKI|PF)=K4Oaoj| z#qu8!=zPGsk%AZi?)dswUi7^$eE8x0;7=;7v00YMQbHnNCvYmIN~O}m;^N}cpx5s& z^p}GlKq68~Wm#^Sjj>sl0fS{$ibmTXQxkkg)4#2{Q+)NU6vQJBP9>+EUXexk4kM>4 zmluQcCV~KptHJ9ca@SIW6D##%*X1BOT~EiRSIrIDs1rfGRuge>MT`Qa3PraLDu z1oUKpMzziiv%QYA;<01joM?kT3H;B{1rn(20!A%q)h*6Ph#{lM{&a7+b*@M(H} z&RW~3HNL+0o@TZFnwP)ot6%-9yWd0jm3zM?Y{7c-5QyYkNjo=fV>#ycE9Jnk$C+79 z<^)9Cy?MK}W~D^L%+6oO$PkACgRteF7DtR^+PIH?cx_NCBI$Rm-V)?AmhY`rfAVMV zecfw*N`$n4`GVi70$_SN-_Smi5{i=g$M5(xYEK{{N9Rk05XOaIvZ_yf>h8*!`6(Xz z6eudlgr_Qs0cN|MX0;9g79dEvVB(pq|wv}SF(mcBa@1HeDu^J%Z&F@Ou%B*(Z$f}U7w*#aOkxNMGvM@FN} zgyg_PcFLcV?Io&stTQk$v#|^SNt#+?P@yqKZuKfs#+uyZsZN6tsDubG#wY?s3ccJT zSGSN5nbS12)*_L~a!M3iD|awt12)Er9Yc_?t+lx^NT|dxN4#|9zyz@~AZu-&8=YwI zst$UeJH_UTfaJ9!1f`X@*1ENyHJ53!9`A02pJ^G#w6yiPlPKV+}KF zQklsKDbadyump&S(pjDZgL z|G&QD*JNsPW6s>X{ibK#WUT2P>Am^wzoe9AYqKo-=MVoq*Wja{_?TE13f^aoMJ4l2 zO8nUaBBGMW?8K#VSy1e&ufFNdefO42C8f1n7es6=0~3&!=hnyB~PhM5DcKY{KMumgkpUaPiI0 zd!A+cJMaC&*`=N|=J$W`|0$Qtd7hWj5+MBYAN?i+@7lDzQZBRQmwo>a)$8@#Z|ACnwdgvh}>}E^9@O!_ewNj+c+;Rp0|L70C?6ONQV}SSm&p#JiHe<~7 z*Iy3+D$x?q{miq@3D~GHMy5czEXf$7lSDaDn3d9`2*_Q-v~061PqntpW6c(bny*l% zCR(NFhy%EnA+jPhi`b+jevOa(H+h!;1m8GXD# z2d=riUWt?HmIoP;(prH>GZ_GpmIs3@H>E@=5*L@OB>op-WDG5w5(~(bJuJuw%PJx39dB5SO3 zXAH7$0ud2XZgQm*TUJ_WttypD;G)KuQmG_cv-DGZ2ufchn^-%_{w~{x@@_qao&!G- zTtgPP>^o9Ok|a{B@Pxq1Fp3Q=4Un8+dc$s&=Xsiz77-Z1-+KW8LBvOj9JF`{pRMJv zNF+}bP^&QWWU#=508{fb&3XfY5y&zdIi~eEbXZ6x0*FlnF$2I{cRpCv8EYh`u{In> z$-RW|t=yq54qr|IQ-HO0q2IUSvfU-SxL8_RT(rz`a3Dfpp5=>6OD4~Iz5YUfQ7P4E zG~^=0mdzX1Z``I8AM*ZNyUmNWb+Cj6$QQ0!p6{ z3H^>Dryg?;z!3oAmd%^b*s=BSk>hEa0Aiz22S5o4m}Qyd)mjgR!xcxQo44+o>r8=- zkI69n0Du#n8DKEhw0zK>TBT~N@v-b-sxxD(3G`npS8L_!{6bISM4g;>Z^LpLt2L&3 z9rUDE92gYIPIYFDwT()x)9?P)JAY4@iPl=*d#9ja2aY`+uAPM=JlWcIZQh>cxwmEk z062L3@nB%#?=(P`Wg!k>*jd22&=G!&VSwFuoC-{RO3x#Jdt_^I`8nnf;SK=I}jBgws06dQC;!e zon^9|&9rKb*=!gqm6_tQH{av z7ytkai0~8F4*v4a3IJTQD+dP4PWr$7F}!+bu80`m_Q&+qJM*(Q=O6rXnVGNInV+-S zeBjF^0C?rKLjd@|9p$Tc=C>b6W!t-cx4r#f`V-d-K5$2wnO}W_Aw_KA19w!e-E9$p zNHMd?^V=RvthEeq-EKojw;xm}dnY0xzUunyz*H*j*}VZDItpiPv>*I(6#%Y3(*n{R z2gowN;_BtcPNe5-F^`@|Z+pzyf)V01JM%9e(%0Lk)^DD1i{(rwxyLPuZYvb^P zcUE8i%*DUFv+{plz4(`Rm4D)zWdQ!*owaLrW^7psxo)>5MRy)bjDsXNNmFZ0uqzP> zKrHwW5K<5`DotdKNz>Gi_sCYKw9baZm%sE!FL}nrpZw$}mzS3XK709p`tiNL{!7fD zWcsXSYb*ePpu+;s8Ib##*wdK^bG}Y4SbKf36szG@JEqx8Lb> z8Gwjdt@`|YpNQIHjfWpSRMoXS%f`pYb+xLJBu!Iib>;Q#tz+x1y5x#dsg&i}9d~}Y zTq=VTN|aJ+Fc=)}OiA}yMjXI-`(zd|$O*?_$nFh(?_x$t!;B<)Y*-m_a#BjkSolYv;~1P515FClw*U?k#7y8CQJGRaa}Rwbtdd zB*z1mxl*ZEwv|eyTCEBFoU?TodcgVx-}3@OoSB(P($rHFRI63-*p;SfGF-munHMRg z1uyE(_h#q1W9?>VzIVos&E4Jt0L;yG$HtnE9yrWHSYBQpl#)_f(uofKm&G8 z?6c3l`|i61?3P$S=bn4+AHM%RI!TzB6eU`-){qU$&!0zaI4v9wd!H< zPEMXIm&?|g*}3^6M>$)2!#wTWGrvKp2-leskXpa%mOuxg% z!Dxm#(OSuUagR+3$W|&PLC_HE!Yns=ZhHMCSS2re+XV)1_~`)17&k?*LY4un19ah> zT=M2<=!`cNk&7+w;7q|gQEsCL@^-9@NRc}l3ECY1c(XVpMC(cs9L$IJjnth2I2E)H zuv$(4Ai9^}`kp3AE5$6XiV+czsMRQwA~5cA2Q>PRLj??)-{ivIo|Rh zOOnK$DF%PF00#&kYGqLOjk(*ao-yHXc2+*!K zr+af@-k&1+RbVB>n)Bot<0u?wTWLldC6{$#-MT!_!Jnhf>H+LG~}G=b=bdc z)f%I1cXga<%n*^~I)AfTS4xfYeLQgN@d6bM4ll&b5;*kKdP(%a@x#%&LjttOh}h|M ziLljZ73Xn9$HM{TD%s&w^MOzLJc3@Mzl)1&&3YXWW_z6xgL?o(dfi)Y`P1Kd&sk@k z1<{ow^tJ-vn~S6O^!;uuY?+z)f4u!SmKrU@*!0p>AIyQHXAp0M;d#s^Rz7zqI7bll z=t%fZj}E~p#?3vCXAOzV8V0$w_LHCd`(OH{U$oW|*~0M+!~=&!DlN7Wz__@zw3eO* zY0cVnEq!;TaQH}0KPbF_I!h*g#Wl+>yn69P*DfJq4E=yV_mdq?%vKTcCD#wc<^>U7 zeElF~c=7cE_EExP)%qnj3_y;HDF^14+%Q1I5E)P$rCVzeod!{8^9Y2blp?ZTMRZ4w zFTHUnt&JK6h#4XR4Bz6MUKM*S1`QnXhi{q_J>?}gECImFp0$+q;O6zqhzJauE>)MD z*B#EmYtJ)mZPJE*t+e}V3m@9M-MPAa;a6=yfNU7&P z?|VM@!4Ha`cxmVK^fWUNkzu~ztTS)BAA96sNYhf9dZ<^9*jW+1>o?!AZepEiu=^g|C*q5> z=1s4>MHFlRxb7Jj@7woarCcIKz>fHvt!8JgV~mwc`bm<^b$iu%E!Cww%kwPT|L}gT zwSYKMX(6!!Ap3PE#K-h6U;ff_uD|KdJMS!&O677{YklAT`_ocMDGHZ^dy9QB{!*j> zK`B*;XbeI;@<8r`UvS@bH1hl-Bd}^J3$xl@37wob|VLF(RZQc}PkX1B$67)FQn*;e zivTX9OIpo#PR@6L8I;mW(JgO%!&`58m&&)Hx}!6?AR&`;r@M(Or4xPcJxTSH6~cetAF$r7o2zgefQn>=);eUkB|S} ze}DU5|LsTa+4qg{_LxreRA)xUp_C*@M63wOM@`4!Ft1iL0C)RK!4N|c5}{?TS4)Fo zZkbg8<(#W!0Dxw_L_YSN)4>>kSr8Qhu+I81K#<79EUs596nGE-16XEF)L$F`bCw$^ zGdFgaH5SW)#D!nI&^rr&kMQ1!4IF&S*iEwdgs1$X3 zOCEGZ02pQl0F1GV%UPoJS=(9%j`vJ%1iL1$7l;2w@L58RH-@mVqkm%LH{hVm-0d&P zK4UBs;^NW}5&8>*?VB2oPD4Fd>_l<>2tY_gIM!$@Qq#RT@!5fd2!sHj9rp%iN=T5? z@%ES>95{2^&bjXV13i`80{nfv{Ixm4tmlq6bdMWn2C@{o5Jv7%R}>EyphI{o6wM)W#_a( zj`k~+VSMAeJ3p_w9d1tmoubL{--3_^Y!oAG6ac(4({_MXTeSxIw?%P*x1YX$2q0&^ zv%SttuQSnT7tLUv?slACZVABaQ{X&e2`*Qc4ow~@czC?GBF^?Zh&0=uce^1WB26^g zgxG2{0o)2X<@ldwYO#pdyQNB6cl_xeS3apij-8*V$)N5Sv97jguG|wH+1Yii(rT{S8>on_i z0072T)YF(2x(mG+d6zMn?sjCrt%_}Vy{zU1fKjqSB9hJS;)~CC;DMv7M{F&vrT^Hp z2Ai&>?~-)SzB`rN(*^+M@n#zUELyZaJ`w^VlfoSvSfmgaktW{10icj+!o$@YYO!gq z+)`aJZkHTUL!6SYwAS+73Ihk1E81RzKJ1NwFjN@tvtHR)o#OpC=*BSfMf893*}S}P zPs+^9nwhghyyZptczyZDE;{lz`_2eBava}4qEnEV&_sJ@kO2Ho@DdSQYk~Q(#~yQ_ zk3V|dyLYdsZNU8CfrI&D57nwwooLY}Js=_mDTD|XAZxGReZhGu(VQD1m1P-KtF>ya zQmKeNuedA-H(!3|zy3M^XswSOKlYKo|64ci%!y7CT{y^-aSUcHj%2)?5>0q~_a|0( zix(@!BW4DeXpe_1{pH0(CyK1K)}l316f+Sei5B$Ah|>nI=(@vs0HyRr7wvlZ;VA}w z^pS@YsWeH`G-bAV?t1;X4}Bs?qJ*@VEh|zYCT-ugU7l;DzVhI{^}V@Ty{41~aQCFZ z-wzV==TfOOcJ4DaY}=A3g@jX6Q(EgZO-gAh_L#;xkBUF?125jPdCSpbN4IX-`ul(K z$L!_4eUCg;DwWHX()oMNec+)7zwnjYwbqq#InWFu&M)=_hb5%(M%zWMkJeAB6Iq5z z=_@Y0+*!Gbo?RlO%ijhK))?T46lSy$r1=0ip zocpa=k^mqOg~($M-yKeNku3 zm~L4jA{aEz5wYZCEJAGB(uRm{JLS|D+P4TH4qtlMTaG>M&O7fE0?Xx~v$M0exA&a0 zKCpJ<27$u&eBbjJSpx(ighvwXk^(!1L7=oGHmxEe6Bm-G6ohtji|GN@b;tiM^15Y>15Yn>rBy z1wcrIBoIB%OZW*XMHoWV8ABI<&WI-R88;?=WbJBLWnD+wH~;`307*naRBqmotc(7N*TE*AtUkr1`X zL=Y+|1hWK9Bn2XZ5a@eSN^eGUYDf17$Mr^gqGnWBKHnmaa~6X&ogx@=Ga{Iw5H~S?|1ffb9504CJGJ?6LruYpiZAY-M7&*i0E@4`{X;{{toW4 zLjZiwM|W`KQbgLpL3@$uw$}P^#JxD(PNYrNX(BS$d2UG)BD%onQG8Y#MTgfQY0#t% zeru%~1k~W14}c^0N-2~!j+mM#ok=;o6~`3-;3GQU^9^r_tp$jMXI(gBuG@4jzvQ!z zJ^A=EQy_AxV*#rvBr)%ST__`vd zuSvm4zr6hIw!8u;b5Gdk%Y&hNdipgh2-cSwKp-A-%n{5<99Joq%c)Fa%c||`+g<_y zA5aM4NlCshwE{oEe8We;dSicDQU63sU~srNI9zPZ)Mry^zN0Z)?@7ssD&HW5+PjpfEDjkgdnci&e!*n+^}3%h8f>OM=rI7DE;q8kS?8Qe@ zj#u*r+L!NtV#QNiI<~U`3XqTz^Tk0FVsLl}1vX{tIsbbkt5=T6MAw}UOZ+-omOMc{ z6uaJ%YjSv^yC{X+*1hY3FMjHZi#~hC+s|<0fU)o<5CcgeW|Zof>N)Q}5oXNyg{Yck za}wsfvSLUiRyLF(4VK)gm1#d~N#^JlWA%`kXaof0&)g*bTs59jNGY5XfcZ&E2}BAo zv^EwHn{KcgR;)J$ZmzAX3&XIfsUEQdrpi=#gOw@RbgKN5lqc8x#Y)luHfeR7iL>>l zKwP@lh?GfTj6ncm;ATZowu=3+0swV)M|V{I9Bat9hho**W9JI&t@7qQ%a`%j z6e?7{@1JwdIe&TjF()r3{DhxKxSU9FMVm_)l-o9J*!#dG2%uCzq{~4d(&?I-nq(pg zHq5Iey6dLfTbf(A->iflbije9o_tDgU*G+|`^}2At64jWLD!L->;$^;&?r<;L);@2 z<1|uX;ROIz9^$?j4BCyCAza~mo{854Kn&Rl;xOH>)KKt(1{n8rG zlb)2CkO=O&=|?Ti&FpY__dWM~@B<%^QhxRmpZ@%Re2FLV-~$g*N^RP-i5n?Bk5w`P zK#W2xX%pTQP>9a<_8If%SdE=JcXvrCIXb7-`ZMQ!V)1_aJK|Zg|6)Y^#?{v}H8!n( zVf~G_eV>R{Z&(XNPp@7M0IV50EEo~mI@_fb4o#5poZ~TRl8N;jS6$QG)I>!6{r%6b zSbo|mr=ktON(7gE#g7Zz7gW{`L5kvi}zp5V>rKcHUOM;=6m_J z-~HhsfdYj%?7*cLoqGY#%-XeUXV00_)Yy2y;w5edul>^35D^IP`^$qo^%SEA4iv)Y z7-lK~0ANS^u4F<8A*Q#^=-$19jcp}H`T&wfjZz2&z@Y&FkTfwe?MW%6B;kZkKx?VW zz?G1KeXA461e>F>LEO5H8xXOsuFgJbL{>HzRiUDCDU(S%QJ#pTZIaV~1PbYix%28b zZ|dV%T|$VHPdM?Od+yO%3*jY`NnXNTU0o6d3arm$dj|W^Od=wH&=3brfT%W^0E8e= zzAuA-v?fi22%eOqW93rW1XqnMOVUbfLf`lInwj0$9-1(Y27Zcs^%%O0!)HK%Y%7dF z2q@Sd9eiKb)h2>ac~T@3UO5N}AP7RGv_Kduhq+Acz~B()vq3|R3=ayXRZqqjbeshs z+VdVm%^LWyfi3APcPB#R;E9p*KU=}qCcSbH4irX+2mzE*=HyJE(B^E#sBjK6jUlG7 z0br%Y`fUSQ?uaHm9Y9UeM}w9Uk;aI%k?+YM2sw9_N$f6MC)iV6NFi8#qNo9uLE5*w z)2B*x&2T~=c1qXXSl2C!H7~2r~Cg|+%302tA(Bw?8n;IIWlpVdhl{Lc`kr5>#AP2M7M0|-2=eYsL9(gps z>V+3y{Q9@Qarf;%BLInr#%tb;vR!b|{$btHF+}X>=$JKYRy3xSsZ@xlR2mx@87-Ge zPM0=1IslkIMIulEnCh}7#=caXaJU2%{LKyg69JO`U(T}nOp>@xL4cp1S>8e zfnbv@v;Ix5(f2ufU7YegB1GKY*O^MCw)N~vCX-vcclwEB5+IRC9CpxBAw;22NTuok zfFnusNNM&@lIG}o5_$A>EY2uLr*L2E`~vwKx(Cw3K5Aw zYlT4>`~;Lr==)!|kt<9Y<^3lx^s@-E>2d9~xw$ir3SUZRjoJ`REs-yoGlA zkC0PvA~Yf*iiGd?_xCn7wjz$R^qneG<$ts5JA5@7NF9S|8 zu=p~!R0?M?U2#uMez`kd@eBXaXOY;D1%RAo6aWF$?L%F?A!`r~so}$B3@z_SIoK2` z^&-1RqCL%w)Q70p2y#-&U0of=9e3Q5Pd+KN#02IV>d*bug&0dq#T7by3QYhnqfct0 za;Sw6JGN|{zwh2GLbXnB)t<*%JRoWfryONH^d8twg!6e;U_Uv=V9{uL`zVGC6Nt4zqS1yye9OD;nKkDSmK5=On zDy8*6fBx!gzdBMHJ?ESc3KWMNe8}}zUZa&hV964WV|vv$zG~ke8v%>)A)r8mdnlrO z_iiKzRahDuJO20+R<2pa5|5Pf_8V_j%2+Js^Z5-MHUPj;M;*oS0hTOY@}F0I?Tgo3 z&0S`+l;t))=thKXT{|5}%0IE0fEyGyo~IuD*3V!18J^b1pLpWV-`u;kW5?;Io(2Gq z{NkbK*R7e^I%8VPGyv%9?_c)ZGbqH_?|9GKPCS_(x%2M3e)`ZaPCw?PC5x9J;$MIH z`*km@pFV9`b5k<_JonslWgQ~oXD+zpfW=FAE!=(YJ&&(^>aYV3x$tA>BjW8h-n{OG zb^GtPKR501#~znb2o%Yhx^G_p-J04OPkI3G#8b;1-hcFwZ`y0&f~{M(&0jG0iDgfJ z{QR@D)}%tmgM>9A&{~rQL<0h9Ay6rI?(m=2-L&fY^=uS;)ZvGsz$cfjIQqypEqi9= zaYwybDJ7%?0jHdLqzY9_a|>xA!D*~bMzY9)*wP@PV8dc>bmXqP?|bx#r%rgwQBOa+ ziU5v2;!v$=-rU*Sw(nT>^vWX+Tl(~h)k2D^ue>M>!;#SuuDPeT$M=2C1~WD`#&9af zb^w6FP%(CJLveF7<`$kOgD~VD%H<%oU@%lFnUL)r{X_&H(4u@wYc|Y5#Qo;ywsZ_? zt%X1#h4h3JChVbGkr;OhMgYu;*7@rOr7 zi3}ER7?VYS4F3chDbfOxCX@<4K|qM40fg3y6+56xE<{90PZB5GVICW{MC27?LyUwGvV-}VCl6p96pYSJ}zj>YFv83V>SFAj((hyUp-4D=NTXEje}vr|N9tZ(pr ze`j|mAN~PEeFsF;h=|LVKYPUCN7#+g0L$;Y_+J;#UbJD?7Was;w{^I&0pSex-JESO z`-PP}WV!4xQ?#LI(Tpc?+?#SaD3!uespR{{x>F!3r2vt%arn?ecmRlkh?LSo8bL@C zagY~|buBnuyAAuIiKGo~4!}^U=Zu&vwvikaty;C}?6c3_(zbQQn&)!qEPISvY~M{gxPRUJ#lKdSO2Fe)3SSdG zhSgu)nF(JYt%)>{F}Amv)`Gy7Uefc0z?zzxR5}%eq4d0w;SuRcj(p0=Ovg%Ni9|xw zh)G^aMn-kZ^^I0uL8JcBc1MIX>F+fDDY-o)Y+uoTQ;)1syggab zT5GRVN{(^t9XjdMcb{_F8SOi^1HjyQ^S8Bab&<-n)^q00)k<&Qz6}8SdU{u^SkchX zFh2Wyd;nQT*lYe?AN%k}fB)bEe|+$6&Kyd~I;g3mh9;Jl`wDpuK2YU9{`wT+p)<%S z|IY;&MZEK&`<{O8*^`fb>(YY`-h1!89e7#=3$lc!wf^mIAGrQI*HB}Nuzr5g2msj4 zyt)yS>78z4t-fCAy&wOfF;nmCXPpl|z8rfQPkD$arG%t!BbI0zR){x?=m~Qei~tD9 zM53;aogm+!EN!a%|F%rQrc>pgq)^N*r74r6@kMJQI(%*r+m7R-bX%$h!>xC!To=EXreK4Ggmp1v5`g-pU|GM$MoA6 z-VzOM^?gIP|^=kphS?vkFNynBCK zAZ3f}=&ONmMBAp#bLP)eO7->iKC^0hI+IpP|KwZW~sl=FRe?I?}Z(K7Pl%$j> z@VmEs|B{P72|x!Qco2)K`TqQuzi|z}gfY|peE;QFU%9nsrx5btryk9vvlpFz0RSAZ zWC=U5ZrZfz=AYj#ggETLrHq;8^ZBd3{*_W#mQt=*yK3*h{q?OUycGp5S-gaOtkiq#l9d8)6k zM?}x_e(}3sUHR!RJn_U6iG&IAm`EhP`=eVpRBrb`A32Ulga8SY=IDhG0JiPyTr8%B1fsMEDGVNO0yK zG^&WfO~93Bbkv$X^;SQyD>Y6lA=ny4XLR~Rnk(lxtw4|)<@ z2ua6V2-pTt3ye&*Bb_tir*>@#0UFHPk&x_>2@w!&+u19nR~Q=2q-w~zauI`icWa z!?o?K+Uq;|b|Yd_eIpZ7Hl10Ntg))Q8&gC?AtfOQmt@KA`mE$LW(qOq`ga_6@b<~)9=!et)l!yi3IXz1$dvYK|aKB<%%8ygFPfCois?UFiL+hJgcRA~*M z0luQo8B%ccL3HPU#*T?}sCX>~p+Z0o3lrbV+<4eg!4H4*{OfMM0V*0B z!KR%4MJd(U(OD{$xOb&eNo)PEaq|Srr77Io|1bzkrNFFsCKab)YHzY0+i>@Zeh}zHFIWLTU$8@ zX3m_kdDE87n>W4hU(W9A>;wcAhAW?2B?Ky^f*|bg?;jg0kB*EclQoHCGG@3Q7qI@H zCCWXP$?JXG8ilIG9RHPF`xQMqGp} zSE>l4w~!|wNA_<05=09*lS*@N3;+{%$lW|)*BCHWN_nMH-Pp* z-gFrEed*Fe_)e1#O>3?7fd?HtFp&S&x4zxd(&F&MnChnERdGFuMB+_vI&A6EL$3JJ zm-$LhPxta?pSkh6D#l~X(a5CI zM8wD`>xXeva^udn7w_Jpey;YPyVpe@IseEbk8(YA)}}=C<*Tp!+p~{_$r_PuFyOeW z&Tc3KG{1FrOkS*-$7m~zI8Y@ex?0InNM#5BqS!-LEr@2Msv;sP3>P!0bfoYAWK!v# z!G6==8VMsnM8E#^Z{Gj@_nGvA;9d^yD;gIJ3`6!Msy3#cDpTcuwoJjMQ{|tbytsL- ziii3lGDn$O+5Z&7Mtir0*3@)Qym&mLj`mq2Lq*KoT2WL=Y3>CUQUqgP0(*S*9wj={ zkO~FFq}`sdN{Z|v$vzksq2eGkhM59D?MyxJeCRY%6ynrFDGz9ouOUo_&5LPYfpoR$3Jbg>tzZgrQd+#q1mh3dB2Wq!xtXQh-tdz}5{f z&6+!xH0`x;(WX^Trga(xlBR`NV$+BP8qVi1J+e4(d1UnT$|6v9vV_ul`D!wx$1mOE~LaaWshwh|Di@RBcF z_Wm>8)6h^~C=@nt*>dZzepU|39F>2z@EpuS5V@M0(IxIJo<+xS3Gs)r!OBX zjUnJ49{$6Qo=&hr@SS(vi9+0Z|Ick7Y2l2yE7q^NX>#rpB(Wu3PWEgRG;_ ziZ!dA`1_L`yLLUi>~D;O@T}eSz%MtpZ9eAkqqSDWLh*<9{A74^L~9+YFi@edO_1aY z@s4Z;BVO=A2!T>cPf9IBs8kRJXrq)8TNILR%;dC5nxM5NrM1#3RG~AyFmy^T3|ED2 z&#zs-etq9ye==E9QM5}^ z10n!;^skQ;M~70WIw|GXZ@T`R_nh6-(6nmRsyTD#{P4~ndp1T}MC1l>iJ8>^0MU+J zeJ)&AFBu>g41704M4l&tfJg(l_+7>T2Q|T>xef65?S{3mJ-d(uKneiC0+{GfX&?Y3 zYefwx5Hygcon3h$P@wTl@9QtJ8qhy51VjUa!%_&RI3R!~O(EH+YGhcPAokfe3N6_) zBr|CUmLRzzq|;oQ0bAe|o^DHO*r$|XerI50R201Rp?tvGW?^a?V(lUv~io(y!T zy9W9+QA0MzDcFc8Q5QVO0zBHC-l9Jbs?WaA*)Gt%W!X~wkHuCA`wIsl7U zk_)6WSQsK3l3NogG5|Sgk`hUi(i-iCtpJqPXx9|kATZoS>6`%K$Ug!Bs4x`1uStV- z$mJj^@#5$_o6T~kENtPHGI2I}BF&gDa71q*xHhF!sZ`=%vP!dII9Qr8%~~{Tp3;%& zversl%+|aE01Sw1+MsM04BH{JKS8=K-8Ik)_7PWYXro$GT?c^89ornOxJ5)nN_sPA z&2c@|T8CkH%4sL?!c1q7Df{fZPco74d|wE$mF+9$ z$LS!zYdJkKbkd1ueD2@A=rUVE3~Xa?khjh_Y)VAK!^2+^|?#VQFYlMTQ7v*)RYy5w3ahe>rQc@z4Che z{)iG{2qN0SR9f{F2dV@qTRWk^4eeV$ea#gMXU;*uBMy1)gl6vdi@vD@wD6jzFg(sgw`gZ*4j&!C|eB1+dr z*W>%PQi>-c=D)%l5Gm3M+X1NK{Zks@cO%FL_{;E~mIA9D+m8tT^C{wWM zRQYEpJ^ft(5f9I%GL}Eb;_Lt*;yaHTlCq{sp(JpcW2N;%tW@j~RiD8LS~%G~(c=>! zr$poKov6f7+h`xJL~rA`r9CP|0Eobkk!^tBFr64cg$#32eo$$n_Y_ch}=i=dUROV%i*xJw+>6cJB2 z?)cw6`p3vC{}M+yL!VqrIiYmKoQ#FDGgxVf%yS45icxeiabG{@A-a0 zYyFjLzV+E_zr^?3P=jQ=c}0x+?XkU2#{d8z07*naRC=^04vy#uoLQ4w?nEjK3x!c2 zy84Ts%GcJ`hUH|KtX1ViA^`vcBgJeg%|;vU9W1N|!B~wA@#hFGB7BS2BN{8db!%4* zj1;rwY(utQYkk*mei?>gs1!U6$z+l#dt-YWAi5B4M6}n;dBdIkuC;uc#b96jWW}fn z7DZ(zVF}J^)OJ>>7q<>@N+{POA_5c;IgTS%lQaT4%MnCC4WyJJ5*oBS4zd3M04NJi zMmQ${hmMQ^dLV`HeCbIKg+LTU)|fJ`Ghw77n4c`BMgW?11|9^89h2C51)4-wL>hoX zrL;w(NT4M`VPlR)F6!}u7Cjb-#6I>BXh}ri%wE859}xv2vaZfL4-unmHb1)=SrBXz zYZWRa(K<2{t?CZ2qNpvV7C^l|5cnn0TA^J z_V*PBrqwq!X6v~-^_iTIvS+Y=f+J1K+7Y2M-y@}Ls&Bw3SSsgf@id3X8^H!qz!<+Xb7k%Z#7aq?FpRV@CxpX-^~>hTuB8ySr;^YCO*il|o)w z0Ej3(p|$3dMb8tK5>W_<IHBQK7cRjHr|rl4pfMX2EY1LTDlmW{QZpT-L=Hi6f`l zW_{FJ_xARF;9Y0kdH*k>^8<4~h~;vbFSWL|KKjICPS>_nX-K8k zPtI(f?qnPQ*xa!_zBxzZT~QpEF&;yEMVk5GPyrBfsqElT!I1stCt3`5>Q z_{OLyZu<1rco;Ot>-bJy+KiS4K@bGN`t|EKY}imJ6c`r>vAi%S$S3LXt3LYdr5^AY$j0cc4CK++TAyPN0Up+~ST#o_F zd46<>CcQt_S&H3{6W>k{zfRHp00^L6A~CnYn@IL%`^H!uh}hTHlS*eZnc4{&G*za` z|7bLwWmH>T7p;Q_5AGHyUfhZoDDLiV#hv2rZZGZxDXzuc-QC@3ixlVPyLa6D$v8if zadMLEz0RC-J!=ieP8P>?w1Pup+sWdn)IzfvHqstZf>x@LR-wdz&z;Fu7s92PChkZ} z`2kGH0Ur6@bL^TYJ8IxCYRt;UHT}(dMAs09HNjk;Elo>Ee(G5`)Ly4cjhMNzpZFE3 z`xU4P{EW_Lsf-zo$T|PFZ~fqJ3(-x{va~iS(edM5RRHXMmD{)lMGYWMTTx6RG?8*o zCJ2nyzqdCRL)JD ze*ei@C{p)EyvCh*mo;KyU>0f&&Y8F4M^c8CS63wEHLZHnV6}y~Glbsxi6qSyn|;mX zvG-*tuikU|Z$3rBX<=%|s*o;wT%tIJK8kD45A*H#sw@vfbN{5x;lj?!DX}mJf%7*)jXQt?@h%zw5$IsK~OiWRBd)n;Yd<)R_(Oi$A2C!LVi3X_Xi@S;T z!>7bx%)`LhB&jl@!A{#LpU5Q7hdU3B+7N)VK&rAcsAAqL9oz0}X&*d|-@V=W9V3c# zj*F}3Di8y}KVuE3;X@acgfwl>&(2sL{lS^P1u@w;rV>?6-K6(Rqa%SS%@0dF%oe2F z?yigwx})KAL*M!MkqKNEgacH{6VbBAAIWvUHJZ^dO1p-0xu62p1s zB*cBT7g8!R=A@5V`BrqmEQ zkEab9J>K@;{(VOJTA>c*#fFb%(Y);X%u^Rp_OhV`Q6|a5e=To*5F8t=A`=EhuG=XD zMt&t+rcIHxWs3mPge{hYCDL`>M~>wC#_O1pa=KfZ`gRVcNtF`Bf(1r}3>iFDTy*xhxye?Bv@0|M&g5QEl zwnF^;{9Ii7fo3CJLTBHope)h)H%hqbPK?Jhc<%i?e_!g3(uShYn`?TG zTAr|$>?zYR3>Eo_8)hz7mE-9P+8EDn}87IOkI{fYx|Z z?C$aBC=2!@_mw2eBqgXwI3Z9+x53K*tIwO%hgjLx><(1njl~FO9A_JtPqVLm8Zz(= znl1&Xrkucht!Y`-&Mrb{21T$(61F9(V$a?o_)tejkiUq7(_|kF`)@(;-_hZV&5HBx zX1x+%>$<403hEQZ6z(SfT0v5=j{-tocM_|A!HI<>Q85&SGW9<$7A@+c1f?~8AI2n< zv5+dEWq_be96eXvJmsZ|7NkAj`t{tbZ@s;vex&V@9GD`H>dd5Zd`0_$)QYQ0Bhk{b z{LgQ%;FDg?BE1kMdc?_=mGIJ@eoyS>zkYv{tcN9W2DHB7WWn$0Nq#EVCV|H=`n!m{qo%XV@kvKqt~gg zvO$3sL@%wZr`3VGe`lun{0hlGJ3D(i#LFKm<-pPb6Q_m)<~!v1ywl?z5^F}lF6&JIG$_OM z>~G>Wnx2=cN@+7p5L5!w1q_;6Rz|5f*SpkpvyEaT8Ih((p~};}_teqK{_U6DL5!tb zkch8#5}5~NtM0BSw)%z3QSMlyf$tz1`7gK%3!o*eiK1EUFF1LK9muHO@#5oy^ml`xgjIW-pDff^~C3bg8#`aI#P6T*(?Kx zO$XIu`3VT%`-Fv3QOu1)XB}HaGvf70#nIo+!BI79Y=uqpihzw``JGF6meBynXi z+2sR(kOWuv3tlZ!V5F@;sdX84)SakFldv9>1g_c;vkzEw@iNK26tHZ{w{tQJf(3y!dIj(+fr=_vCaCpe`=v&=M-qif=zDpPDPactEbl>!*TwOtm+KkC zSWpONDb|1zXh?F1uVum=~be_rs@&dWRXxEDt5h*WncEnwCe!Q#MCH81i$5Fk>PUa*T0 z@YKY(W+msarGVZfzEnFY{~@9TLvbd{<=hRq2oeKONowZSj}<7E0d)(|H6PHj6{%jK zzJb(NE`Mip9j_w{1pFHx_afrqcfZdln(w*grd6$mR4YUFKTHGu(Z48c;umt&USCW)b_VdFfpKIc>JHS3D zZ0%-?3}65u6Vj_KVJK@?-SfYRd}--S(cK(ua+L0?S|#CQb!!~CUfmj)-2nYR7XC-I z6kgIO4B-9>`U8^$Eq}jYmH0T(MX3m6txAEK1|S!YEVqi8_*)DKuSn~q$&OONogg%R z?{z-sh%UagI{W*U#PMzS)5-Dt+A2w$_AT_#*2c!$;r^#mz>y0jw%DY6SxKDr_Z`hS z%R`!s$gI1W4<{p;Na7hBSc>T& zua%vh<@hvLO$u+k^X&y7DknGb^l?J{Pv|cDXA~uP{<($39{{-E?Q}Y*-k}bRneGAr z6u+F=>-}o3*6v_rk>Xe0U3LsGvVGg4@bBT>*~z`Z6O*24?E3^RSrjy<1w^^Esdw*Z z)yBuiG7OL8V$p+bs)1PS>C+7dUjTP_h_jM~5>5WIHNV)ma<4vz^~Tv}2ZypwSQNL* zoe>4NxI|C_VU?*(S<=o<*9^vdK$XaXrE4*A5YSt#7EUjw;$A*RaxQZ4QSXVX1SzBY84|~8GS?v!?VzTnZzhiPlF&zQcsjn}p zs$L)=0A8HE3QZzW!AsCi))tbQ+Hc&B_>db*rh1Pgo~~)+E}1=?LCf>KUS%oky*nN! zzHnK0P=F#%KzERDn3*|SY_QwZP5$k5;+CWcjf-+TgTv7sxc7(p6UYMtw8?!+>Zq?&tTI1u2W75KygyzJ`W1~szwHpZF zawfm+9ivr)Rj0z>r3JILa^KzfKI9BwS=R1zVjSlE@`D|`?K%T3C7?_D{CtD|+q1fX zjky~)wnbjmxT%GBktR=fMwC*lYP^ zyENNMI`wIvvdF1WmrN?!-Tw82@eP&fCd#Om*o>1vEb_fy^fi@tyZC3ag2k`D?(J+q z*im!JgHwOPha=v*Sp#XDhk94${%LOdf&l*nuA1hWqL}Pc5T}x(q0{B*sB}NUnR-J_ z&9c(s;$jImRfHzz=jc6Ck=HT%nQEhuB{fqeZe)340~~&*WDH$ETI9G%c~n0ebWgvc ztnUB4eBuB5MdV|p{WA&Q5!jYE-N5(khT_B3fibbKqHimnnw6OCXdPbw%Yp-#9AP`B`ORjC_cx8hJNs#(>-N;ga?B zM1wRL2Z7w{F=@X{r0J@Xu+z5om2rT5r~NGaYKzQHY418i#7vQkUi*)^o>j-odTO=R zm&;S?_(LYS2P2m?Dc1Hs@p_EAqyVtBgAF2djqcd(jtG#FxZ%6H0t7~u<=nMYZ)|AR z7#Oh2Am&m@=r?@qqx2H)7TvP&eKf?3G-A{JHF&H~VAcCGxrapV^58SwzFQRYAVG_N z3hi>Drl!vCd2GCI+g#dt%;F4#%EOn=gFfB=Qbs5OE~kC2nd6>xb0x-9ILvMk4GA^-ci97M?CZyHvI4Qic;*u7#Z4$ zE?$6#P>7A4Gh~-~LVn3#RIX;c)7lY$#u&7IUK>lLYaS}+Oj8GERzLxDhiM3PxlR-Z z6V36UTkbb3Q#nA_)7%qh18o7TibR1gT`&l&-mZuO_$~My7yq43R_25@UJBs~C_#y( z(G&*MW=i0A5?gXBfH5VLtH;6y0&&kM)#>3)BO|*(2Pc6#0R7`fsV#TCklO2|FvTr!IjNvy6f^SB;Q zQlbs8={H%c`1tq`hE6xQ*J+RDLGzSq5 zsi}G2+cSxGf_8Q8haf=!qD(9F#)&}}m>Rn;d74>)tD{0_;+$K+qXM)*RUl6^JYb%t zgi@gpMdkvkJy$oUCU4oHL)I`amTQm)lp|zio%Cvsa1J92eCk+>j3wYNKi}a5ieeB_ z0<7mIy&jkcCa;zaHn8Sszg3v7nmem)N79DW8GeN#BKp(9I8VOtA2d`q8rZ(=KTIiW zj+vupM|$Vc6y0AKS$`}{Q>sr*#R+Y7ef~V98u{8QD(>fSGHvh_w7;5BQ&}yr9~pwF z-N}j-CJQr1$NKI>_*2QyyG@k}0PO>G>bnR}S2Q#v(0O!cPN6IVIh$ntju>N%5dx-c z3&oEpIDxKD@;E~o7n2QXgVaI$k18SVcOARahe`Y>-I_ftN;;_Z~9 zSn6tAvLJ~8g)bS>Wb;mB7zFtF=g*o>ye3DW^wI0Bj;*(2h5G$-m_Vz1zXx%OXOK2V zm3^p1SvZi2W^8$xs4V~eUF7}De+$aC_yQx(UK3n#- z96vn9Z@G}lh;$S}M`~j;x%f}-=6zVcixb787J3)X`fnj`{KtESf|Wk!a|~SCRJk{` z`YDD7N<)RbkqLkg5QMutxm|-S&g!AG3@=K`f*7e;YuIHzmTZ==6|mNjZurgff31AE ze}4V`ugz-$|JHrqk|@sLd$kO& zPd5V2<_wPuAY+Y;l>Cz@M4d2He5jv0zw2jP+tZ}ljJD@3qC!b(`yZs8uEzrcxTFZx zBrq6#Hnjpt4*ACC#0OB&)AR8n(uG%Ll44)1F>1Q1AP;Cr4!S5N>UkWP11P&6u0|3^ z+0hHCQGcIDV);^F^9~LjK?jOyvn0gD$Hm9LUk+3FsIoxgGd_F?ky4}{tvz8%(uD(1K8QZZ>?N>R=L+6ar{A zNDf1KY3a}5w#!R3f!}NY@v-GMZ>Yg(>}23{yR-=Hxr4&=r|_~@P=c2GaskGDv27lS zOjLSBuV_z|^$z2m%2#QS<^Nl9q}uX}yqmEV0TwbOR%hH`GGPT&xUQq=TLLP1|9$wxr;>pTTn=B;`k!YZr>r5%&vHxtL zRAz7N(L{;6ndrkDa-W~?`yB7+S5?^E$3Qs#D7etMtTQ}5R$#7cdnMyF3xj08)sAPN zQEyXiQOKbC9q_!XLt$;77Y^n?KOsi{Z5|aDNX&U3?hwSKvN3J{IZ@2%H>=T#^U3`x zfH3&CU}5V0$gdxSSjaT#TpbmK0O4!sx_>x>pDHePl3PjzIs1}cSWq2TmrPPwR zd_j{(Y%DY2P?BD&kP3jTK{c5)dNi$F;N;eG1&Ll>+LZ>Tv*ApDX1~+ zNG~eJ;-%YUSV!|ZqFn4}v*=&#ULygua;5DG9z;V~V`86=#z0*zw)XinI3Ixvp&ADFm6y zonSObc5mub-xDGUEc285F87sAA9DeNladPA&=A0~SmA{b?T5IyU?&h(l9;%3x!4ez z^cp<#D+cc%{9*m}LV;K%3M)GVT@A}k=H0^1|S2t*^-P81?L(fuWK%e{1 z2B2>>Q*x6cvc=h zdzuqtr^g*`(uDd7{lN&gYG+)aFxd*WCM-hv-=TyXQQp6^qVC4tiV*|LhD4Q5aNP20IAQ0cfZoH&4yn+_DTxvJB>C;sg6bFYnJo_GAWd?(XjN zbu~2(xBnTKlt+tO%%oTbQ8EXd*QQR{6m5&;1@0GEm9i*E;9kb;Ps8`2%J++)d{?%qZZYym zj*2Fn>H|kM=7GB(IcSc9nB_lu-|;-GYF~$3lmqP;b1URDs*QemkCCHTsC|!68IHg$ zXs^JD!&Y$dYj`1Zg(vpxNf|DtsuTG66goHcHWY-$n_ZMO`d@~UN?0JN0r=hLXnl*KgVnPhwgiJu8g~_V2neO|K zlPPygMmltl>tKl>tF>7```$PcaeeQvE8hrGG% zg?gVl%*2JH*Wv|if<&Xa`%?Lkd6cTNJe829`I5Dv`}u$_0%2+6^P&Fhh(%a|7rHvU&|FQmdrsL9d`G>g2VeHvPUTPtV zmAvDY3DTGNV(px`CJ-YUj1Yhju3DzJ_ar|cZz|-*?=eW@V)>2$>B|9WOmY0=z>dK*lxBU;MPW+$6PK`j076XXE^|(yV>t%bf_}wmq(jMn1`OjTvSCB!QR)>{WVHl~v$Zmj%^- z;aw{xgQU*C29SkEuZ$-IcuVDet1QH>O`v28EG>P87KTl2gIvm>aC2D2LKFoDLH`gY z=b#Mzu>Zca8iMA6V*bs`{^H{H>1Hhv4WfMf4ZkX>dV5Z*niOp=8(>1IOce#JHU^Jj z#&aLq%pMYOu(sgD0}g$_Xc{2K9h{Q1S=cbqKsL0FXUWu-JuGA{EC%!f0H|)ff4+cH z`TJqHOv;q7%6A4F2J-kI28fJpn1m>?GT=MO=UC)?A`F2F9G%mKVBt{~?wCv)RmMfe z@$-QstFbsS2*xm|GMmXQNFfyYQ!k8?inZH!FzDxg`|y{pAZD{#>kC4Cw#q!tB)*dQ zuac5C=+4$Oz%<0jdQVu0JLsn~j0=5fRR?ifqb?H!-B3z}x=2K20gS#4P6n8Z!EWr$ zl^`G&k7KbDfdXmwEy5ycSy+m8>36c)|8_|z=a0bxHs+vk2v{fwBi9x_dk_Z}+lC{8 zL1+l3hj%W+)(IzP;z-)q%IFt(i0iB_*yrO;RIOB4Eq#4y<3886`zgKW^%MCEG#Ow) z@w$sNz$Ss)*6ucAO#XRwYI(nKSvA^PpI+>0%P!mm7%H&wOJG9NL{0ZQWO5A=N{S28 z{f=0Sr9zUr{Mnxa3l4o0$b$dt6Y}BHY)M*l<$#JTyGe-8-yu$AuQg#A zyO3hQJEso?s}1%Oxtd|l?ktB46^ebeZI;uG~-8RNXtS}RkNfi#@SrhMhh;)drHQT(Z zCH_)vqf>=F;X*xq^XNbdiS7YlA%pgwxG%Q<{#P89<_Mun8Ost8dIN^<6@7d8)!bDA zkw>8lTg*!rUGB|TJ zer6vj4r`Br@;Z3Z_5{*2eRI=2Ox;RKOT*O!zs7$3@-F!>nGq)+LaE(iM+9bstgo-H zrtgq_@TK?yJm2x)GVOti{;$s$wXA8fEYjBUE&xxL%h1G&o|oHzmtUwM!}tsq|BM3+ z?5nd8NJr9XTgf+;tdlSJ9{@xCRs6m?gwTU*2 zH@xyn;)6v`{=(l5y#I!M-6o1E?`GN1A_c%>H6sB;H{u3LaAC@otbCAev$!UTNDO_) zO8J*`HFD>OvFOk=zE?GQ-A*6G3xLz*s7)bkZ2wEjm}9X9OsHovepJfpX}#<^)mK+` zRTp=8vfY5b?i!r*EHpACAM9k9R;%odnW6xe>-r05+et%Bl%W(oNPWGFvLX(t2Kp?`_Qy~vRR%)B`DIuq6ja^Z+#fA$=S?7P(5j%loOOWj?CZi1 zdg68Umiv{k2xRBB$v)d?a-!)*ea(E#=PaP?ql6@?PwB0|b1=qOFV>ibf+9YILL$sD z(!etp*QZa(Lybir@i@&HuO-`PTZSjafVM{S&3>c)E&OI{5b1u!z#V;%%SMk0YwqE} zLxIccSbn)vUsH~{wmqVWoQQ-8dI_khiwCIlUwfYfXUtXZ)A`ri5q$`za|GgBu|P(v z^%KJdaoF~xjR*}N2X_ne%@$Nz5GM*e#)b;xVh-NwX~v5 zlW&vw6w9(nlzMPmkOvt$)gH| zH;|E`2OInRE0ND~W~69*vqEc-hE4 z(2dr3&nzV8=y`VSbMr-G=4C`p<79JVYar10?#&Hms_kU4;-}iht#7`9?N!nOe2pE_ zMI=3rteIQok_|VVZk%N08ev5|T=0u!3!{f!Tu6pKoG&NkTYxkIlu1G25&OhbS|;l4 z4ZXH@JYTTc!O{%LgLR*STEN%`V$}=kV}uVQ=Rb`VQ%2F^S5?nU0IYvKGCz6F$UapFZwVc)DA}~TlafIj>i~5oBigdTqW&thOF?>+71dN- zfvqeKuX*RcZv|S^hi&piPg(x6@|<#+nPV@o*Ny_Uy8f7{>D$VX6*{VE>w1w%_3vrU zKmA2sklW$e_KBJ!Qyv7rW~aI8MJ*ds;_F~e-JWT{GUWl`{?A?ma#$l~EI z{3L4&oJ_!A(R%#cLywJRS8bWhXXsE|6NzNEQ5Yn#Y*#GZ9=}Gtq1+fjq5xjx0#5hs ziuk2Bc7cw~lst-x9{cak8#s^N; zX)e1D*UOR(6Rn2{q_maNoONN}zTsc;^e%Quzy~r&>>N2}YXbp<8|8l@t;qzSyPrux zW2V(F$7oKSNppD?tG`~E6X*KZYggIqDRXP`OlV{^<_pU9CH$JVA`?UVMQx})6I&@g z751)|o#=qs3-H%Z98C`dk<#Zs{=&d1;w^sM&Eo=;|A#xI1VZ~|`={lh*l4)g!@#+C zPrtuDs91VAgwilovn?02=-&np;zfe8a3nc{SDZWLbJwpAP&aRwOW>k&+kZoUxCjeP z*6oN2N$a!no^%LlinqEpvXp#!LfuEBNsD%lBIz6SWs7GMY}KjQvVvN1DO)4!j7fa+ znauc@z^$#@+043E;kJiNu9Sy7%O(Y@`Hy^TS065YGWQHQ7jOT|PKM1Ig*3x>GUBpM zUxWqW#A&tM&3Nx!xJsT~smdl8!?-0s8GhGX}IRs{2x$5+=KXp-~a&Bx!& z1pmMJ*crjhSuO`k0h4h!uxgtY{ehna_shSa;@5OuX(5rjPZlQ#V%u&R5!rKRM=CQD zECjA5d!aU?>zRE;U@en2Fyag^FY;Z{I@2!Rj%2aN3?T`Z&B(54x4i`)ttkE zzh~ii-;V#|RPq^^lOls!ESI&fw`p3w&t?v%Dc!n%Mi~)Z(dODmD994B9iLKuP38&t z^sF_0T*Fbk?rRuvdpH6x;YB(f@c3IVB0g@-LsdqKk}3kDKkO<#AE`49_|<8+;IPHP-J}&S~yp31{_jf`|(Z&78xeAb1A2fUey@ z1YO&hD=`}}AHhK8%jvsMD3g{Ay^10B5}JL^nd=0_-@KFrAK)v=j@@WrNUswog|G2( zzNvg12Wd8DPMBl$iV3RHeyv%6Wt28&&@bg;Ic|gdFOD5f97pr(XYQFKDa2m>-x86{ zI=71~)n@P@G5&ywelbq5QXx$e3MA6p`H?DQ({MtV*tnBo zEs-zM60zF#O!5q2*ug;@05DuuEJEL?PE1)GCo-BXGQTyR>iunN-9hJc?i2=RmAA{u zby6u$I9zUHe>S^0d?CAi$WG~9R+ajJ1$VcI{(TL2LaH$T6_D7Fr7y_a16_tU9?qA; zsj!CwK~-ZX1Sn>G72Fc1jJwsob^KsZ$LH?AtsxFDhNhHXxH?5E1j^i`_%_&mt@9P+ zG`0odz`7#bBqBKwo+dQ&h(}|YJ`4qUZu^gfqmz`RLZ_l>H~nCDeP1#9fP+_GW3xW@ zYOsT+ETCBorqV4>_~q}ipb*(=+y@JLeshyA641B&?hl*AdlPtFQ?upsV7Jp^f4%wT zrt2_7RhB$_CD#QTM)0wBTut%ISi&J3W|iR6;gK&4uq?-S{_S6_G&)!3L-Rz?X%LcD z-s}1irf}=u!xW{zj?PHiAHC5l-NzDu){l2Qs8_JYUI&Loz@pu1zriD_U1!|)V83z9 zs@>|hu?%eq>@oT$mWM$sll+DXs7Iw`K!**Kq>hq8Z=(DLi=|F$hqe+o_tfnGfCXLr za;!H&^J)W{=hAHlYyqy)z_N?A8`p~YWNP9Va_dI{GdHI<<#A;+tSsBG8wI3yWuJ)6 z;9-bRQpZnj*YtVfxdGp+5sG*u1z;pdhw9@IFqw8shMth}4AJmjO&N|ED(b#-D2}JK z^Lh>VVC8PkS!-H&YN>VimcgzGS--iGZ2x{+E-DL zpk~)4(|=Eex(I-I#1~&7uI9>xmYeGMC4tRs4}Vc&dr2VY(;14qS^D?Wl)eQhtLDeo z0*~`bhO8iZgm7;aY>Hd#_6;0 zH-zhs6h?&!`ApRgCA|j%?wwMFGckGy(aE)^X0V!q%CD03x|Ka$NTssub(!2g2|V<* z5vYqUgSRNLVpHBv}*K~)Gk*! zztg_4mJqGF(Y`sCH7nJCSk~oHM!*2ju=b6kresBDPxjfu4VuflFbs4EwbZ&xtm6m+ zdHVosKzYTodp`y^nO!RHW$I5UITjMHu1JMaEO(Z2I4RH!q`MCKX^E|)f=857DHK5g|ugeDO?cu zeGtp+ld3GV2tA8b#-;E69i`;@#dGsEdxN|)o2h+2c>=Ke9zmh~wyL|F1tX={%$*=W z8{{uY9KPz{cmBO2-r2zwD**u5g0N`O*N0;k`k}+X?+2mczn}1SXO5Yxjw0)QrHxL4 z4O4e{e|-y~R90oEfRfvEe2iorHWJ=XXc-2ZdEa{`jNJDxyVv}>{2YA_5R6){Nk~5( zP2@k4Vm|*@7{msAwj`)|{5KO}5(yAo&U-Mwz0%6}I3J$UTdvV1Yu0tfoyz7UfQbkX zFG=r?7|gQ!@m`)1fcp-hPHT0^^KRM4-tEWl3LHhgy$r~R@8ed$ZQ6}t7=V6Iilr@f z=bVC=?<1AdYjXIYRP`K3>wTxkf8`StBy~Ao954K5)uNKZCsfG&?WM;ze1iqzy)=LD z<+0%TiSflYps^aaE-|P*IH`Jaz4ygn>>4ncpm6Om3%pFNJWB0&H5ZU4y(MX8LO6Lj=v9JAj!+JrX@c-BGSyqEtVq5 zM&l_ZU?-}5Z}N)U16^QXO4pBtItbjh(-;q#CI;7gJ%7#aj9dk*{ps?^XCJmBBs?*g zCwszw<(7~(51b7QbNk$A?bC0g&ObZ}-mzwlu)(WP_pFE!sV-X-s@&`{kqU4T#ml!dY2x_Rp~>_}7m8&v z9*UOgUHgIfwa(>8w;K?M$3(t|+G7Gm3E>0#DfOFe3_zhwS~UovlueE>qO@o$RbHup zhBZ3~hwkVJjFXc!TlxfS?{1#ZW+&U^dc1qkv14t4CUh02E0-F z=qUR51IuUinPCbhx37_eZpCujkZFGrN!)vZcyH`_%T5#DQiN)&$~g!doEJo$V4|!jd>#o92Zi%1Vot z$F6vf8+7N)c`unIP1&*3dx>&YoCdF6U#0$P_7$%E-%bdP=1-Y(#7TzaqGpD!OSw(Q zyi$Qfjr}~RLYLd;tbtTNmu!rT@<&wX&WfJ%0ll-F=?@Uc*ME2vZ?@w{Pb6Wf{(XGj zEnBh4q1lOyQ;y5a_IFrVuoM)JHQ@zlq1c5l-^UkS|Fgmt5u-u%n zYUHon(khv!#j~RV1S5vYJ3Ky4S_Uf*GLt-g64wEhg5>1TB-qix0e8RkN~O1a0srmT zEJWgOHjBkSs+M5Uny{Sh9%ADs8Qtq|i{Xx^In7(_u8^c8fBa1>ZD>7XOiK`X&<>bw z=pd221}OszrXti@uuX&v_}8sdY}LFxyBL`Zr$Ir7|H#eOVT4!R+fLmB$_;&i^xLK>#=lsuuqNREm&&}qs01^OSpDK~LZ?sx0sIZ-Cz$nWMk0ks}2_@b_hqQE+hZ@k)BfRdZ8(Fewo{ccED{b5PIp9wl!)ULM0ll+Og5I_D0s8jSGaed z?UDZ$-q;=R_76)Lg}t&;kD=Me4cME=4$vm6#B)W!ys6S@w44h<`sU1Bj1M#8+Mx`L z3=*LVty`p{GNsLadcPM@mL(Is5v?d;568pEl=SE*QFzmP!E!nnjswJhrvhWpd<#9b z_Jo(XyvT;c`I}E=9VCe45tQ;y{CcAWp|_W_{%0ZILWGp<6#(*`AvAjuDox#J#odnv z?RKUdLl?MTrbuM;qhKnrf_slF%j{e|OiS5hC#Bfg@6~2xB-Pb%wd^6(I58(FX_}8m ziMq$K(W20S_HMlfww^F`vjY{K;C8_2>Cd|JY>&&idVYNN(p7=FX2Fn@|9)&!4mqr$ z!vFxmqMt>IJg;u?s6yc~le-oP!Dj#5k%*|NSE9tZt^-_*8N7JSrptGJ`eG~n$3TfF zK^021q4T}VDo^ZGlnGU*SiEvGo%pX>kS|Bnl&~=@&cR`iqMhijlbHQP1_8-2{{goTIY!GPFU4RMBCB=HSi2R-@w zhHS1gnbTuLZ57GvpGw{Zv`KspKixJnITVE9=!9AZvWHJfB?XPj;~ykax)QF}v0xW7U zVroh~8SItP0_-;Dlq9Y(S``u^#F@kcoc}}+o$r6bANk{Tk`o*$CQCU#EK#kAD|K*y z<4lT)few8v+cY=ZE^9D0%UTG=lQ`QoE%$Wa$IH{k9tZ+tD}IP9NOTGqlQ8g3^Dmm3EUa92LS-P&O{v{?v{Dwq$`Ly+i?Vwkh8vGpcix&6nZ3s zZ%Hhxcq6n-(NxL1RzoX3!>mx!@>-z=D(lEkBs`;a_P-oWhz?F+)nAGIDZ>y|KI z(O~nFK(!r(T7zd(lEEBA4=`seQD&Y-Z3r_pslsQ!q}-2^q{hWYM~-LW)F&gW(7?#* z2qY8U_FBoUS>%cb%2-sClt6%S?5aRfw2%UXUZ+TqED4!Z5|!)bg<{uDdQTNkwlnU> z*bu>kDknDe|IY%Xc0k#%^fch@=ePXfb&xVdmyC!A+DLVFc1>IKujj;XH%%XA+J7L^ zsP*BZ1zpDVp?>3E@&`h+sGMOCU2SiYb-=&OgltVYlvFWdj!AlK0Fmqb--Da3n>{r} z!@P;3WuZS!i4CgNLa(di9XmcoR7R7Q{cKRfRGL92NQu%TeLQJSE8ryyv-8AH!tHY2 z?7DRiKfZ&TgX3?Tqn{2XMtp3+LNb*0QVGd1)1g9G+wGYrWK5NX0vlS7wl^FC9c~PDY!+|m{kF7oR3sHH#qxH7c`M~?02GxV zb=u8d(f1qc*pU;JB6B`$HfoF36M&xTP$hKUwOmZ|ieUZq`9-(;*--lb=(42lS6Vfu zKfeF?@#7%R9_Tcj-^1{eI~a(NJ%-#j=uJ9OAD`)!qzcx(3PV~J?%aQ~wVXLeA4vSu zS7SOx*l6XZO%2;;>`t@XKG3kmk@KKLy1KK41%x~coOy`y1| z|G+qR0=RdKE+04y=p$hf?5W)3u64u!oNA{Ov?$C}eR#mpw9-Ay&M42$rrlW@o$d5~l!*|k5SV9Y>OE6Ad~ zRLF!1)RY`N7?>XtxQIFOQ%~4&2N?$-q7xG56(=}AtdwY}epe);vPlNJ_IY_rk^P z$XcXM+0;>0eNK~ej;mkLQ-^;71+w?L7ruG`cO^xp$T#+o#EgaXV#NQH={WxEB;W_+ z8kt0tRh4iJfs~7;t#VXBz!mY`61ZKwPBcoJL-at8tL-B_Lze$GAy-#5rfnUI8f2B2 zE0QqIbsWKwv1CqZP#F&Px&ot2?=VR1<7MqV4(V>nL_-bsoWYX{OhjC*fmRum(4iIc5qP7LtWgw6@n*aMFTD8V9*oP zv8eLZ>Uq8r;-es#jV=tATI@#j_=1UhEWeob-t(&0^7#tu&@`x>MHSci|QEJ$V zgQ)x1xC9^3oe&J^KU({*qsi}u$4#|sZ{50H*=-mYxmbVwxhfM-c@6WC*;JV5!-o(f zqt>+>F2&uQ;_hz6o#GC2 zzM0G~k{c3Eau0jI@~pK6;XkcuCH){Xd^mC#$J8tmh9>zJe)qC20F1gi4K2ji{u^~s zb;p56Df%^roEOn&o+i?&B2&^PJzGZ>Emq%lqGLxGOQEpj$ z;WfV-PyV_ixOiNcx)o)acHk$5yGo6XTV#wjgAo0lnqy=$cCt<3BO$iy=09|qbHmIe@2Z<~?68VK* ztiMr#-+kku%jvNd@d&J--n0cA4tgAy1lnKv`$$R_}+ja zPP7G*R6-<^PW1s_)Fbd?DQp^Np;XCe9 z1a?|`-B#M)uZ0DT^LQZ;NL6zK+ZGtd}fbP|jEf!v1{lqM|STAC^%5yhZ0HE#DUE(FOB-YB@t9drh{Mi7J6S~-J3XLSxZ+Pl)qn-ZjN#y zH#oG>j?*VB-Lru}kU=8MBR3`ex!*LWKw-IaZ7lYlDaz{dcpbO*vLktYhypaWQ|b8E zc*G9Ne?_4u<>O9I6$j2~lNCwe;7!RdE(}+io60rFNTQSF1tke-IU(R5Kh_@3C`8T( z146@FUxl0wFHu>3xT3(@RG9oGR&rFDKKDW3+Ok;hiijd?wgo}djyfo{a?fMd{5S^=nNe?I)F)W_>;#L zsmEhkr6+sMTUm0s0=#5zlPDudTJ?6pM%DSepvy+u_!aDK>S&b&1{7Zgc$gS)0Xr+U zXyy;e_TCMk9u310%ZxAXM|l&bSeY%hb;8Jvm$DXGJQ%G8mS`l0shfw*^U zPq0d>Q4Hux&K0_}*fuD()eRw>JCzSxPERI2HlfqG=!-g-NZu>*Idl%6qhJlE*V+YX zO(MmO1*jOj%rbyVeP7xmL{5(>-<)!yFokA7%VRsn)dSTPW5|I}LW!_B|2GroE5l_h zsJgIBz~%J=jqR5xV*JelCblP})~qO=NZPEwq)0iAS)VMu9_MY%pZ2x~D>i~BZH?hW z>3v&l1MH0U%U9=*MY$wvHR)ClKv-8{owOk~o zgx4Snh(j?OlJ@Pn=q!vMo8m2aIX+yfXzMPffG{sGBENW_sYaeTnx1alXH&4)KnB=D)WMj}SV+W5@x~`yKTh%_)D9e58)mT4E4^RiRAVYzfvt5E2Ng0t&DRVfj5O7ls$VAs>hlCx z=9Lk2+1Jx;s%X4*{=>#58g}xGFx}p3rj;llfJYL@n1CnM%#bDdY_y|sgDfhF4rw?y zr@UIz751lq68S+T7y~%h$0JbS8?LT~qDbr8nXw0y_{Tpp<7PU2ahg1fiYOB5&@D<_ zR7*Dp6p;(kjnmdBPUvK$gXz2b!eNd0kvJ4zn&?% zAS*uBg}trHbCw zJ}CS#eLOSv?EBecUuAKOUKg#5?_^=dcI)i$s1)ZaG0i!W6-T4L8V}B+aKf?d47Cfd z79S=V=yv1#i$I)v^9I0nNn*(CAvqO>BIcX~-B{vKTrH?7Nt~*-6a;dKVzr@?Jpdfxg>p4Gd74d@THd5ZDX;|t2RS{d2Z|{x z$U#irC!G`A>kSijAbi6ybF;iuWIy8YLN3u@WG(woSa^MZH0e=LQ3thTghCaG%AHf% z%Z%=_9dXh9XW;z@5C*jULSd~6LTj9i?U#(X_Yip`V9&iOX-16Dvf@t6Q=H&dbf|$@&nvek z^QE9s#XrAL_6NJPNHQrJe-iyMqfhFfG|r5#`JB-iQH#ruZuR(V8FD|B3N;&ZblNQ| zcQpz^oT#s2jSi{D^^rg|mw1P_)p7xueMq0Ii}*xEQ@S36i!_i;JHK>37w&2)HC)G; zDY~V^2ZDq{0e?>t5PTpyt>S(aGnOf|erO>S%39txbm7S8==8*h5@Gu4M*OO%;u0bE zcU;FOz9dr6G9S10@T#wThpzQO(}F>@ge8v49~e;W4AT}BN&Zl?jps${;U@;&?^!dL za}AXHhd@Z`EAWS=ye^!GKOTpEtu9%zp@ltXzJDgP9xX~5Gf`t!l?Kvh9JE^`thr*A zgzqM{v90KWLWj)@*z(v1FE}PkqkzzNYx@VjaZ4p(5`ALvZ2^X&y6H3luN~D!kmLZ5^-ui2qgSR zj&|?5T|6Z9EHVkI*Kgt$Va7aQy94G}V@QdYpFet;F3@c!j4GP@}-1E?0wb; zu7Qt*TYn5k(^=W)KXRwpS_UzEL#}I1qry#L%#U~DujwOQxHrjbdA#zJ!pDWRH@K;2hOHN*DrAN zs*1dXm|ohC>zo6N)Y?N&esYonz{cLyZ7NKw!I~IY+4^qzDbP} zj9-NP4Jvu;i8DIDzR8v~pA#0+h&mj2MNuNwm38-erCf`!SJOcr*644o$Si}YYCxF7aG(&qK#GBlu;n9*GLj#}ZahLsU3ekF}dwlG%V zqE_Fj#wq7wC3ScKux8{8XZvyVL$6QwCWG!EtH^U`yFG5i1bfK@b9zCJ1a7r$b;RvS z5?f4Z-nz-H)RHJeMTL6T4cTZs*rvb&I^iy*wOOvu<(gKK5>-=i9oAiXgIU{$P)w;D z2RKa|4bNY(+&tNoZdr}Z=Xy959)7|V_Cks-S5qN@_7o@k`O1Pu5`2t^h8)%{8?`D; z2?vx29jfuFw@(a};vyu?)(Njm!hZPg{fHBn$4XsP!1C#j{gy3B(CNKaeAr|IV461< zqtiEQ9G6wdm0PtYZ%uLqca~b`zqP3+=pP_Ny7QQO#b3N+Q-FE3nB_9L6#5~FHB6chJ}dXGo?xJmzJV|$*7e4TBLHs z%JhqBy}=?ubP5Edbw3sIg19nqSF;!jD7z2B_a|xWg_chcbzsYNc}|Ha z`f;g;^w#Zk3D|P8*=*KtTiP+u*2AI-eBwzu!r1z6 ze!$qWu^^Qu=jVDRm~(Ih4&~H5*Y$-liR=u!y-MM{A;u;D2b|4N?c(BP_@cY{@2W;q z+^_#3MWp|S6k(Kj5O)^rqGH6lZi^d79!C+F0qHJQ$-EURH5`R!UrRn<#(%mO{(Oep zU2`}Y0xZ9NDu4Kzg1{uwq(wc#t?S|WVOOvTl+q@eU#k2W6X>XM5D8@QV}5wI#X(|J zw;X{%q0X7yGHd_RUH0LZxjI5Z)p+lzF#t>c?CcA}(nN+&;RC|zt>u6{tLtKgCSU#N zzGIi-QPx7jgy|nf7KO%Y^K1H1HyMuu9sNkg3UCz-E{{f#qJ z=+hTCeWVWmU!n{Y)+~6>u_O2lPGZi+Vb?z z`vogui-}vAj_t6T4w)YJ&$r^2Mh?}=uL_M=|0Mt;lFgLddG+{@EowSl$>?t`lyg$3|slx^1t_0UdiwT_n+^b60~x zU3c!vvS#Aqb03dsoemGWR|#IS+mij#3VlSIjGD+OzBIheLa-!JnS2>_>vBH0%rtAmGp%y8L~)PHbFIK%bI){+Bj~6np==Pu&^qcR z>!SNFR*JN8nLHYJA?-%Xi8Y}>TBmB|IM5KMfI*70w@&Uq4;ih_MBF$#FIw;Uh7q3< z>=BaHQzj)M&wh-Mj&p@6k1?3TOxKH3ft%78pW91@!+@$e3NE%<1G`XT zIQm`o=@I;rj)mal&eZwfC)pdLQL}`PtZN$CJEzfLS9VK=ukyC9ta4fWr2c~Iv)z9% zKZkK5OAqutin6SnL4e>P-me+n&&FAFT^G|zIxZ3u^lnb}JL}zP7gnou+Fj4^fTFM@ z+x;Ysh{uKhZQKcp$CWu4KzH-<@?76mK3G(U@JrQRo0RAiM}rL2H&>P$^Q=b_Z3g1H zCt>lsLa_))6m9!rI4FA%c<2%j`YEaVS=QLS9@@9$BM`wle`7nb9chbrFFS6M8T2M8 zyq=wgTQzU`ICCPI(qw~GdFWfV{bectJeCF}i&5qN{UIz0bRGS&n{nRMCbaQ;GE#Fk zLv!QNUfLXUjb_{zP$g-5bG2<*J8h-cuD_iNF&j4|1PnZ}Fe(`~qOaSLzHZuO$uku~ zS4O)z$oQAyX2VYLH3x-`?!V6Guq2qyUh+){J_1Y(XRVIYnt>s*FQdNi@)qKk_A{0H z67r(VpUJP2q95Oa(k{N)v|X}Vt3ZI*&uZ+z;W}f)Ao8iWgz$n`VMH8g=I8?P`m~8; z=CreZQEciWBbq?OKNYcl>`Yi(5ufPZ&#}H0p(7nKFb?uI>=^tM=dk#l?p!1(U3?8Q zA~^&(5KOdY*L}C>`o6En2+?odP~(z-K9tU7AVh`?3`Nij6r~UY7_m%QH1}^qx2_M6 zygi5L#)ETiRyhEMJ>%4*BvxJfnqz!+^KH6Q7@h@4*14gE-f`Ws*_EQ^9&!!LKCnLtpfRdyB5T6b+5%TNI_P?xaa`EjrV_i zzK?O;Z?Wh**~A|wo$A_%pg{Y-8C#=zZ(B<~dNhp=Luw?3-8m5lbkG;>A=1`_M{t4` zQo29+PX1#31pLRQTT43rp3P11x*RjT{(Q*h<8eKIeRfvISUIYlg$Xgy$MvKMQ^~fz zwF10J9dSsyZu|vaW*EGm^JM7P?C!mZU&g6gvbL|#a@C1E`qtj}6x6G|_6Bn{L`8)q zX;8#dtr~&td{^tu{pH04>K}C9L(D;?@)c|R9ecswQB^Su=s{q=(fvQN2fkfgX^iuq z7{$W;V--PwB?kpaY*qAbX6j?;P~PM1^d9)4h4X$V7D7AKHvm=Q>XF8&EPZ(*+1Df$ z>EWM*U$&>4A(8VSl*`qi^9Az)DRSY6wYbbaxSq75M>M^eq75tA;I_$_Cw}7`Q*wT! z!jl9g((fRUL7E#OlVaXPh{I!3Sld&Cky&ArSE^-9ug(yVM8L0+RN8oh&PC(EB;g{s ziR_nzEQ7Yn+x&_I0h^U(hF249$csVLaYnG7&2ANbp^^Ayvg0T8j~l*(x>I(!P6dOY zP>HL`0CmUvYt+VDMD|J4B{@@3!yhj8f74z`u98z{Yve4*H4T)}hr#@LE17x37zp;k z?SrsI3R4&iG9)B_bwv&bdrDym3ZgK4jmNRq@>LT62qxAx4Brqf$J`4VPf+%Bmic;Y zL+bZ0JrU7(ioiQ3OBh0S%-TCK{S===2b&`DbJvlZ=sjQdEsj?cEG(=E@wtdoJTsi0 z-o$g{P1YJ`m!*pADtb{;bQXhy>8FV7t(mESns#PcQJn$isc`F5&6=%s>CUDS=GkEP zy0@>NlWBX8%^?4u1(0n>Cup{LI+OTF@^d2XrxJJ?xo5a0kaHjHjGWc+*q+;zO6=tK zj^kVzOZbhlO2&*mG=uwoHP^iPYpoJ(g9TiEw#7jJ%GqkD{icrW-?L9nvw!bL1ctwd zCk@n>QpioTuah?HPZ+-Ak|y;e{>P^Gct3u8v7v)+3i|GxLjjlHOThx6LUl5jO8k1{ zVaIn^xuU%ZCo9r_xby(1hZ7`+l-8{W-~&%z!hRB-x_foZFs@kN_p1{*|LcvA8OTp$ zUUa}77(4>*7wts*-gbQ9{vcsEnAvD8154;Q_*wjA7)eL$wJ5Vu3V)Il4JqS)157;4br+ z?t{|chOW{z`pwVp_hpU>N&n+taE|u_&z3&I*O)t?MnvPSY11vYvMEdyYLNq=e6VT9 zClCg}%Xwoq3!|D$${n9)tJ+06vB#z7M?PXHeG9M4gXxxjGE|9xAc^hW z8<#Doaun5NreWUo=LAE?d0YG!dBc!L-r4B=Gd@XLaS(UGDp%Nx*yI zKv-f%?|gCN3#5ZG94;MBYfabdYA2b5|HaPX9e@6j1R=LB~rX=3(qomn~QU zBFv1514phweO|7fx*^Wl^ajv;Eo`oz9|Y;L3zwiTP$f9K-re5a>%6N2n1}xpQZ$!) zDV5OX6_s5^^T#@Q3@0SW$K%p2IpjMUKiGpn(&;9!H;kwE=Jf;OU1s5?RI#zN%fVqH@f z07oN7HqE4Ub~y_&h6d$skQOyEEl3j%WyE^cjo6Xw|4kkMJq{wvkB?6h zeI3UQg^dLa9*uK!5OA{X6;W%AX;;iyc)9u8)!V+Vh}YdTg27gfGGUtV*cYWy3eemB zvl*fgv*uGN&lo1d%$0f8p|BE^sJiAHzR*l^#mMlY%^!X!;r&IVWN`+|^T*a&H!L<$ z%NvOjHJJ#ch%vYt$1)*q=A%Xo*%PCKK|df_cc_ZkM!E%O!vORM_(or+Nh500T@S_~~C^ zxoRN+8!E^FFD9C@-T#T^$@MWQ@1qiF6q-om6 zbZoKw0G`r(H`+VfM5Iwk^o%~)rX9Ua#zd5`A~Lo*ZdWreV-$4R{_lg(WjL?G_Vt!U z!PWc6q3W};o};t~Un#$*5<{o`g?glCK7h0ZAjrgUKmQX!YrpGl4>K>+0*=7O=URzm z;^wo8xk-&q_r^r#@cgBm_h$v~zx8Kv7Ad6L&KH&L2-Jk*OGR@Z_NV0p{R9MZPYE9YRTm&!SPiT!# zSS@jf6-oRQOl!qolA*z3k|+y_34UmrXDz#WLXb!v&o`TFqc$4R+(oyAHLY4jcmAnRr@?0A2#vcjXMJa{PTUsxUDLc)2)vC zAuxQi$Wu%%po&xdenaUxc|`qXylK?VOLL&I(`M&+IYE9m4p}du6 zbiWP)n;Is3#@(brmn)R$E5JEKp#^FX6Mw^zUT@lToRYMeuY`%PL6E3cAGGA#r+**3 z0RL*xt;M${VHT8Rz&}<03{l;2P%eZA*paq=A(b;q8GO1jD^i+tdfHE^)~r9U(tG*L zv+~bO0!}tK^yYA?`M_3M$G#V4GQbZ!xf+=@Yj0{bz5BZb?n?`?O#NfAV5aKDK`=(+ zz|u9YtWn_wPrNfXUScj^cXy6%c37>#kEip85kyqd#y8(0*IrvTDI926S>daaivXjj zxrZ=c%w(?4^CCQp`MMutX5m> ztWYUS9;)^Y1=AY=bS()tuIG04&Z8U|sn88{MMNK49_~TVCUZDE3tlp89!Z(d{&yA3 z%q%3v7TwB;U};(-N$C}H*~rL6N=nK{L03}C3W15QGReGcZ@(NZ4FLosdOA7t^@$D| z)1ZibFfJ51jgBB^tKNe(W#V%s4B3wjtqmSgvgyIknZdM3^PE6b{WEdLA5!ywfLHNH z0C7uX=%(A`uP;?XxxKx4o12^U@&Zukq56LYQF6L3PRR@??iY0!Y#e0|UX`I+2J|Fz zw_B*d;hdj@7f+Q+=dp#4IN9lEQR9qy5I}hgma4S7kXLL}InOt6K3HN*AZ>>kxM%m5 z2Bsy?m$tlGX}wmeC$ehrA-5j9l1;P>sZltZwkKdC8w#dPm_UT{cMIYr6ZGqO-(Z6V zd+lZ{b$wjY1Jiv#<8n7DK}@qRBMMBQ)Oni@=>Fi|-c5WG{?7MoUVb6|Z;AMAds;(G z0}qPOG`&!6apAZjOtZ6I&*NF*{a$48`IEzLFiJ*~HT&Qhhlc>kP7TPc^Y3{5ru_lW z=7V}93`AcAxH%^_0oNm4=a=~gZH-Z2x@?=vr2UKC#ed*F`c=PCwBFqj`L-$ZZY-e0 zVdBRSi-R*-;Y~A8CS_c7D90e}>AA1m<4ykdtK{JTU^11nu=_mu-1d+~N%>jK_{)|AFt)QFf0 zR@q(mQ=U_LvO`5@ZJr4lJXVszwmCwivc@KUDEm3#Lj@}+-~M+66z|#5l?v&@c~wg0 zPKByNl=B&#NF^^w0rE=w4`~aXis{IaU%R{H)l)CAMn0z*bVGzAdyd4`=#cB z@OgBZakK0)jFGp+``p|EcfZ^aGxM?HH@YI3)@72D4nE~U$B*?7Fp{t zP+U%7TTNViJK4Q^$^O-Ejs@MjBZ4}57;{jKlDHGI2oHe>*iJ}G8+d*E@|?)BgSNHd zVPvd~NT1!wo*%mSSY{Vd*1LF@5ecISt*xJ*w?p&0_&QdIjOu>77593pm*9!|l#>vC z@ad<@wC<-?yW66#-{wr^^_QY`w<+}{JmMWOAOc%X+A=D3mFo7`Rv(?;-N-;1jqV#? z2T5wIwFT~pOtZ>s#*z||QKR+JralOFds47DDlD2m*5d%E6V(sr6yP}l3UT%bLK z&?dk&j16sA6b5Wa`88;qC%F#4Yt}(yIJFXT$}sD732a14!MA4dz`Y;m&@XLx^|@mS zUsm7jOQ&j~(}*^_d534G-oLYQCvk3^G|oBA2F!a&r$y^$*t(Y;+%v~dc$L+8Jpn+& zUlmEOYwRFUB2rP_N$7|E;uHuZI>CP%b@$z_VX;w54o0YcK^zD~hLBSolTDZEK4gW; zm@E`n3_M?yL>NjAr}2xDgs;^rJwUP3dpGT{PwtFmVqjMqTDgz^CS79!xnNf2tcD~k zNI^n0wEt@?UvkJHbHq3a95pC9Z`q&~MFKz4hq5Xx0crQLFQ-TwP@uzWnjY_U{e+?~ zwy1`yKqEFNNRjfz6*HF*-$s@dFdQiiHR5ApB1I2S#Ly=k@LjfM4Mg`tylB}qt5bnI z<;A{qJ8J&6SoOZz?N!@eLI96`)%9}O`TTsDcs+m>!}UHz@AeJjZ78LilUaA4eU9S8 zD}kp@`N2Y(KCd&eNj+0bB7Cq(r4FZ$95SEX^xZE+vXnN-l(5{i{tL8F!OXC!)1Z0w zAYfn#ICLOq$SHz1-^X$U6>xvWgs-Bf5!ijC37*j==_HLXgZ!azzYL@6;srx8mG3fQ zK?ZxkS`}f6Dp3T2NQxi@xRuH+hEdhro65j z$K<)+=9eDs?vqA~2&%fT(lffJ;E9Z=A`a+fm6aCUCx)m~=bsQ##))2mVHEnqpXTm| ztp6SY>Cgmc-922Vr2EJRFtIFUf~osVxn&wXqFzY22dB+8 z>~oZOmm;GUoDky-h{jlN%bKMjD-;h;Zl0c~K@1g57-Rq~jT4;5WZ5vwh;6w+kzefO z{2AI0EJP@Q6(ydFje#vAR$x8;d(*Mm)$D}zOYi81rXC@60eKMx;)=O&0heiLVXTqS z9V2oOdYbGf-@28v{{BGHSwKq}Y=9T^H#56y=1Oa{ym$fq>NGEf=@c5(NM)+PPCmz9 zNU#~VRovY(ng!u6yw!aX0wMaQP)`+^{`5R~@Zf_DxJBeXa!H`^IS-AW={DU6_n&fg zbyj);2(k#@YDO&-{=7i?8v>koXr6GCWqW(U zi|0+0_y`32Ap$;_fuNqY9>}+&e^A(=!DdLZanoK^P?9qz7ToMf@Y?XGpMJ$*P349B z_Jfar{UvgNCDMQr$@zP1o`jxFT_a*Z47;r+_Q0lWDjUFJlzUfifOiicU{%%6}$ z%Bg`b?pp;;nIj=PsD&#h2i24?3xgK5azLOq#IIzAd-K(1622OuT{G7FUHdDF(4A#R zv5p~m`B)Kt%8=f*9e?LJ#b?zS=;eN_?tOoWz580&)aw#3UyLOub3k`16c>moyHV-w zX@gGvw?QbRtl&6|SA*!7HW^&ww^cE1hmn=D5?rZttvg#YUyjfR*I886dlDLiiP_)x zE+YyVq!=#;9f-{ZDD=cLNRUnMZY5~|K@uM9cMlBs&#?udtJAanxCdrIeJK2`FH{Eh z9E4v7H6Nl&<=z^I(*N$KEZ;X1?EIYm&8V7Y`^}s@wK$OS{Nk@#yA8jQ{Bkx`Dc)M_ zw+4}O*tJht(*^qKx<6h@zkckX_{zpYQ3kd;pHy#B=5vv_^!NUS@L8E6n=rd)QHsYnUShrSYYdhFani`7IFFB)&6}|97o;y=NUOcqYM=76Nx9*C9wVzHnz(J{)!H}f zht)b!G3YR-7=qA-Pz3u8Eer0>EF%`1nKd-d%KVw(;l;IreoOHs=Cdo_IiavruB6Mr z?rTC&hx+@NRTt`(uQF(5vNkm7c+i^8Rfv;il z`Y4xlNqwTT7Z9%D^F~T?%x&J7rBlLethq^tVrw(O@RM6J9e{DKf_ckoOg^b1luu44 zgJBmnqC!xKz+wOb{Xebfko6ZEo%g0o#+*G=azi>tOnTH>P26v*3W z%r>Pej>l}z&+)8ceYVM1c_7)TskdQI8`gD)I3 z8oMolwVH!NIX^b3C$Du$Lu)E-AQkoy)iS?zfaFe52q0 zv|uqxQB^M7x3h8eJl^(S?qjU+Mk>ATP&keg&$_L*;NBlMV)*Zui8~(@`n11!OkfO} z-MmWZ-Drt1zYp2HPxi0WXRzDOf1Li5%Opb6-ka)vqQi}fe*X)%2@@z4UucoOt0%161xkNLF-JlMitjGQ>FL}iBSEM$ zG~<7h|K>tM3LeFRQ0S<(>mp~Q|FHS)_kPmZdX;00u)kXk)c>Hf?2Sa%1x0#SWQP#y&LySh&d4$q?Gz}}N3=~m3JJ~3XVs=ziG&Rfc z6qT26i>vicETF#c=@I1_W?8n$9>{!SPLTT7&oBa!)R5c4bS=I}cv;lAy8fXZs|yQu z{5Cd+UR2*LK8Bt8F)KAd(mkHuR4c8&zOfVpUyxeTHHDe~W|s^lnNH1_vqwZPu=d5( zYe+~FA-K_JMfC+GR|@RS)U_8iXucHvT+_J?woZBY`Z1L1%efY+Iig~E2?gvi zD~tVMB8C=4c$rWMbNT;0pc_VvnNXKmiT2-C+#gyde8;$?VA{rYQrQu}UkydP3tWbT z$fDV%L~9C$eKfUa73}1dgkZ|b`1=QEeEX!Sq4QBqVPl)I;t1!fx^EySJA0Sw<-qu2 z?qGKEsh<4=osUPv2puM+Mf&PGg%qI`CNEWMVzRcQim$knJd`40&$gg*8yno!tm@u| z76c~`kIpeMJRe%ku64O)xk{sJ02JHAjZq~tPH5ZkN^om9M|UW%``HM``^gm1viHbT z$KMH{g+Osfb8jSo0m*Up@;kXdUid))eogNWr+;>LcSS@*$nqhg=c@(Am_z#tAvr6% zcTYRQzJb;~ruc|~aEPuMJPxn7O~fCIOR!n#>l|#wP<%t$J?I}cRk)tEX9|CD%AbQp zCG*h`SJKaqZB|YOH)Le-?GSKOCLmwL0a5%*-;$Cco%^WiMI2fyPq98tc@$ zQ1(4*j-PJhtxPIC+huB;5I(*Y(ttpknReaV*H*XFI)7Q-*JC%4e(!Uwi+z)mW9Yd4 za_*^w0g0Hr)T=?jdW42AjhTSg^aSP@D>nT+9#%tPQCh8yS!-NSsb%nR4NOz!BTcCW$!O9{pu{e1g)MxT?pE715x3M|F49y5TPlW(V)!}`y zZO%Ash0o}6Y}IBr#zS1ICQB^K|Ms0vqO}h-opt>RD=2ZAMa`hcU5-PTI9Xu*TUPM5 zKaHd@36k}Emov2L0Z=q;8&MhJFVCZv9_2dv_h~x3{m7t2=KS-Y$;+welTtj7fX3Ki7-Kf6MtW zoY*P4$A*xYt?3RuGB)b}K9=^>A2&2HjgEmc&f4au(Ih76v_O(Pw*UVAu5XaX*c=W= z68qzN@Uwm;pXU+H-L_LQZcvUETBD){sT3x4N|Vi+Qn_jZ1%!QC@4_FQZ&I@Ufqn7& zY0oL_pHWOFIidRf9J@)$$&@F=W4C(NQn@SCTG$n{%w+={2vno4{M7}w*S;1ST~h}T zfN^86qnOq>RHLIBQwK^Qg{Cfecq9gFy}X|xS#;#7onhRZH2q@lD-TI$SdGZ?TlIp< z-^9LZ4}G#zhkLwlMRBM^9 zJKiix9Hkb}^2_mv7Dvr_!0e5fNr~=+x&}pP0)FsIDb9n>RnNq=F#}8>eV@NCb*MO0 z0)uO5(2>m127AGauC0Wl!(zVf39;+Oufrdk*PlLpDMgzapW0`aNI?u&^;a_?g^Z6C z_e)L9;^*P;x=PNny@ozbD)aq4L4z%oZ^@l}CoLyBIVl$cs0rcQd!q+G8{93>*(Xl> z)f{YmsDC-f?XSC4>3BUk;mDB-D(8jd>-sbm-;JnBm*WE>A#Y#U{ zw)h;(8{dg!K9x+=hH`fTe0a6QN?Y^bPgjHd5h_~gTWU()vyU-}D}*s~Q^flbm-E=V z-IKSUwB;&N&!!NXbkZ}wHxGn-J6V+!7ZdxuUeza}H3QR3*@b zE7lXN=|FW*1lEj#T8DL{_Akg{62!`yUA98fBpimo)S*9Xh0(ZW=#xXTGjN4|;a1OT zb7Crkkx+a+voYFBRGinH{yj|-R(8Bk?Vfq#u(Gka=+39o0Q!@AryT`rta&QruI{B& zPX))P{`T>w7!JB0&1bLri}&Nq*gc;e5b!g0M2)Z2?fnL~b$8+C*EKLOxvl7BQOm-q zBGJ#V=?*6zCqm5i*pr3kl~wL6=l7|4aJujKz}idj96C>}lqUJ}7n*eFu1*Z)olAFi ztX$pH;*--Bs~aFbx#_Uv2MU>RuY>~8uT$bzSdz1?w!>lqJ@2P|xQa#|*9+cX*Lwi# z1nSXx>BmxV8|YX8_90oUN3J_h2h$0~&0T802qoaj|RHa^ldknF_rfqXd@+Z5_yByp0%O?5wGCeuL3m4nA zVJ_M4JYzdtL%$XNK3{(&A^FV4iH>;Ox~Z%n>d{AbJOhRf>Z!B%SL1QjVRy~^=W3@i zJ4^fQ^I0oa_X+{$^2ZSoDFj{>%4EaAEvH@64+Bz^C0H}9`mxB&KmOo|#cVV(%rpki zegNc2EDODWlzC9|Nh-)x2XpU>H!_W4~wRX-V&Z8O*KkTjXf*a`>n)NEjEJgX2z)DbmV;C!#VUTd|FTc=d~_bH-v zKyE=J{)JiB{9%ktm#jP#);o~Qn?pLrcghVC(&tQx%Rx&MtRxWy1O9u?<3dZTLYu@o z5gj}T2RL7lg`#VUp1H6jD5e+g?0*s+b}D}r)puD!t5$dLGX68X)p2OVt(P`2U(?Xt z&ZtqHGWzvjm?n0~NSlt?O>nBouk6DOTaKw~0=tf@D!7aD(@XUjyCp_?@p!TRgOEY_ zLEiYfnOZ{U`F-`7m9Qb+{1r7=tOM zg_|S^9z&7n9)ldb2M{mN4!ygG_TXOR@AoAy1eS=U8t6Ah^pJ)tn6Z>m>YhWKu?jSkpReka(HN=m*!5h@uHz+$ zJ&-X%%A6OB(SgS3Gt$j-pae1NjVeSMuk-V=q}PU`9F+FXwjc`h{DF!O$QLbv>Q1^+ zw{)WEfYP)C0|_c~U|^uA=<9G@q|oc|-4_9&UbimHhhvNEBvoR^oFDts9;=v4D7e?y zytwF=+J3%!?0Om+N0*nl-6qkIS+aB3WbA+1Tim{Y97McyB)?Y;7!{-E98b7d)qv;7 zoeR6FatD>rYBXjKFI6#o$j92GI|FFecZ7MQ;{Q5}NV~DyZ59d%(?Y$y-0L)1n9eOf zF7GPvIUn4n)nhryM93spPMr7c^m#pOs?(-C!?jT|?a*lq7-;CWLV+CEGe8TK-`je_ zAv9{K`(VG{TMMP#i5Litj51W@S7`ZNA5Hn|Mc8qE{5465Si9nIcsl%<^Z*hT^C`qV z3-lK{UT_cTmSm7}m_g0$iA+&?@@I%UqV4Z*IlMvCg*)NrpJ5ys;XQ3wLlO}Qh#EZW z8q04eeqt8gue&k>q@g_Qb|_;ctJ9r-aMZ}9JGLW+`tlJuoEs~_nzzr6hJS^z z=u&7YBuzST4W8~y%=D)2j$-+6qxv>KC+q0lJ!O-PRqfUdLFX=HSGT&MUbuIHGb|?| zebb>I-5w3ou-G?j#etmQH6*0uN~X8J^Ai0MUw-Xfk1_c4BGGppW{cp~MJ|nMJvD!` zl7F_^=c(g;T;7lj%zpYNCs^uJ zbdyRRF;*-WIH*{v%^Y_8vu*$VdZ(|?)5E~RLdj_)wI4(lLH$28omD_vU9_ze+#x{m z;suJk6o=pxX>oTb?(Q1gwMfz8F2&u7ySsaFhnxSNbMlafyzHmUz1A4x8`J!{-|tBZ zz&c<}vIGG@X?+&pmUSzi4l!=Pz{_e&Da~F|3%)^8&gHZa45X<6Y^FxVf5Y9g9tI}? zhb*ys;^vJmf*u+rR*R8IZ-5U`ym;|4sy>}`OjqsDHdBOaaVsp9hTvX1~X)DlS}lR(X+hJniQ`-f#h zAJ(2ncD|}qslWvEfD>M|<|LIs4P)2S8yqdhe*T3v3t8Bjd%K$WZH+>emp}p|goswF=>~K5lw9bLZD=JduA#eix z-FzR~Db`9K-VTr1L$PY+Y!z8XljT0udO*>H`&(!%%b4>#0rgaR087aTTO`&W79gUY z7Kf%>w^oWd+s4L*HjfZL6xQ2I%@%ADB_sDKRWLmYL#MBrk`P?588JS(vtw*QK12%M z9m9hMAcK&QM6M&KWfD-Ti1n&EfdKaEuHAq92TmA5Ct@iseh)5Ht&jUZTi2K=p`BBY z#usm!(002VR1-KZ5XP^tTjzbM2{$+xY-bc$Fg3MkgrxJmn*LNKA+jS_Y$jQRabKFDqtAT&xI|^p>muR zO_PX`Q*-g7C;7E-$$`bk4aveRUBogne~OA9qBor+XQb|#7IV{4?r~g3Bcd zA%A+xH%fNtP-!Q*7CQWF(?!9Su|)eOR_K&hDNL%gi1h$8tAjX?>T-PWm2pjqxe2O& zW0|Rt;bDnNxRTrz62yuct)N#bw0ynMWv3P^b{7m};}jOoA(+|NprssBD_hgEY+A`G zj2UocEG%RknVkawlEf!(PnATFwdb^@q=IMN@V565Cy5VdFnO7uF(b5crV<=nvJ+KZ zzS4QW2pT$)fulr*vu{byK1>h?)^(iOxR9@B&xa_4Rh@hYe&Eul;HB(9LB1dy_|r!< zU#Cs^ec?m?c7-4yt$F5%k(oJSm+P42HW^*n)<#V7V1gYM8#H^8JSjt2I)^CA@skQP zW!*}cBk>psO`xoZ6?A(`af^UdKhLAu za0~B5c9ucv?c9HB>Rp@=ZAU!^=PO_{sVelKlyX75rDU z{URlH;~@`!X_cLw(y_-Qo0!cb+l~LDc>k9vALo~c^w;P6Fs@Fu`M*sy<=sX9&2>iR zh7S}dB9Rt13??_OjBEX$z^f`bw8oTPgXW{}Oe_;H6!Ra=( zzyPG-Zz-l!UcTNX#&uEg@(I+aXOAJSUO!5Hru-cp@6-fyx^G?Q_>CP^y+!i+VCl3+j-2;XOz-zHD6_YI z+4x@hvJKVSOJ@1_`JZ$A?mOT5`Gx;@%$R{B^Co=X$W$pMlG@Zy2DV5!fc69_F39CJ@f%0 zc`UxK(8|iA=evuQCI^$9RJ+GaKxUQzKetd3mB(HHLl39-c9rnu;gPsZ!o!hM`LR!| zfb&E*;$NAknJT}az~9fzEBD>P9WivtNMpFb9?kO9z)3|5ts zkxvP|QAdqz#!0#f+BwzOfS}?V;xNs-a-w9ENi1Y!RI0o>C~mMPTJwn8^ogCcxXvhK z?{u_hJxlFtLm;b)e>76)<$%_ntr4l!(QME?tWQGgw z8fpLX2@8^MXL^BoCeog8tP1?Stt4wDPf2dE6bO$Hl>^ap6OJekP}oP~{!3ufo;Hop z`W;@5Bt?4{CWag*IE@v0I(WS~nxUV~jYK->;MXXjrAhxW@i|vLL_F@hYDU(NaqKCB z!XkaL(z&qXAn3`tg%1^pqk<1iqB24RHX<#ZU%Gm@(G=f@s&p+>)Vq$Kv3tlf6F=}_ zlGi=uPwd_`-z~wXPPU+W2?HeI0rsMHx7C6sD9`6q<_bw8rZ6f@Nm2!CaK|tkTrCy9 zzXU^n&OoAq9KnDPG_#OyYnC4O?S1GxLvf9wL6n2W{&Xs(sLr}J_C9jQJhbjmvQWPNWp!uO(VvBBpv}8XjwU{a}rT__*m|ci5ifGhW zv?G&w7`jN=l_3DlVeu;Fvlsx&jNN372?;_+IGwaYG^jR#!!>2z=vf?&tB2|dMuClV zK?R|T;DFlMr<8^61Za6rbi=aZ73UF2AND7!#c*(w2K*rsi*9?s;AHO~R&2WnV4K_- zaTaFg@zGIe=$b}mm^w6y&;C*9HMlfwnwml!pj4qoP2T|Js(vPO%} z;y!EgRtgh)_PXsR&I3LDxmrHZ;nm7L|HMy?0R${ZqTVi+Ym3A;#D0Po#95XTJ5{ES3m#s|! zJhNQrmm^eGJ^zw<@&#NQtA-o1M1U}dw9il_mvr^H64|TLSRf;);mLZXH@|}$7x{8V zKnw*y2XHz|!NfSX4u&`E-E1joMxZ1#7U868BhQs9hDLG6t^`Kd{l5vU@9aRg&-^R; zPh0mIA+l~!>!2FxmAbeT7F?b_A*82-UE~_jsXeNpE+LmRAE-n83PSmOqpgv0Qj}AN zk}Su^6<^{VEdrQ6bFC=hI^aJGiD4@ts^mML{}{9W9U&ef^!lhs(|h7odM`uNt?le? zfl;(i^xcWWQzKCl?bNx}v9W#@6wpWTm62+z{SdKk*F#8nL+`L&-YqV*21!DBXdv0& z8*&}sGMb`~a7ctYwXjOdwkWn)`!1#vGXOxt?djGdgsIh z#VTe_`#+t9sI|7one&yZ;9X{9glj(vP#QI^**4ldHzq0y>CmV4_JGW<@Fhob*^kRU z43LV%Wb$oo*xN_{5?Ap|A195hg%JdLpIp2?#kOs8315G=o7aZ!_=0|(fFtsdXvv)m zq35Gk%ysjjW^vhB7#nTcleZbfo;kXYFPCYe-xR4B-jQGiYP2oyFs za9sS7r#NnUcE)mzlbiHiY1FU0X!^fE|AuA+ZW~S_kL&EN^LBkKok6S z!t!qR`Ezt^Y&awocT)9;S_%x%jpH7uHxi%ApoutCt1X`22EJ0+r$k&@l0FKokf9|m&&jR^oC++iFb zF)G>eK}Ds~xbtB7nVa#s)~o~;gg{MhzJo0GYe^R^9v-l7^zj)zuTu#S-Zyed^ca|a zy=vC{^&17{NR0n+R2_r@w;Nr2kII-ym(!554YDqd>B9Vi$271J9mo-AY}R1q!p*;( z3p!m|F*HeX<-?9+fa^NtL?r!UU{LeT;4b%C1DEz|K>oBLGGSD7f*7JIzzMP1zi}zj zqgxVpih`kR%pzf^bVR7;oc`UOurATH*7BIfs zQa2V3m#};m%f56D;D2NW3wJ!HMef}o+puz$ZJom$c!~nK47$K1vU{$#7OnCe>YKgv zZc-P{Nm)4Rn*AN1lhY``@Pa$Bgdbbl=N}<#hUMVwj0?id5z=E$E!_MJw{|6|k9@vf zt9ua|eHxSNI7R7hFcn<_nBKvDZf@ADVYes3C1YVkRa594chx z+Tcr-Cu)cF2Lm}*n7A0v_$2dlZe?wX_z<(Ls~S-weutd|J^ibdC69_Mt3&Y*aqB#Y z5X?XZSufAzSEuys&$f1Z^P|-^#m|LBz^;^hol1B5YuWBuS$U84^}@bH@sd)VxpKZYpePfgEEXq|kU zEjA5v&_M;6X{OL1M0@n#vcUQyl6kYf#l$qftALcSMBF zLM#~JkF+zKI7V7nYc;7jd6_D`l#EKs)k^S4(hymE=B)?0OP@B{cgI~YtA6!ri7;WJ z;E8x@`llTo_P^#i;5mfbbN)c7-RS@V~_~UiO;2-_bW-+gVzF7#fO(ZT)w?E-ATO>Q^(8XZ|<8@gA-J9&0D)ay)C| zgb*(R7fkB$uzAhgF27bp$;`BWtgCh?9){s_ySet`-QR*eV*Mz3&~V|faovVSY9f=d z^!s&+f%7!OZeR81mhO9df-a^e-~Fo2hokuAmiLFm|N3>?wMweTIv*69gdC2m9}d+e z5KWquaywIN$8&sdUVZ$OpQaYt?)rIF{gLk1+;Z54bNo(w)z3P&cXD3J$cH}j61aU| z5mqTtJ)~@&Ts-nVjqGqzYlAsm}?G7> zqr~R0B0)ADeS3ZGaJ)FPEI!}PYw60-sZx1WLINml;UEN4(}mLEpP+;PJ@h3=17+c6 z;xv4*fZ`@mHS;K_&*ekl!%G1Gn?YV-pF|69#n^LDt7=aaOu3o0o3M~u?;L?i=JpJ! zx6Dkz&&%_vzq#MPj?4$;DtImw$W#BqTPo1}8I(!_=Ml&>2xK}Y(h)HhP z7RK|&`nSQvZd_YzmPdvC!{4 zxL@S?v`OEK)g^$D^(!`wXrVEgUnIfAVe^=n6{x=h&s!y1hg*aJsNx^Fc11HYvp@m4 zS|7VZ_{-Hx0qk>`9|DH=M|W38&zV-b#akKz{XiRuh5e#|R3uiROxWYX^+7L{dF2nfS5OP+7wvb6C0gG_1FhG)6>E0ZAAr-k& zR_krW`q+koLBziM-9c*vP@cW9Wuh|5EYc9u9BII^zrCn>`fW`FkmiLG=XVtG* z^Evq&Wj<}plVw;3f~)k3EJlK)I2-dY1Va@}ZJfaQ6>Cas{930O-&iR)4IYGpFSuHh zHP5bTrOB@v#qlNL2u-u(pZWj+=A80uvlykZGIJ4dguL~c1Z0ye#NJ4gs^}b&7XYx*_ebcI>bJIE& z{Q!%atBKZFFJ7|=4}3BdDHH$4Kz1g9Exs@Ql;wQ9qzQmOQl^Ir>dEuWlk*{T0>`>O)Sev%PrVhUjeQiPkd<^W zwE5*<^nz|xVw$!bWHj&bml?6stI%Fjil=41iN2;TrGbZg!L;q5Jdi-%YQMohuj7+}}+1)Llkq)PNg*ls5GQpy(7&NqUY$axHe2M%b zg}#M<@ocF@kL=&Td}VYo)LiT3Osq}3e=?Mgqet*BfS|n@(LFzzJODf-C2%`*$Ycnw zVbaF;>UHtBA(K%cLr>|Ejd`bT1^eOED4#2J&m)a^gap~1**RZry)n|ycVzJG>cXz% zPL&9Cj9TX0Yd80q%m?y%ZHc}sNh3`IK#DuyZfXV~abQNTzHGVq-81-FoXl5j=gT}8 zsxT1zG+(MQ9(=p}?w4)1Bnl>i(ck(Af@Udd=jRzzv+N`fS?(9Eyoe!oZzg$Y zXlX0`rlJRMr?_FS21YegKJxp%T#ekncR#;%79?pM)C@rd4;+p}jD9p_6(BkY`+TWZ zaHOtk&1LW|va$VGwd$qoS-9H6d6t)oYEIj~vQS`xmWBp{gtyx5VqM|4)4xqoE)AMI zx3+i18BX{Bar2l*>#b2n?up;##B%`=2$w?@s@J1R5H!@%+5gJ|K$;=&5fGHmnkQ2& zU7aU>dhv$xwN6fZlO>EJj`)tS(YW0RASAfVvNiw~Ox>?A1GaK4N*aG~u*xOi{^^yHQlJ({gsH=EZX@H`{-iWYkCuTb zi9nPzhJNJ*L*lF)UGXk-Y2gzvmh=}6d!gbV6agzax?(~9w;9%bmeaQY&cFSt8`d%;{;q?T|IWas`c;O%kb)x z5Gw9>)%Olor;cPG#yoJ&Y{R}6y(J+!jd3E}qMR)pa)J=%{752(rRoOsMuTmXfiY(nkeWd33($C z1$3=yUA6(FWMM|sTtKBKE>e6>^JnYc*R;5`^4N7g&uWI_wX0hELTt&}nVH$BCJ-bE zlI1^t{-`kDv(+^rF0@)OQK1mX0wD4UX#$AhusLDkXmdhIDo*9R+Fks&t5_6@Y&z!v zLvrzT%eg>tM)4=o8$+g#GyT>Q)&w@j@(Bf&Gcv)W)^u(TDgeziC(|(hp#qt2^vOw` zaB%`SBBGoS<_JzcImN+is$ViudNnC^wmc&rp*O{WIEv}bO^3_bI>7$e8MpKA_4dySM$jeuNFf~l2 z^GeLgt(x2}t})lfnzSptwYgdqT2@dkb8>q-kJm}=I~fVoQez!manpCOE`dKB1rd#D z-X`DylA{1!p3)y*BnnghGKHPA{30G}-bClnD1jzVz`5FMxRfasm?MA`z9vC55BVm~ zN(NH;DPvG-r8l@uuy-i9$;kJ^ngy{@ICqm5q*qJ=Z3Dswgq)m3V^XonomR;{RI49- za16lZ$xWRF*GR3EU^DFG-{Ix$vD*qHk-GedO0M)Ktsz*{BOIK733lSE6n5sbO+bjB zJld~D1AzOq8f6Jz0!pa9tYnm_mI9>LrRtXT`Bu`@7AD1|FgqVY7U(O+TXfN@s(w3_ zv^i*2N$hTgkqOyvc5QBIxz99Q*)aeAK>RoZrjTo@uxj5A+}HGigWv}1gAB-@lKB|p zOYqRbOv;Cet!CSD#tDkzClVDdx7Y)Y{&!;4EEO*yLr4O(%=aL`nyp$1n#NBzeodZ} zh!9HK-h`S<3}X-YuYzTlGlK6taz=$9_!!xMAP}{_n%}s**GqD z&4p|1E!;S_gFDL9Evtr+y3HWByji;dMV`lb)uQ8Bzk37kAa_c2{$H0}&lXYU;;kIEVbmFJLEqRXx#0DC^XIZ#|D24 z2rH6w!s6J<`NKea#W@W5OY6eRa~BAP99!t$ChTAgna{&zSV5;SQy~poaXgw>&WE}y zzZJfgXsXESd}G@F>nxI2T2K?o=^2b)Nd~%o5ljH>tVcL@XASTCM!Q_Q6*|D+4>zt) zvMA?XGF*|S2wn;vKEIqvR4H6NTXzUnUcoV&W2xHcOhqU_TFWx-^;uikWplc$(PmAE z+jsxK@9rR$RJhUfdOz{EqOkqDkBIY2Ukzfc!1&Lm_y-Y63?}Ncqute;rRF0+?Z#&$ zyKg5|kRg>i3P3D3&FD=!Vz%dDGSBPg5yx*y9y>k`SI-lP6|Ak<@SXg8e4nk6oRNyx z(JXyg*%B1T8jfG7VQXTOl^08Gy;@~*@4bFL*YBPi{Ms`(P^SS=87>+~r{M}1vU$^0 z*SQT)^xl2Vc^H~|t~zD8&-&u@^cPnBZOmNQR33&o_&3B!VwSG3Jnb`w_WtnF5m3Hc z=I0PhSH??LU~z9sY^J4voq7iKfK4~)CwK@1ML5;EOvuG?-o_G-Tq5yRY>L31c@ghR z8EyjsC7d}*@OrlP>%9_slGq|!EaNS?JgI+Du9nOXWCU{7o~L+!|5-Z%p!86wFErpv z{>=cUiz1YqnhvhZpO*Z2O-B|kAiDc-B zI8u~=j6RN~9Lfr)Dm(`ib(kJf?zavwK zyTSWj(CwCGVZvDbwLq!?Yz3~WdV%&Jz5$7gLP7n(G@s$5D*4<2k$~+_q#;i zkOlffHGPAIB0pVy;tWf!m*GWNgrm0%XgA`;g)np(u%DkWhdO=oz^PHYp1IjClNxdI zn?9VdiUw$NS;o(wBdvslP^*@TSaN@V9}iE<$H!+)!OhUOqqB3P+r*YX(HFeXN@)c7 z)d544t^&4B`O)V1_4T(BBS%@yXONf?7(Ay<#F`}?t}P3Zk4SgS*8X|mpGHkCP2B^b zKAu#Jk;8WTqHX2VA^-~wJ#!G}L`)`vl|C}NlTYWSlRCkH4Jd*(U!&9Dr%sIEfL;E| zo$gi@$LmRfal|-f-ti6416=l3QB7KDm_P%~G{`h3IvO&rcT&T=W;Kn7KRNSw;>J5* z(c>xB=~zqhm}IM$MT$TABV5ddk{r_shs62d2wIleBORM5@UnPh9L)Rf)&w_J zP4iu>uRut}pjG+4XB8PD%az@^g~Y(}<$P2L3xY;;Jv1y1sD3EvJYS-M3=Sv)AtiGl zHs!S5=w@?-{PpvjVV;}ushY%gHn;<3plX0Q(LoS@R>g5f zo!X#V%Zn#RxDkR;riCY*wa#%xc6lO%+;9j0$d!Zab*alHhh`(e(ps|mDNwpb;FFL? zz55?cx%LEiqKHlFh<*aaN|9bHcgEi3zULZj!VO6zghjn__=XNa?i#x3)`YcVH?J;& z9ri8=lE0|}QWjaWSTBT*ZdW{X3CjuwH%dhK3GEcE104c^FC#YfbagQC(S;jA)yJwQ zeEX#eQ%W2jMFCwN#ce)T_qGV^|4}tXFQmj$k%DSv=Dp?u&mM$S( zAFZRf7Y*fd4Dw)9svASgy2;EB%$zHWw4%Ei8Ghozk-Fb(5`)1e)mq|xkA(_;`kg0y zyhnNt-xc+Oq`iTkBxyXEZ(n>|c5&6#>9TcS-#C~i8dFpO*l^TOU5G;VueU5PehGo! zG5*lrSuf?K9RYx#d_L))Zz4SC`YoXIWqJdDe0JNd z&D@50spUJ^+O|%h^`HcimUu=p1zFCmB++!q+l#bC_YlSefPjnliBjT3;0;k|UVydk z%R;1xQB*Oy+5Ffw2_Uf#B9gF69=DgSmO_mHbh4V;Ry|5|*iT{Z#G%}8CJNLZi?Ez1zWLs_tOE{KhFe?~n22WUNjUIGF&`Df z1F<@>L5p)xi1HXmqSycyHrb}>84wr%NYmC?BI>%ZP8rU9ITeiG%?7*CQR_FdUfBm%m+}3V${sPKAM}kjuU|P zRb^*ZE?&Q=OcyGIK_p3=%}0vH_Bw>H((ES4i1|Om#4IC(5#-E&mPz^(4(&%oLX#+cyR6A~2#06@ykMPgU{3*UzOk3E*tYsAN zpBAPj`nZ;DO6bX+@DN8x;bwG+{@$uatRa;5pJ8kDKZfmf1jY6tov6sz`8NXu#@4%2 zadhkB_H33v0%yGkgX}DTqbM@Ii3PRUn{rVV%p7=+UMD7)-?Z%ZgBeIpJSWUdOwO&k zi3KLuiPW0&HQZ9*L~0rbAPG<;BtX#1HEcBt@R#LVcQ#*CmxB_J4?ZVuSNQco5wQIl zE8uuQg?Nigc5}r0(HM?&@5&FC%I*Be$4c}s0una$eK7ux?kF>Kez#V_uh61){)^6c z{fkcZ0;xUvaf8wH3S|+~yuh-z8+la`2XFz~2HGD~0J*Z!C`;ShAR@w3r|*SN6Pfqq z<7>G4DoziUp~=XH#gjlDWrom)FO(3;IJj-PxBx^#&}G+oWEcWsRmaN}|9R`h;-Y(( zezLjPeVVc8Tt4?K=uS87hWGaYlt}^J8JWfkNan$Vs@DAcA(fp^$4kx4v2VSh)z8m&t+sdzG`5?8P{XOWB(y7lgsS^heT? zBgFjx3&&6r*9;4R0(*W=t!qt5DQK}A8E~AOAaxsQiY1p&=@AImhpPhKbj8kV84R4u z@h|5L&e|XKY%&5c3Y`*d0jw0|b$)$2NGo->jk{G+id+3_4swarO+iKhXfpEcYB_A_ z$(8{cWg|x{IR7XI0gCC)U~X*S#5f1!zs9`&yZ3$H@OhN$rsFPesB@YL<`v+@4Ye!Q zqAe&N29qRrrlZ)!vp?k(HAvwiai9lR1Y7Mb7*5J|MRR^NLH!%q5KkB{B8 zX9W%a;*c?PhK8J;)IR`XGnJYbCmM{gD~YyXT-jyy#EHv7X_P?yw>loy(?ldpg!K#?F{d50^rPf%5p}*qFkXfrH@*$8&JhBYSDJv_mH~y_(Fm>N^R+ zAuQ4VN`VFS_T0J}f(TdA-TnqIYz&ewG%H_|G0sX_1l%gLe zcU!izZNN<|1R#AwqwRSSYvoo_bzOmv{*Z3NgUFRsC&Ft8q7;kFhP^b8HK&@xn6_y* z^V0LU5c9xd>z&pPtU~j@9!E~u46q;6W^ry?9D2K#w@QIusPX~fiQ|_+>825BGc};W>;WNvV!fa)!9tX@#n;TRccK1|B z_Fb#-+VwXWQjKV#kL>bHsP`lT{huua9oCif5BkcogH~qvI=Mwm#C_aL`NXAVj5<75 z7Ut10LY(xQ`#8VuB(JX6?V7U9|K}T|q+WLyl@SV-CpTQ3u@oq$ih%&Q7gLbnMcq^D z)ed#V*$-Fu9)J0=`>r=)Mm+9EOL-zW&W^0!Y2=hR5v)?*+E=F`YDgKpBm0C&^GwX9 za&o%SI>`s~Q1U&I=0~&2{4Q(o>{!dF_roDb75kX>CE!5Nv<Bv0`BeS0GQsIK{f?NB^4$okw4lge>;u>PKw!_HwTyJC&KV)I%1T@6QkUpc5 z7Lgb8duiN|opxTCkE~^^QY~X?zFU5O>$qnv3;1CG0Qsz2m`-?t3yfIRw|~O`PL6}N zeKLnuDn3vC8?^I!o>cNNG3ncX%+BKPeBWd7d(L^Okx~%9_$XDp?2J=of;{1&h6N8; zWkiC4s~`h7m(CBk$_ljo8;^>e*6I58w{E6ur?m%XS=m3lcN25ywaD&MAP`Q$c5%hP z?Jul$NVp;STqY!NEP<35uA*wtv%pi3{$nT0dV8x{6-5`0;>YDShn@GgNyNxeK@h1# zOaKcz`E6|k!2iTD$WUNbThXto3|(u)7C`bdO!|hS>OEezu=YMdaLxAqQC;tH5l7MY z7*$_q{&N)Igdi?55`Z=rrh*Hk75Z>V85@(sDQ($JzaB7)_14+>WYwT$b6=Si?EYw) z`nG%G`*5)FraiB96T~-@!7)Hb6A6JnwD&ew8c*v?1tW@$5?m{Vl)9hCL;iMiqGy$` zdt6pIAyH+bf7TD8^g0#7VhLmD{X*(cWe+!CQMCu7%l}8E-6~zC$N%y+?MVQHl`~j zIkE&l4K^$xT)NkpYW;M{QVgQVc84b)vte{6`9 zV1mqvID*r($6M0JD!`OYCq+`!n{A)gU&>_brlPMYOD1-Yoe;A1>_4FV30wC(MSFVE zIfNBAnZ!EkbiPdaC=rDO5E1y|I-S->oc;G{S*iV-I3mZEa~ZJva4{ckAZ@ZsWb~_Z zy$z986_NgHsaKbhn4~sW=budy(=I}<1oF6WFR}_7kv^3m3{c?@dN`M|+_*n=v*|m{ z9gZbGO<6k63-zClE0nnToSLgtm{YYXJi>d5h{a1!r}XHkwu0*ZMe4tZnKi%0v?lf) zZmU-PBi4HngPIQJ3tPQXQ0M3~4B6X|K0OLDWZhD=e2=GNODgA!^KRqH`G2cE(>w-a zYcaw10;oL^DPF;KGE zho$-QiSfkuk)i1XHlyr&j^AaZKEa=S{_{Tvp~RJ@`zyAss6Jo5NB3MNq;^;=jGvJ` z9_k;Zbsj!hfp_ot&$$PN*F3Y*xvGt|WaEo_Ju0+D(x3$|SoXQCvm0k z`@D>A_7;M6L+s;YR(Qb0Yv>|})*y`^>V2nT4w*;r_=YPyB7GxT?TeqDmsb;Ew&zQ3 zBi;g;4RP0T+a3e_Fy|K*xU`)7MIhIxHVqD-ocOu!{4F?a@SE|U$P?)aw<0>NV_#k!#6^9hn|Ko2%q59DK&fjjkRi~H`!f}1+ zkg7k&#|+%jd?hDhBYbdheoIRO<#$?9p9OVa&xt{L%T!nh7+xRL8|F&CTrg?!Ml)1- zGQx?NRGJ1kz=3M_o(9%X<+4ITB*1^2Mxu_plL`u{Ww4Z0Pu6VBD!wKZwEy|Hzt9N; z<#-;K%!_$de}fHH^V0hmt*Z{|`w~;ESMs`E^^(e@E!kwZfdGJog{2gMyq~w)J*}|# zD1X&7HdGD7x~OD18KRenJx-QSzZ!%Njhy8MtKTS{MLNq~!~Iae{C#1>?rFC|Kgl3> zpW~aU~o0HwE~8{o{javk&TfR2HTnRuQ><5Bb0KjrZ57sj)pr&Gf#J?53t^;eR7` z?lb&CF9+72e$56WSuC(RR5$9wKX+ zSTx+zv)#J>23?=f*`5^;KgSxMIr_m}A1w(cbBWvoeGWy%2KuJT;Xng!LQVepeU#(d zPoL1s21#Ozh#fFvaNHTFH(rWk0Wc!L;2+I8u9wd!krpmpQa}WxX8$;UIO+guc?=v5 zRP2(j2<1IS3ZoMOL$fv~kC@2ik zWp)h$M8L+zfi?+j1_KXEq!Up&Ifnlh{b3goVwt81un3eyuoFa>C~&nj>t~O>cbaK` zmo8hi3(v2+9ItjTb=~p6ZY*fyKV^PVZMfF6dR0qE{NB=~Mh=4*$j(Z)_ghI?)Ght< z@XdKj-1o$;KW)iGtb8)mFFzY%6)f=s)U|g1sVV#d0?291w}(-1{lg-LuqanjHS7kd zz$`p)3SGq7{&@MWl9!uLHXzNFhTUTeH)@H`Cbg_zqEfPba27L%#__yosrmF~Y-)NB zX%JZF?o&Gt9XGDMH#(0cSUR5gH)Ive$c+k9ewJySl!VJXo3Y&^3_iX4{a+TqUU|iu z7W;&-q;TGnTtuc#jS%ohhmnvSwc!(`mgQ_7E1ZAHaETA%y_hw7CNP+baQe+=uh{%r zYxH^}DzhiUe4*sToL+c_!Tn6#EFx5#W|_6FJ3(;2oXq;?N~Z5o;x(eA6~4psKt&)a zioWvDe)6|Hv6?xXs(PZMp)XdS832I#mb2T0D6)_fx|s7!dUdPqNlSbudTyS`k36g~ zwYV?((9N9A#5!h~;x_^OPIJDwWs!i7F$ay;*)3SSWZ&Uy;KY+JeaNs*V8f4`dZlaO0v z%=LVK-tf4Tz>mOt6ep|4d2T6NAIMLP#=5MqYd#KP@y;gsxP3v^e_8pw-p$OCBmYjk z;k+lOe+2_aZ6pH8qr-u_U%&sgw#2bZ+9@xj1g$^?mO>wu^IdC1#U(AM}_~qj(eSZbW!?XG(UGe z`{4j8|7?FinIgam-Lh=I+!T+MdEP594@(UOCi zb*^}}A|6IMOUe z^^>y!0#P^9oPPNw8YDf_5;Xi+@2bfk?hZwkKR!GrSV)r8?k4sL>IbdKpFlX~%=R3^m$DQiDoSlrlFY;GNnDaV-UU0*C>p6Gc;up`)zhCfC5 zIR$c5Uhh1pD}d#siI*h-%{@ZH$k<73<0Lp4& zDSp|4v+~?bQz%TLYggAE1EV=sG8wcszwuVlW@-zww`_Z)3L6h%*bk-Ne8Dl%d&oTS z-g`Qs_QbDK`vvGfP~$7^GqQ-m|LB0C*iA}(^$hn&5!fsaJU}OcDU0V| zfED$_8}c#Yn9`xL&Ih|y;4{pP-A1FT6_b3MGfl+yaCo>{Q&u0oIJjcY#FWy5tp#@1 zVsI#fEww+zzI`}sr_pwel_SgD0w&Mo0lwNt{a4>8eRL*Gg)EiY5l+DOgTPlO$&X<0 z02YXqtjPs>2U89wg{jt||H$i(gtdf4MM0+~ql;bK&A0Ih(Ebs1(5e*zq_ti(8={6* zNg2eVyJz@&a^n)iQjkO2D@TzL{6}$rF4AuBIsEqLHkU>Ph;HWmX}((Q;El+OZz!3D zAt^B211~UB+Dbvx=73+ov&7 zCe3)CwbA&1ZxDbWAY87wr&bLD%h)rgz$$BlzaeibrD(BaY zrDr2;D31&+V2)i-YB&B2pfv>s?M zvGtlCM-N!xvHfsNOne>h=I5V=HJ9_Y2i*w;S3PZ6{{7Y6IHv{LL!99yQ#PXzH$$Z& zWc4(vfU`3$52j?to91*NvCCeXy|~|7%*HW(AR!;v2qzy3 zp_>f3ccil5TDyOB``gTULUUv;l!F=Al~E!~miCDP4JZ7&0EZOKo%e>*j}vs|P#o4_ zYJ&+&8?MA*n$WOX{*BAKChw~mzf_YVqfMuAA;H99_v}Hi5vS~EYUgG%&zkek(IhsS z2r9F2GmdTT{022GQ;no2M#35rH%U>NIO!;ET);JM6JBBF6bI+EUw3P5my@6+N;$P0e<4m;WD4XBpM>H6t^#z!q7dUqst-P=aB~bj-|8Q>4b2fZoX?sQ!wY;zx+rF|eXCgN;NbR%bgXz1F$v%(lfK z6%!nwIhr|B-YR#h9f39-hKvRipuoYOe0bh+BgOeDC59lbmzeQdx<~5dSN#;00i){V zf6N=~f$MjcR=trFqE!I5m#bz+6D7B>au#vXX^}c_5R&x?vCqoX`ZM9j^IZvge6~OM zs~N}vBBBMkm0WA2j}%7rru^ynvGHX8?Xw&xh$K7H}BNJ*(TB}W^*$YS)mO6R_X++JkfAfqnw;43{SZ{Hs7@~|)rk&Sw z=+EBUTTXNy*m&qoLYhe0RuVpDNh}ebPb~_~$s@sP&Hj?@AVZtgT*j^rP?46iimxrv zBzn_fmsW{{=h-@EyT8S=`T;^^V4Oa;apY6VLYE}KGG^FS_T7yB=ODsi%#do;3NbPd zf}$m%qPx>}c68!Ky*#UYFF;|PFiKM}_w2K%#)kK5dn>h-Il_L19+m%TXr_oxOkK_? zP6mq#R*Ia@qydi(lSu?=?${bUGcl4xslTD?!6uX!pYBV6sLe@J?)f7vK05VJsHwex zpsK1pn1B%XsJ7a9A(#izji07O_+8u&JaYPh6laVay8`e2*Wq#?yt4a;m$1)TT;l;2 z?!-i&Z?UOfesh@=9B`F5iByo5SOJt4%%fd4LcnV<{XHSf zp|W1)E9f2rk>RknZnqBz=aYAV&Gvky+wACF0*DmwXJ+|kvv+K709TMfs@1^Gk1Hv3 z@V?};CVIfhzwWM7M)Yj#w6zcOgM?GQZ3LPGuP$SC-B?|M_AzptGEG=z7IH@IH`3m{ z@~jL^4y2?BIOQ6~khxEj3Wk-?gIq^kB%Trlom8=LXX4~P%JBurPXw1pWPNB7WG<)> zL_#BU=Y%CB)c@p&^#UB@tp4i1=kXU&yaTgO4tA zg-u@m^+cdYI{w*ZY}1!4|8HIHdpAG z7wE0eXNe2#jJrSD#s>qX9na-2TN{`KFFMaVr2+6q2>i6YW80j}TP>gxkr0U#9o>(! zr|K(<^>#vRC$HJ~t{=WWva+zSxY-{IekBRM(Jy??rIv7W<<+Oz&#*PoQ(nHDNlw8% zVkrrWMd0B@hbaFX;i~OV1z>T!&mtU4HR{9}vIdwV?tBnLj^6ntMIj2J%xXYpS)ZZu zRP6^^SgTT4BW~R6M%cyUBVhMB5j@zUYgpej(h@XKBZrNls{sHyl{06$Rc{%Wb_owL z4BXg3*JJFrfo3Ij4RcxK5HF{AU0_2m=iHK$0_&MQ=X)g=BtUVWyA$cJDJKU=IL#!8 zvHA?Y`g=P0*}2rqi=_19s`dQ$!SKRU{e|y+w59F-LkHYt_;F|=J3GNjv|XpCP9FCJ{AA%EN=fnH=@@3EUY4sKX&o6sD|F}KN-3-!J1N-G zL4l__R~q(LS~i<_)`Qpt7Zp~cV=lf{>lS?8+>w7B z)B{&hOG`P})ww)PKhoa)&>2w7`zpL9DiHB*Tt0YA`xGx^qD`X5Ely zglWJJBXF$(hFq0JL&Ul*6jVWzBU5zGe1QACwU8>IZ|*J7*k$%ZMa6YQ1cI_2PGve- z1U)^gV3%NeUfb7A#5h8@?d(h?^xe28W8VQUpsV}1UeBSfgHJm@m9+|bjINO)n3=T} zT54+KVdf|h+Y+|34C&HkP1iT{B1^EW!yDkG^D7|ftK=wPIUd|0*t0NlJYy;T*g(muy4BJAg6C-B~=un!zutBkPsS<)tMyVNkN zE;=}S)f@YIY`MWR&xw?&7{D3j5*rU>1`X=j8Q+_%ivjT+h+Wndsnx@_<(w4OPTS94 z4>W|gBEaAbtqL?iRTZ}Tu*B!TlZk{HzaL_#=_^?<-ngLkun5-mA(Cbo#DbQ;#AJw8 zy7%@V+<>YI_Cr!3#Tk~pdl`oP58*VQpeuk)Uq1^AUUFo23UazgQUy&?c`CvN)PlW` zw4eq#5#*nr=N<3)nQ`>lE2>YC+va~ZGy~TE8 zjM>nULo5jmLm}mZFA-j5PK-sbkyxjwJuPK+A#=&&V8oXSF1Jjnb`U~=&qTxSC2n0I{!M-5=a>s?As;4(lj3L=sa0(9&kPO zZY?-?i}7e$Lp@M;0PESp%cf^;!cUthm^ZXHaGB?oQBzzb|BhRbPEBRdrL$~4SX`zs zP3#Ck35N?LMAyDkoY+x42gZIw=W7~+ruX7!b>(jawK0fT^vrRS>a?RM5qnQhTUMoc zZqCE~(@LXO@5AxgYtj*IJxB-6ONnKO5x;XMdTcp2A%*))is5<<&0Spo7` zKdPNQ-qm^+Mu?p6{x%Z4dr1%T`d10D#D> zvdAbJHusFi1e5a?Gq_mEg9uDRd9Oh24O0&c{?i6`9^a>wzIiqkgrIrEcYT5~lxR<* zu+y@oX4p^kB5Qpv;o7+Sw3B1!q`v>eYEL@yqNPp*bi6#?C+>f-GXEeAR1%CUH4(Jp z;b_&N8=}*gWLo$NO?XEc=wi}B!|t6UO(dW4LnjoMN)!m}O;^QZO*I|7g2Fb}vFCQtm&QiJ?fTeMH?f)>yR*0Nz-qR6TNbsu%bY7THeEVP#<#8B#mhs(5!P0m&CMmCx8{xxVm~|T12b+s zAas%PLw)4}gM25c(K?ADH7OJ`2YYRWu^2z4#=x^GsR9mD)W{D6ow8+m21 zsT7LQGMn7o-1=1+;EcCh+-2qE7aa#3>g9wua#mP~4jSy>{R0y9#SV!RBT{_)QkLU; zzb&^F|z;quLRIeFx z7;!f6@Vos_krb93FMoQV1Ck3JfQIa5hgwRK6bt3KW49Ew_ZbzZ-<{%bD0U487pD8_ z$wMiRDuL)7tX()3j~5Nmmi(QKgG2qy84fDaAJrU@+_9hY3&0hp5{6b7668`u9agDg* zm(mqFhBr#rG@?_=vG6dkq1lr=%Q}|%YURo7hH+8Yety!L&qn*jYeJQ3u>;6P!=HUj zl%JVaSmBIX+03QkCu1mm!28FZ%L$x)0Gv zU;NB>efzk+9xKL*TN)u*ehxp>x5U8ISt#EP`KRcDnJtJ6X~>NoOttpB1IcX?{z__@ z9RSh>TkRPKNpiDpjNJ9~;daA()T~UJkOJqt}`kWs`p)#26Yq)tD*nONO*0)A3m- zX)|~HoFP}hX9eTaB$f(eB*gIIiOCQ-sj!#{Q+&@A*ZuY*a~NW(_$2VXx``a9Gq}I_ zQSxO*|7Fjs#_tWHx|%8$ikvNzoX}bTJHRPBZ}FG=$dX-w91tGki9$E;0A1*~+Djht zn6g$)J6r8MS* zZ5-WfJpF62%NLLkSVEVZyCAGPIPz}2f$x|{RaKvsYucT;j#w2)dBSlDO$s%N2C~ zmb+4KuT7E5LiPUL7|dIu*4&m6jD zz>Q25--}#AecHAYb~9mOVggwp&r#j9R|9UeU-?I>_7`qw1QDml#@P(+WiKHCuwyf5 zWRyS0-m;NzX~GFLfQ+Ec8JShK3+xYNCtO&F=Y@EaCoddr3t(`xS0F)>`?gLgR&!r{^|$=Z6C*k?0i%Hds$ z<&R>}8Y0A&ys@Fm=EoF-6vhlJhRLl@!jmsqMVS>W1?IexS~0_R>Gk;#&ITF}^Ze}$ z6;PE)sjiAoXbmdMD>Y|WYEl;|yd^HX2`q%flpHGJ&7{hqkf&yt<_ z1Y#MaH@wY0LpqV3Pma1+f>fv9=13b7gS@i^nD&F#Ot}-Sz@I5{SG?D04bs&9_Gjby zI0{C>H+nRcm0k(G4#n>^D^EWza_?k}R+9^^BtDOPEsvek>L}8xh|mhGO+ENTu~2NN zh*;^Uu*0mBp{S_7P|_$x@A2*ZjufJJV5On)pSsZx>bm@*>Z$q?yq!|{WlVjSe;(}$ zU^x>3{WopBKY6fva$P$fu{)oZxlM06M