From fd4794a9e70984fcdeb817f9823afc3ce92e7e9b Mon Sep 17 00:00:00 2001 From: UzixLS Date: Sat, 19 Feb 2022 20:02:42 +0300 Subject: [PATCH] add pcb rev.B --- Makefile | 3 +- README.md | 9 +- fpga/syn/rev_B.qsf | 191 + fpga/syn/zx_ula.qpf | 6 +- pcb/rev.B/sizif-xxs.kicad_pcb | 29969 ++++++++++++++++++++++++++++++++ pcb/rev.B/sizif-xxs.kicad_pro | 473 + pcb/rev.B/sizif-xxs.kicad_sch | 9545 ++++++++++ 7 files changed, 40190 insertions(+), 6 deletions(-) create mode 100644 fpga/syn/rev_B.qsf create mode 100644 pcb/rev.B/sizif-xxs.kicad_pcb create mode 100644 pcb/rev.B/sizif-xxs.kicad_pro create mode 100644 pcb/rev.B/sizif-xxs.kicad_sch diff --git a/Makefile b/Makefile index dd7e7bc..18ca85b 100644 --- a/Makefile +++ b/Makefile @@ -1,11 +1,12 @@ OUTDIR=out_new -REV=A +REV=B .PHONY: all build_rev clean pipeline pipeline_sof all: mkdir -p ${OUTDIR}/ ${MAKE} REV=A build_rev + ${MAKE} REV=B build_rev build_rev: ${MAKE} REV=${REV} -C rom_src/ clean all diff --git a/README.md b/README.md index 066608b..8a5b3e9 100644 --- a/README.md +++ b/README.md @@ -47,5 +47,10 @@ Sizif have preinstalled esxDOS firmware, which provides ability to load TAP, TRD ### Changelog & current status * Rev.A - first release. Please note the [errata](pcb/rev.A/ERRATA.txt). - -**Work in progress!** +* Rev.B: + * fixed all rev.A issues + * fixed "jailbars" on video output + * 0805 footprints replaced with 0603 + * tape input moved slightly away to not to interfere with wide AV jack + * 3.5 jacks replaced with THT variant for better reliability + * changed ps/2 header orientation diff --git a/fpga/syn/rev_B.qsf b/fpga/syn/rev_B.qsf new file mode 100644 index 0000000..c389655 --- /dev/null +++ b/fpga/syn/rev_B.qsf @@ -0,0 +1,191 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 08:15:12 April 28, 2019 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# rev_B_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY Cyclone +set_global_assignment -name DEVICE EP1C3T100C8 +set_global_assignment -name TOP_LEVEL_ENTITY zx_ula +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:57:09 NOVEMBER 08, 2021" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name MAX7000_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 +set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE AREA +set_global_assignment -name FMAX_REQUIREMENT "14.4 MHz" +set_global_assignment -name FMAX_REQUIREMENT "14.4 MHz" -section_id clk14 +set_global_assignment -name FMAX_REQUIREMENT "7.156 MHz" -section_id clkcpu +set_instance_assignment -name CLOCK_SETTINGS clkcpu -to clkcpu +set_global_assignment -name AUTO_LCELL_INSERTION OFF +set_global_assignment -name FMAX_REQUIREMENT "32 MHz" -section_id clk32 +set_global_assignment -name FMAX_REQUIREMENT "8 MHz" -section_id clk8 +set_global_assignment -name FMAX_REQUIREMENT "4 MHz" -section_id clk4 +set_instance_assignment -name CLOCK_SETTINGS clk8 -to "lpm_counter:wgcnt_rtl_1|dffs[1]" +set_instance_assignment -name CLOCK_SETTINGS clk4 -to "lpm_counter:wgcnt_rtl_1|dffs[2]" + +set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF +set_global_assignment -name FITTER_EFFORT "STANDARD FIT" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output/ +set_global_assignment -name FMAX_REQUIREMENT "7.156 MHz" -section_id clk7 +set_global_assignment -name DUTY_CYCLE 40 -section_id clk7 + +set_global_assignment -name SAVE_DISK_SPACE OFF +set_global_assignment -name SMART_RECOMPILE ON +set_global_assignment -name POWER_USE_PVA OFF +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF + +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_rd +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_wr +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_cd +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_m1 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_mreq +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_rfsh +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_rstcpu +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_nmi +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS4 +set_location_assignment PIN_1 -to va[10] +set_location_assignment PIN_2 -to va[5] +set_location_assignment PIN_3 -to vd[4] +set_location_assignment PIN_4 -to va[12] +set_location_assignment PIN_5 -to va[11] +set_location_assignment PIN_20 -to ps2_clk +set_location_assignment PIN_21 -to ps2_dat +set_location_assignment PIN_22 -to reserv[0] +set_location_assignment PIN_23 -to reserv[1] +set_location_assignment PIN_24 -to snd_l +set_location_assignment PIN_25 -to snd_r +set_location_assignment PIN_26 -to composite[7] +set_location_assignment PIN_27 -to composite[6] +set_location_assignment PIN_28 -to composite[5] +set_location_assignment PIN_29 -to composite[4] +set_location_assignment PIN_34 -to composite[3] +set_location_assignment PIN_35 -to composite[2] +set_location_assignment PIN_36 -to composite[1] +set_location_assignment PIN_37 -to composite[0] +set_location_assignment PIN_38 -to sd_cd +set_location_assignment PIN_39 -to sd_cs +set_location_assignment PIN_40 -to sd_miso_tape_in +set_location_assignment PIN_41 -to sd_sck +set_location_assignment PIN_42 -to sd_mosi_tape_out +set_location_assignment PIN_47 -to vd[2] +set_location_assignment PIN_48 -to vd[0] +set_location_assignment PIN_49 -to vd[7] +set_location_assignment PIN_50 -to vd[1] +set_location_assignment PIN_51 -to vd[6] +set_location_assignment PIN_52 -to n_mreq +set_location_assignment PIN_54 -to n_int +set_location_assignment PIN_55 -to n_nmi +set_location_assignment PIN_56 -to va[14] +set_location_assignment PIN_57 -to n_vrd +set_location_assignment PIN_65 -to va[16] +set_location_assignment PIN_68 -to va[18] +set_location_assignment PIN_69 -to va[15] +set_location_assignment PIN_70 -to va[13] +set_location_assignment PIN_71 -to n_vwr +set_location_assignment PIN_72 -to va[17] +set_location_assignment PIN_73 -to clkcpu +set_location_assignment PIN_74 -to n_wr +set_location_assignment PIN_75 -to n_rd +set_location_assignment PIN_76 -to a[14] +set_location_assignment PIN_77 -to a[15] +set_location_assignment PIN_78 -to a[13] +set_location_assignment PIN_79 -to vd[5] +set_location_assignment PIN_84 -to n_rstcpu +set_location_assignment PIN_85 -to n_m1 +set_location_assignment PIN_86 -to n_rfsh +set_location_assignment PIN_87 -to vd[3] +set_location_assignment PIN_88 -to va[0] +set_location_assignment PIN_89 -to va[1] +set_location_assignment PIN_90 -to va[2] +set_location_assignment PIN_91 -to va[3] +set_location_assignment PIN_92 -to va[4] +set_location_assignment PIN_97 -to va[6] +set_location_assignment PIN_98 -to va[7] +set_location_assignment PIN_99 -to va[8] +set_location_assignment PIN_100 -to va[9] +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP" +set_location_assignment PIN_53 -to n_iorq +set_global_assignment -name ENABLE_SIGNALTAP OFF +set_global_assignment -name USE_SIGNALTAP_FILE output/stp1.stp +set_global_assignment -name RTLV_GROUP_RELATED_NODES OFF +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_miso_tape_in +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to n_iorq +set_location_assignment PIN_10 -to clk_in +set_global_assignment -name SLD_FILE "/home/uzix/zx-sizif-xxs/fpga/rtl/stp2_auto_stripped.stp" +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to vd[7] +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ym2149.sv +set_global_assignment -name VERILOG_FILE ../rtl/vencode_sin_cos.v +set_global_assignment -name VHDL_FILE ../rtl/vencode.vhd +set_global_assignment -name VERILOG_INCLUDE_FILE ../rtl/ps2_codes.vh +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/mixer.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/soundrive.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/screen.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ports.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/magic.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/divmmc.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/cpucontrol.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/memcontrol.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/turbosound.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ulaplus.sv +set_global_assignment -name VERILOG_FILE ../rtl/ps2.v +set_global_assignment -name VERILOG_FILE ../rtl/ps2_rxtx.v +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/common.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/top.sv +set_global_assignment -name SDC_FILE clocks.sdc +set_global_assignment -name CDF_FILE output/zx_ula.cdf +set_global_assignment -name QIP_FILE ip/pll.qip +set_global_assignment -name QIP_FILE ip/rom2ram.qip +set_global_assignment -name QIP_FILE ip/asmi.qip +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name VERILOG_MACRO "REV_B=" \ No newline at end of file diff --git a/fpga/syn/zx_ula.qpf b/fpga/syn/zx_ula.qpf index 2338303..1702eb3 100755 --- a/fpga/syn/zx_ula.qpf +++ b/fpga/syn/zx_ula.qpf @@ -18,14 +18,14 @@ # # Quartus II 32-bit # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Full Version -# Date created = 20:26:38 November 08, 2021 +# Date created = 14:48:32 February 06, 2022 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "13.0" -DATE = "20:26:38 November 08, 2021" +DATE = "14:48:32 February 06, 2022" # Revisions -PROJECT_REVISION = "rev_A" PROJECT_REVISION = "rev_B" +PROJECT_REVISION = "rev_A" diff --git a/pcb/rev.B/sizif-xxs.kicad_pcb b/pcb/rev.B/sizif-xxs.kicad_pcb new file mode 100644 index 0000000..6cde004 --- /dev/null +++ b/pcb/rev.B/sizif-xxs.kicad_pcb @@ -0,0 +1,29969 @@ +(kicad_pcb (version 20210424) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A4") + (title_block + (title "ZX Sizif-XXS") + (date "2021-07-01") + (rev "B") + ) + + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (color "Green") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (color "Green") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0.2) + (pcbplotparams + (layerselection 0x00010f0_ffffffff) + (disableapertmacros true) + (usegerberextensions false) + (usegerberattributes false) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (svguseinch false) + (svgprecision 6) + (excludeedgelayer true) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "out/gerber/") + ) + ) + + (net 0 "") + (net 1 "GND") + (net 2 "+5V") + (net 3 "+3V3") + (net 4 "/SND_L") + (net 5 "/A15") + (net 6 "/A13") + (net 7 "/D7") + (net 8 "/D0") + (net 9 "/D1") + (net 10 "/D2") + (net 11 "/D6") + (net 12 "/D5") + (net 13 "/D3") + (net 14 "/D4") + (net 15 "/~INT") + (net 16 "/~MREQ") + (net 17 "/~IORQ") + (net 18 "/~RD") + (net 19 "/A14") + (net 20 "/A12") + (net 21 "/A0") + (net 22 "/A1") + (net 23 "/A2") + (net 24 "/A3") + (net 25 "/~WR") + (net 26 "/~M1") + (net 27 "/~RFSH") + (net 28 "/A8") + (net 29 "/A10") + (net 30 "/~RSTCPU") + (net 31 "/A7") + (net 32 "/A6") + (net 33 "/A5") + (net 34 "/A4") + (net 35 "/A9") + (net 36 "/A11") + (net 37 "/CLKCPU") + (net 38 "/~NMI") + (net 39 "/SND_R") + (net 40 "Net-(D1-Pad1)") + (net 41 "Net-(J1-Pad3)") + (net 42 "/SD_SCK") + (net 43 "/SND_DAC_L") + (net 44 "/SND_DAC_R") + (net 45 "/VA17") + (net 46 "/VD3") + (net 47 "/VA16") + (net 48 "/VD4") + (net 49 "/VA14") + (net 50 "/VD5") + (net 51 "/VA12") + (net 52 "/VD6") + (net 53 "/VA7") + (net 54 "/VD7") + (net 55 "/VA6") + (net 56 "/VA5") + (net 57 "/VA10") + (net 58 "/VA4") + (net 59 "/~VRD") + (net 60 "/VA3") + (net 61 "/VA11") + (net 62 "/VA2") + (net 63 "/VA9") + (net 64 "/VA1") + (net 65 "/VA8") + (net 66 "/VA0") + (net 67 "/VA13") + (net 68 "/VD0") + (net 69 "/~VWR") + (net 70 "/VD1") + (net 71 "/VA18") + (net 72 "/VD2") + (net 73 "/VA15") + (net 74 "/TCK") + (net 75 "/TDO") + (net 76 "/TMS") + (net 77 "/TDI") + (net 78 "/TAPE_IN") + (net 79 "/PS2_CLK") + (net 80 "/PS2_DAT") + (net 81 "+1V5") + (net 82 "/CLK") + (net 83 "/Video") + (net 84 "Net-(R10-Pad2)") + (net 85 "/~EPCS_CS") + (net 86 "/EPCS_DATA") + (net 87 "/EPCS_ASD") + (net 88 "/EPCS_DCLK") + (net 89 "/V5") + (net 90 "Net-(R11-Pad2)") + (net 91 "/V4") + (net 92 "/V3") + (net 93 "Net-(R15-Pad2)") + (net 94 "/V2") + (net 95 "/V6") + (net 96 "/V1") + (net 97 "/V0") + (net 98 "/SD_MISO\\TAPE") + (net 99 "Net-(C20-Pad1)") + (net 100 "Net-(C21-Pad1)") + (net 101 "Net-(J7-Pad1)") + (net 102 "Net-(J7-Pad8)") + (net 103 "Net-(Q4-Pad3)") + (net 104 "Net-(Q4-Pad1)") + (net 105 "Net-(R2-Pad2)") + (net 106 "Net-(R3-Pad2)") + (net 107 "/V7") + (net 108 "Net-(R12-Pad2)") + (net 109 "Net-(R17-Pad2)") + (net 110 "1V5_PLL") + (net 111 "Net-(C21-Pad2)") + (net 112 "Net-(C22-Pad1)") + (net 113 "Net-(C26-Pad2)") + (net 114 "/TAPE_OUT") + (net 115 "/~SD_CS") + (net 116 "/SD_MOSI\\TAPE") + (net 117 "/~SD_CD") + (net 118 "Net-(J5-Pad2)") + (net 119 "Net-(J5-Pad3)") + (net 120 "Net-(R20-Pad1)") + (net 121 "Net-(R21-Pad1)") + (net 122 "Net-(TP1-Pad1)") + (net 123 "Net-(TP2-Pad1)") + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005e715c8f) + (at 167.55 103.45 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e61af0c") + (attr smd) + (fp_text reference "C15" (at -3.196573 0.557918 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 117159a0-4ef5-4d0c-bce7-097c059af4f9) + ) + (fp_text value "1u" (at -3.15 0.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 99e14a80-ec99-4bb2-85fd-8215d5ce8fca) + ) + (fp_text user "${VALUE}" (at -2.777525 -0.542082 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5261f29b-e805-464a-bc3c-1cb82c3c6895) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2038f9e9-85ad-4a41-83bb-21c0976e1dd5) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 13f99d15-ffe6-4815-8b4f-d6c95a7722ae)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 59360378-e265-4441-a43f-240b96ac1fac)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 08e6c3a2-a502-432d-bdee-779d8611d3a7)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 54cb02e1-afa4-43d7-9465-7b24205d2b5c)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b77c6a10-aac0-435d-8ed1-8f85509d6335)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c9632b77-1ee1-4bdf-b5b6-5ba8a46c57ba)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 15edd08c-5286-47ec-b922-b1ad008ae71c)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4b0aab38-c54d-44b4-902a-2bdc710d9808)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8cc0ecc0-9d53-4129-817b-5e7de04bff06)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e0d41f12-944e-4f04-9c85-2c9a1d5b108c)) + (pad "1" smd roundrect locked (at -0.8625 0 180) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 8a42729e-d7d1-4402-9551-8d4dbc1770dd)) + (pad "2" smd roundrect locked (at 0.8625 0 180) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "+1V5") (pintype "passive") (tstamp 1184508a-fe04-421e-90d9-38d5956b3012)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005eb2bc97) + (at 112.5 93.8 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b6c9dcd") + (attr smd) + (fp_text reference "R4" (at 0 -1.43 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 87c3c32c-7289-4f5a-901b-4da8bdd9ed49) + ) + (fp_text value "1k" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp aabaa030-6c48-4d5d-8500-5c1eb92cd0d5) + ) + (fp_text user "${VALUE}" (at 0 -2.592082 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 394da6e9-975a-44fe-8464-bcaa5334e80f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 58892829-e0fe-4a79-bf31-85725a495ed6) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 2f9fe7a4-9085-4872-b2ae-1f86a81f71db)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 63caa787-c2b3-431a-a9e3-ff46c575c9f1)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0d01eab2-96e0-41e0-acf5-cd26bc6a4e8c)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8ffcdb03-472a-498a-aab5-4556277f4678)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b2af373f-86ab-4148-986d-be63f4e50b07)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f10adb02-e72d-4095-87b4-ce695ba93978)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 35d96aab-29ae-4863-8f41-4a67cfe59f45)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5a921101-8d8c-41c8-9d46-e2375a60738d)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 8a2360ed-a1dd-4470-8b43-63e190d4533d)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp d63c8308-83e8-48e2-ab70-0582a6e6ca04)) + (pad "1" smd roundrect locked (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 40 "Net-(D1-Pad1)") (pintype "passive") (tstamp a56a98b1-7ed0-4411-84b8-f3ffe6b24eac)) + (pad "2" smd roundrect locked (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 318cf9dd-76bf-4134-bd72-824c64929c5f)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005f87bee4) + (at 162.95 105 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006292270f") + (attr smd) + (fp_text reference "C5" (at -3.096573 -0.092082) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 221a6a84-f2f3-437a-ab67-8e97d895e0b4) + ) + (fp_text value "100n" (at -3.55 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4e83d20a-0595-4f57-a6e5-110dbb0a1207) + ) + (fp_text user "${VALUE}" (at -5.75 -0.092082 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 36eb12e3-8e33-4482-85a3-7dfa32a00e89) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 87ee5ea3-57b2-406e-b9e1-965ad04e3a81) + ) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer "F.SilkS") (width 0.12) (tstamp 100d00e2-5e69-4244-8dda-0dcf07bda15b)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer "F.SilkS") (width 0.12) (tstamp 74096013-87dd-4c5a-98b0-bb7fe744e612)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp 23e96098-febc-48ab-a9b1-c3a24e009429)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp 2edc7a81-5348-40a9-b626-21c7490522e8)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp 8b14a88d-5e61-4848-905b-867f7e17b784)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp 8c5997ec-5699-4c9d-a2e6-4651d8004b68)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.1) (tstamp ad4aebd3-1f56-4b0e-b5be-945ef1f22a2a)) + (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.1) (tstamp d1b58779-6a31-4728-a07b-cc073a478a13)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.1) (tstamp e8f5ee76-9069-421b-929c-057f5cfd7093)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.1) (tstamp feebe481-5a64-4057-a6a5-4d3298593676)) + (pad "1" smd roundrect locked (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 1 "GND") (pintype "passive") (tstamp 1feb5341-4a58-41fe-a1ee-dca051fafbd7)) + (pad "2" smd roundrect locked (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 3 "+3V3") (pintype "passive") (tstamp b816b0ea-c82c-4f07-aee1-3f8268abc49d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_USB:USB_Micro-B_Molex-105017-0001" (layer "F.Cu") + (tedit 5A1DC0BE) (tstamp 00000000-0000-0000-0000-00005fc18905) + (at 105 90 180) + (descr "http://www.molex.com/pdm_docs/sd/1050170001_sd.pdf") + (tags "Micro-USB SMD Typ-B") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006176ef62") + (attr smd) + (fp_text reference "J2" (at 4.783427 0.007918 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 44689bf3-c68d-4a7e-9853-c1ce8769d017) + ) + (fp_text value "USB_B_Micro" (at 0.3 4.3375) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b749e0e2-38a5-4074-90af-971229c9f7d6) + ) + (fp_text user "PCB Edge" (at 0 2.6875) (layer "Dwgs.User") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp e4bb566f-4624-4f5f-a272-f753f16c7de5) + ) + (fp_text user "${REFERENCE}" (at 0 0.8875) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4ed53104-f63f-4288-ac8e-505c264e1178) + ) + (fp_line (start -1.7 -2.3125) (end -1.7 -1.8625) (layer "F.SilkS") (width 0.12) (tstamp 1164483e-7b2b-4627-9925-6d300dcc6e68)) + (fp_line (start 3.9 2.6375) (end 3.9 2.3875) (layer "F.SilkS") (width 0.12) (tstamp 13210633-7de9-4d0f-a7a4-4c721135bbe8)) + (fp_line (start -1.7 -2.3125) (end -1.25 -2.3125) (layer "F.SilkS") (width 0.12) (tstamp 47083866-30a9-4120-a1c2-8093054e6750)) + (fp_line (start 3.9 -1.7625) (end 3.45 -1.7625) (layer "F.SilkS") (width 0.12) (tstamp 5a8d308f-5972-4815-8150-10201451ad0f)) + (fp_line (start -3.9 -1.7625) (end -3.45 -1.7625) (layer "F.SilkS") (width 0.12) (tstamp 9f2981fe-aea6-4044-ab12-639c5e010428)) + (fp_line (start 3.9 0.0875) (end 3.9 -1.7625) (layer "F.SilkS") (width 0.12) (tstamp bb8f9f24-7a94-4c85-8263-c7da5c59c254)) + (fp_line (start -3.9 2.6375) (end -3.9 2.3875) (layer "F.SilkS") (width 0.12) (tstamp ecc8589c-f60c-4de0-a0a6-832a4ffb41b4)) + (fp_line (start -3.9 0.0875) (end -3.9 -1.7625) (layer "F.SilkS") (width 0.12) (tstamp f404f635-1e2d-45b3-88ef-a3043c58dbdf)) + (fp_line (start -4.4 3.64) (end -4.4 -2.46) (layer "F.CrtYd") (width 0.05) (tstamp 033f7721-04c1-4c64-b9e1-a4f2c61d4c6d)) + (fp_line (start -4.4 3.64) (end 4.4 3.64) (layer "F.CrtYd") (width 0.05) (tstamp 0b63514c-975d-4830-8f4f-b43bac13ad4f)) + (fp_line (start -4.4 -2.46) (end 4.4 -2.46) (layer "F.CrtYd") (width 0.05) (tstamp 2cd40909-0f63-4673-86bf-fe970d8af75e)) + (fp_line (start 4.4 -2.46) (end 4.4 3.64) (layer "F.CrtYd") (width 0.05) (tstamp c6cf9098-70d8-4e59-933a-f9eaa8dc1202)) + (fp_line (start -3.75 3.3875) (end -3.75 -1.6125) (layer "F.Fab") (width 0.1) (tstamp 30af5429-123a-4b43-a93e-37c6cae11b27)) + (fp_line (start -1.3 -1.7125) (end -1.5 -1.9125) (layer "F.Fab") (width 0.1) (tstamp 3517114f-f36d-44a0-8a59-0aa8f320fefb)) + (fp_line (start -3.75 -1.6125) (end 3.75 -1.6125) (layer "F.Fab") (width 0.1) (tstamp 70e7b306-3ca7-4a60-8056-c61725bd622f)) + (fp_line (start 3.75 3.3875) (end 3.75 -1.6125) (layer "F.Fab") (width 0.1) (tstamp 71e1d1cb-af4b-450a-b49c-328a615ac029)) + (fp_line (start -1.1 -1.9125) (end -1.3 -1.7125) (layer "F.Fab") (width 0.1) (tstamp 8de4ddcc-d5bd-40fb-92d0-f755c887705c)) + (fp_line (start -1.5 -2.1225) (end -1.1 -2.1225) (layer "F.Fab") (width 0.1) (tstamp b6f0956a-9e96-4935-8596-b9d37bc894f8)) + (fp_line (start -1.5 -2.1225) (end -1.5 -1.9125) (layer "F.Fab") (width 0.1) (tstamp b9c27af5-e634-4efc-aee9-ea9b60b5a7c1)) + (fp_line (start -1.1 -2.1225) (end -1.1 -1.9125) (layer "F.Fab") (width 0.1) (tstamp c4762d32-089a-46dd-a518-da4cfcf2d417)) + (fp_line (start -3.75 3.389204) (end 3.75 3.389204) (layer "F.Fab") (width 0.1) (tstamp ef91a375-d974-4e12-ad55-86a1f2c888b7)) + (fp_line (start -3 2.689204) (end 3 2.689204) (layer "F.Fab") (width 0.1) (tstamp f671ccd6-c800-478b-a9ea-ec263b441372)) + (pad "1" smd rect locked (at -1.3 -1.4625 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 41 "Net-(J1-Pad3)") (pinfunction "VBUS") (pintype "power_out") (tstamp e8f9e8f4-6ad9-4698-aa3f-f4b8b387b469)) + (pad "2" smd rect locked (at -0.65 -1.4625 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "D-") (pintype "passive+no_connect") (tstamp ad494ae5-400c-4e2f-b7a0-4ce228d682fb)) + (pad "3" smd rect locked (at 0 -1.4625 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "D+") (pintype "passive+no_connect") (tstamp 4af62513-73c8-4e88-ad51-e425ae69b0f2)) + (pad "4" smd rect locked (at 0.65 -1.4625 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "ID") (pintype "passive+no_connect") (tstamp da6f0831-60d9-481a-aafd-ed389c900fc4)) + (pad "5" smd rect locked (at 1.3 -1.4625 180) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 110ff546-c34f-443a-9ba7-97a10d5d577e)) + (pad "6" thru_hole circle locked (at 2.5 -1.4625 180) (size 1.45 1.45) (drill 0.85) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 1990a8a3-9836-494e-a969-a9cf4757b1a9)) + (pad "6" smd rect locked (at -1 1.2375 180) (size 1.5 1.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 1c1d9560-6fbc-45c1-8480-9cfb29e303f0)) + (pad "6" thru_hole oval locked (at -3.5 1.2375) (size 1.2 1.9) (drill oval 0.6 1.3) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 382de861-3a4d-4b68-ac6e-f3b495c3ef24)) + (pad "6" smd rect locked (at 2.9 1.2375 180) (size 1.2 1.9) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 413cd271-7025-453f-bb43-af8f8996d14d)) + (pad "6" thru_hole oval locked (at 3.5 1.2375 180) (size 1.2 1.9) (drill oval 0.6 1.3) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 76aa9db7-90dd-4edb-9c24-5011c1785a49)) + (pad "6" smd rect locked (at -2.9 1.2375 180) (size 1.2 1.9) (layers "F.Cu" "F.Mask") + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp af581a16-c7c8-4801-ad90-956aae6ad696)) + (pad "6" smd rect locked (at 1 1.2375 180) (size 1.5 1.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp c3617626-4426-4b14-b57b-2aa42227ffe1)) + (pad "6" thru_hole circle locked (at -2.5 -1.4625 180) (size 1.45 1.45) (drill 0.85) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Shield") (pintype "passive") (tstamp ebbc2e99-f24c-4402-8d22-200ab88d6bbe)) + (model "${KISYS3DMOD}/Connector_USB.3dshapes/USB_Micro-B_Molex_47346-0001.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc286ed) + (at 167.55 94.4 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006169fcef") + (attr smd) + (fp_text reference "C6" (at -2.292082 -0.003427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp dcdf93f7-7482-43f8-8530-e5b54af079ef) + ) + (fp_text value "100n" (at -1.9 0.25 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 986a3448-4e0b-41e0-8f08-5d889e3a632e) + ) + (fp_text user "${VALUE}" (at -3.392082 0.096573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0c7ce561-ebcc-493f-87f4-88ab8a6bba66) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 93df556a-9bda-4366-a1a3-4b0ed089224e) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f43ffc87-df17-4911-868e-2f24cf68a3c5)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp f94dac0f-1208-4d74-a192-e0f46a467290)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3a06bdd4-d325-478d-86bd-d69b8be9b0ed)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4d8de6f5-5bdd-4b40-bd82-38ea23d26fab)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 807fa713-34bc-4408-9019-2ea10979825c)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f3cc4e0a-9deb-4c95-a6a0-764757af3eae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 0ddc90a7-bde5-4cdd-b0ba-63bbd270317b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 661768f4-6e9a-4f3e-9688-2447a7b37d2c)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7b18273d-d0ee-466c-a480-f8e523d8f572)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ca121062-055b-47df-b10c-54a0f50f6f42)) + (pad "1" smd roundrect locked (at -0.8625 0 90) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 8907070a-71d7-43c5-aac4-ad6417c7d65a)) + (pad "2" smd roundrect locked (at 0.8625 0 90) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 99d26292-bf43-4e68-bdd8-8ffe428ce4f1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc33549) + (at 153.05 108.9 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006171d459") + (attr smd) + (fp_text reference "C7" (at 2.392082 2.003427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp db9f2d2d-7a8e-43a8-ae32-139489ce50b6) + ) + (fp_text value "100n" (at 1.78 0 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d8e4ac8c-c58d-4b3a-b7e0-3940260b79d0) + ) + (fp_text user "${VALUE}" (at 2.392082 -0.396573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 019a6eab-1ff3-461d-b48e-6ce436df1f9f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0ac004a9-39c9-4cc7-97b3-12227080a614) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 05dab55d-6922-4b25-8ec8-c213d617fb6b)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp cd5c9abe-66ac-4ae6-8a66-d7769896ba9f)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2194c7fb-8cde-4931-8de0-6e5257d23451)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3559acc0-26c3-4032-b187-8d9d9adca6fb)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 491862c5-51ed-4217-9794-c847a7559824)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 54abe2f0-8f92-4226-b47e-62ddbc611645)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2f2bcf6c-40b4-4a6e-b171-e730365c1372)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 359f142d-823a-45bb-8844-cc1dbec521fe)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4250cf93-459a-44ab-87ff-3b4ff208e223)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c6605a75-86da-4aa9-b2d2-cb8a7e0c4d59)) + (pad "1" smd roundrect locked (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (tstamp a314f622-7900-4a5f-934f-9d077d22587f)) + (pad "2" smd roundrect locked (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp b4a967ef-1aca-4410-a247-0fd196198bbf)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc33579) + (at 169.35 101.1 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e51c918") + (attr smd) + (fp_text reference "C8" (at -2.572763 0.607918 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 50395a8c-90af-40ca-b108-6f1a04b8372a) + ) + (fp_text value "100n" (at -3.15 0.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 78c57198-20f1-4061-805b-84e8bae07c3a) + ) + (fp_text user "${VALUE}" (at -3.296573 -0.492082 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 904f2c74-7185-4785-bc4d-ac187b54c577) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 568fec4e-4869-4fdd-9c42-e3b692f363a9) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp aaa36394-1978-4c50-ae90-72b1a080bc5e)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b476e23f-3724-4f09-a7af-5528c443f241)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 071c89e3-2680-4931-8d25-9bdc934bec14)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2610e5a2-e886-4055-9949-a5ce2f161962)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b6013851-04a9-4360-83d9-3a781e2353b2)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cbafe843-c6fc-4b90-a39b-1e2d9e3650f6)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1b0eb436-1308-4339-abb9-e42b76222092)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 83121f47-a526-4b92-9b8a-59376ec33e34)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a56d5ed4-e732-425b-8847-649e839c053e)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp feb9ef2b-a361-455a-8b6e-d4706abb9c41)) + (pad "1" smd roundrect locked (at -0.8625 0 180) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 46e16c85-d769-4984-aee2-edc0dbe75a58)) + (pad "2" smd roundrect locked (at 0.8625 0 180) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp cb8bebe3-d51d-428e-8a06-e33b50f676d3)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc335a9) + (at 160.45 102.15) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e51c92a") + (attr smd) + (fp_text reference "C9" (at -2.479618 -0.557918 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp cfa4db77-966b-4c1f-a09e-fb1fe52b6160) + ) + (fp_text value "100n" (at 3.3 0.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 5ea88e87-9875-40b7-accf-f5ceca3fe7e8) + ) + (fp_text user "${VALUE}" (at -3.203427 0.542082 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 4fa42859-0335-4a62-aa4b-029651c68eed) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 5ea90b41-8025-4c40-8ef0-61c4ad9d832e) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 00c92a26-5c4c-4882-866d-af25954f2259)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 741a8c1c-4c5f-4f5a-be18-b2a929edff1e)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 070fbe56-4451-45e2-8e70-e71ead2ec1f5)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1048d4d7-018b-4dd4-b141-e0dafa03743e)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 346fac2e-e77b-4660-b71f-5af957ea7f8b)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6f9b2013-fc21-4d83-993f-3b03f04674ad)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8105ab33-510d-4ef0-b0ed-f60d80e250ae)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp a2b110e0-1a05-4fbc-bbc9-c747587c2fff)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d38e5499-44b6-467e-8588-dd5d272b0ed1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d9d266e7-9b89-4b52-bc14-8c76c7de48c8)) + (pad "1" smd roundrect locked (at -0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp e4b3ea48-4abb-439e-9323-9395a261bf48)) + (pad "2" smd roundrect locked (at 0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a28d6a55-4d64-41cc-94f0-eb206944f465)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_THT:LED_D4.0mm" (layer "F.Cu") + (tedit 587A3A7B) (tstamp 00000000-0000-0000-0000-00005fc47f51) + (at 112.39 88.92 -90) + (descr "LED, diameter 4.0mm, 2 pins, http://www.kingbright.com/attachments/file/psearch/000/00/00/L-43GD(Ver.12B).pdf") + (tags "LED diameter 4.0mm 2 pins") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005b653724") + (attr through_hole) + (fp_text reference "D1" (at -0.527918 -2.856573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 9395bc0c-0c83-4620-951c-71c571396149) + ) + (fp_text value "LED" (at 2.48 -0.01) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 6305fcc5-71dc-4403-9823-98c505b7db4f) + ) + (fp_text user "+" (at 1.88 1.39 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 07c2f024-269f-449f-83bb-ab665e65b242) + ) + (fp_text user "${REFERENCE}" (at 0.18 -0.01) (layer "F.Fab") + (effects (font (size 0.6 0.6) (thickness 0.1))) + (tstamp 204656e6-ff13-483c-9c73-b10e1757727f) + ) + (fp_line (start -0.79 1.08) (end -0.79 1.399) (layer "F.SilkS") (width 0.12) (tstamp 7b287561-e31f-4394-8c75-268a1f9e6b41)) + (fp_line (start -0.79 -1.399) (end -0.79 -1.08) (layer "F.SilkS") (width 0.12) (tstamp c43a9031-dc32-4767-ba67-1d70d126f483)) + (fp_arc (start 1.27 0) (end -0.79 -1.398749) (angle 120.1) (layer "F.SilkS") (width 0.12) (tstamp 50d596ba-f695-4b3d-abcc-76115daf324a)) + (fp_arc (start 1.27 0) (end -0.41333 1.08) (angle -114.6) (layer "F.SilkS") (width 0.12) (tstamp 5d3eb7e6-9e63-4933-bcf8-8b479c08613f)) + (fp_arc (start 1.27 0) (end -0.41333 -1.08) (angle 114.6) (layer "F.SilkS") (width 0.12) (tstamp 6e30669e-ecb6-40ba-9c62-22b5b2eb818a)) + (fp_arc (start 1.27 0) (end -0.79 1.398749) (angle -120.1) (layer "F.SilkS") (width 0.12) (tstamp b0a0e916-f674-4c14-8dd1-b7e24aa18e62)) + (fp_line (start -1.45 2.75) (end 4 2.75) (layer "F.CrtYd") (width 0.05) (tstamp 2feef69a-79d7-419c-9772-abcd414316cc)) + (fp_line (start -1.45 -2.75) (end -1.45 2.75) (layer "F.CrtYd") (width 0.05) (tstamp 6ea9e747-d6b3-4e45-b7e1-f3a827e744c4)) + (fp_line (start 4 -2.75) (end -1.45 -2.75) (layer "F.CrtYd") (width 0.05) (tstamp b6708e08-647f-4682-8624-4041ff5f6119)) + (fp_line (start 4 2.75) (end 4 -2.75) (layer "F.CrtYd") (width 0.05) (tstamp c747bf66-a3b7-4a28-90db-24d53b86bb75)) + (fp_line (start -0.73 -1.32665) (end -0.73 1.32665) (layer "F.Fab") (width 0.1) (tstamp a51d2630-8b8c-401f-9992-2c24aaec496b)) + (fp_arc (start 1.27 0) (end -0.73 -1.32665) (angle 292.9) (layer "F.Fab") (width 0.1) (tstamp 6c707379-5575-46ff-8bf8-37ef956ea621)) + (fp_circle (center 1.27 0) (end 3.27 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp da8c8a21-0dbf-4ab8-b690-0adadd3618b5)) + (pad "1" thru_hole rect locked (at 0 0 270) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 40 "Net-(D1-Pad1)") (pinfunction "K") (pintype "passive") (tstamp 479c1079-572f-4657-a579-a7a576f80a4b)) + (pad "2" thru_hole circle locked (at 2.54 0 270) (size 1.8 1.8) (drill 0.9) (layers *.Cu *.Mask) + (net 2 "+5V") (pinfunction "A") (pintype "passive") (tstamp 22bd5bd7-cc46-4dcd-b25a-9613c3845052)) + (model "${KISYS3DMOD}/LED_THT.3dshapes/LED_D4.0mm.wrl" + (offset (xyz 0 0 -1)) + (scale (xyz 1 1 0.6)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (layer "F.Cu") + (tedit 59FED5CC) (tstamp 00000000-0000-0000-0000-00005fc4800d) + (at 102.546573 95.492082 90) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000067c72e24") + (attr through_hole) + (fp_text reference "J1" (at 0 -2.33 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 350e71fd-02a6-474f-ac07-880b071feadd) + ) + (fp_text value "Pin header 1x3 Pitch=2.54mm" (at -1.907918 2.453427 180) (layer "F.Fab") + (effects (font (size 1 0.8) (thickness 0.15))) + (tstamp 9df038d1-b0b1-4756-839e-80c9938ea8bf) + ) + (fp_text user "GND" (at 2 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 9ddc023c-71c2-4f7d-b20b-38fc3cce43ce) + ) + (fp_text user "+5" (at 2 2.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp a749bb21-d35b-4a91-8794-cb21592ae409) + ) + (fp_text user "Vusb" (at 2 5.3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp d6ae1a01-837d-4cd7-b8eb-84c8658c134c) + ) + (fp_text user "${REFERENCE}" (at 0 2.54) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 95a17778-d28a-4036-8fa1-7b834db0a385) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 2af4e71e-cfdb-4f10-8c6d-60dd9af8930f)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12) (tstamp 46d4d673-fb2b-4831-9994-3b2b1dc64001)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 4d51d928-dd02-44ca-ad32-b14ff78efd1c)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 7c8b8320-4a0d-4dda-a3b4-a6160faceef1)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer "F.SilkS") (width 0.12) (tstamp 8a360a8b-966a-40f7-ad60-198548f887b6)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp dd23d46e-179d-4585-ab6e-fe741809999a)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer "F.CrtYd") (width 0.05) (tstamp 1bf22bf9-17f9-458c-85a5-167d85a52def)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer "F.CrtYd") (width 0.05) (tstamp 1e2c1657-4cd5-4fb9-a1e7-2e15859abd2b)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 535b2238-170f-4dbf-8148-a8a7e3b581ee)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 70ca9c03-0673-4dd2-9325-c279f41f3873)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer "F.Fab") (width 0.1) (tstamp 0e60530d-798d-4085-bc17-75dbd9d4e358)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1) (tstamp 44a6c0f0-5b83-4a70-ad2d-94f2e3d5667f)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer "F.Fab") (width 0.1) (tstamp 604a1092-879c-4ec4-a666-756a253967c0)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer "F.Fab") (width 0.1) (tstamp bc70ca4e-c94f-4770-b09f-d90670691da9)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1) (tstamp e55bfe84-d7a1-4e02-8903-86bfebd5ee79)) + (pad "1" thru_hole rect locked (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_1") (pintype "passive") (tstamp b89c96b1-a767-4c1d-9ae7-45dc1b03e64b)) + (pad "2" thru_hole oval locked (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "+5V") (pinfunction "Pin_2") (pintype "passive") (tstamp 29e5ae10-1b6a-4a5c-8ddb-4ed5ece36cf0)) + (pad "3" thru_hole oval locked (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 41 "Net-(J1-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp 271fc89f-e409-458b-a195-c289fa0c0775)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fc48c45) + (at 167.36 89.745 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000070ed11c4") + (attr smd) + (fp_text reference "R32" (at 3.647082 -2.386573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 3440232c-8a83-452f-ba3e-9dd027de63c0) + ) + (fp_text value "10k" (at 2.26 -0.09 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d5139eea-f6f5-471f-97b7-d8e1436bca1b) + ) + (fp_text user "${VALUE}" (at 4.847082 -2.386573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 87a16cca-6157-4c91-88fe-b22956d88af4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp aff6a523-51cc-4cf7-9efc-6fe7f84662aa) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 5f9077af-9f0c-4da8-9379-53a1f83cf448)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp b8c97770-0c50-4a14-875e-6f7cb614d685)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 220d2e0d-154c-40be-8363-be4adfad0095)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 508f448f-f4c7-4f79-8873-569a06dad55b)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 99972d9c-a0ba-4d3c-9c4e-0dd2594e46ba)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ad474bf4-047f-49f2-8df3-c57405d724cd)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 66d63d73-40f8-4243-ad42-430c90db2750)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 8a174bbe-3ed0-44d5-83ad-74ddf4cc5661)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp bda3a1ff-527e-4190-ad02-c99eae1d2717)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp dfc17a00-3f7a-4b61-ab6e-76fd9795c566)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp a3b15553-7856-4104-a0c5-fa6a4ab9f02d)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "Net-(J7-Pad8)") (pintype "passive") (tstamp 698129bb-eda7-426e-bfb2-391636cfb111)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc48ec4) + (at 141.25 93.45 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000689d456c") + (attr smd) + (fp_text reference "C23" (at 8.042082 0.803427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp c6e735cc-1371-4719-b8eb-59b9763d5262) + ) + (fp_text value "10n" (at 1.75 -0.35 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3352322b-2a0a-4ccc-9040-2998219844a1) + ) + (fp_text user "${VALUE}" (at 9.042082 0.803427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 77323db6-fef6-4c7a-97b6-b5d973addc47) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp b54af7df-0d95-45a5-8988-0153bb1ecc52) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8e7ec561-f812-4868-bc06-93e06db1972b)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f9371091-1574-47bf-8632-10e012994710)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4f642f34-c051-4d9a-ba1a-b24c3b84d05f)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 742998b9-ec25-4444-bdae-3b4dcdbe0fc0)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 830d0cc1-9512-4887-936d-58316491dd5d)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp bb2feb6a-ec95-442d-b7ca-03b9edd3cb02)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3f49ecaa-bfeb-499e-9917-79809fde9f6a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp cd1f5804-9e55-4f3b-bc5d-fc2c37b39455)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp ea8ae6f7-6737-4b25-847a-9812a0b14424)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp eed8fb83-e246-46b5-85ce-a2b41306259c)) + (pad "1" smd roundrect locked (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "Net-(C21-Pad1)") (pintype "passive") (tstamp 23764c63-2b70-432e-88f7-cb2a146901d1)) + (pad "2" smd roundrect locked (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp db55e87f-8c48-4931-b323-d303adbf398b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005fc48efb) + (at 147.625 96.092082 90) + (descr "SOT-23, Standard") + (tags "SOT-23") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000068496655") + (attr smd) + (fp_text reference "Q4" (at -2.9 1.521573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 3141a230-203d-4155-ac2d-7a5f38fc0701) + ) + (fp_text value "BC817" (at -1.707918 -0.425) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 58015b45-b6fd-4ad8-8ae5-6c76c343c8b8) + ) + (fp_text user "${VALUE}" (at -3.9 1.521573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 4fb54308-eab9-4e19-97dd-fc79f40bba72) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp cd08c895-524b-4bd3-855e-1472150a340f) + ) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp 0a3f7607-ddd8-4a3c-bd22-dd6d6584b220)) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp 51fc60b2-2191-4cfd-a8b9-85ad0b8946f4)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp 7641be7a-d86a-4591-a737-a792efc1345e)) + (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp daa373f8-2f26-47ca-b539-3a6d60b1e81e)) + (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 5bc96422-cdea-4b65-8cc6-82db596e1f7e)) + (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 93e741a9-6f4b-4c7f-a508-e2dfcd599441)) + (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp dcc2dc59-9296-4b4a-8e1b-59b9c43d5ade)) + (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp e8cb97cf-1d58-4864-85cb-c22854737006)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 4f139927-4927-4479-af23-2984c8f8d37b)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1) (tstamp 72f94f79-afca-463c-89d4-05c75196c0bf)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp ba516d5d-fa20-4efc-beb0-17aeca84d07e)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1) (tstamp db454652-1079-4120-be0a-ad69312909a3)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp ddbacebc-0a5f-405e-bce2-1e9790d1fb92)) + (pad "1" smd rect locked (at -1 -0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 104 "Net-(Q4-Pad1)") (pinfunction "B") (pintype "input") (tstamp 58fd74f9-66ea-4caa-bca0-bde02ec05331)) + (pad "2" smd rect locked (at -1 0.95 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "E") (pintype "passive") (tstamp 3803bd26-fc95-4867-be86-ed6e8f2b4838)) + (pad "3" smd rect locked (at 1 0 90) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 103 "Net-(Q4-Pad3)") (pinfunction "C") (pintype "passive") (tstamp 2bd6bb20-f7f7-4611-9e65-2834bdfd604d)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fc48f36) + (at 143.25 93.45 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000068494cbb") + (attr smd) + (fp_text reference "R37" (at 8.042082 0.103427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 05409866-f991-4d0e-8437-0e6eac575f60) + ) + (fp_text value "10k" (at 1.75 -1.35 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c6e1e208-1cd1-4aaf-ba1b-ac00e6be7634) + ) + (fp_text user "${VALUE}" (at 9.042082 0.103427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 6e514b4f-03ef-49ea-bc87-f9035fe78cc9) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 911cdbb8-0a48-44d0-91f9-b2cfabeb5619) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 2b7a420f-1933-4d84-aa15-8a160033beee)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp ae386a79-b688-4ef3-8404-aa452f5e0e7b)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3467e160-cfad-40a9-992f-8dad41ccdd66)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8e5d35d0-eed1-4a80-82d9-fb6407b31647)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp be144c34-ce57-4e40-9686-b47568e213c4)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp fb70502c-2ce5-4bc5-a1df-7ef3cafe274d)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 406d7e87-544a-4312-8bdb-7a9eecc8a1ea)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 40a135a8-1499-4b75-b818-d131e9d2cd63)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 493d2ce3-9c12-4ec0-8e8e-a9b9a6ceefd3)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 97323ed5-c7cd-4f35-9f84-56cac71fcffa)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "Net-(C21-Pad1)") (pintype "passive") (tstamp e20566dd-6b70-47d0-95df-3ef7b4a753a0)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "Net-(Q4-Pad1)") (pintype "passive") (tstamp f743c16a-2ce8-4334-b8de-bb8d1f2a9b1e)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fc48f69) + (at 139.25 89.575 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000068476d67") + (attr smd) + (fp_text reference "R39" (at -9.417082 -1.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 39d41bd1-442f-47ec-917e-280e2a590f65) + ) + (fp_text value "10k" (at 1.575 -1.25 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2eea5e6d-25c0-4793-90eb-09e6536a6139) + ) + (fp_text user "${VALUE}" (at -10.417082 -1.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 4e1a8e13-841f-4766-9cc5-1f6afd86a704) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 8d74ecd5-9497-4048-a91e-2311bf5b733c) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 590a1584-c7e6-4d07-a210-f6ded1592dce)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp ec4d0620-d868-4ad8-838c-764de8fcd83d)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 35a9d3ba-e20c-4d71-b626-9869ab4507ae)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 638ec6f8-14b2-47f4-87ee-a3f1ac7b17fa)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9ea15525-ca48-4c60-809a-f64e6505ce26)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f5bb576c-f013-4cb2-ad8d-8ae368bf00b5)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 287297a2-3188-4ab5-b1be-dea24c11ebb2)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5cd338f6-cc80-4609-9cda-c001750f8f2f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 62a8b25c-7413-4896-98ed-422da3a03289)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ee2e8e8e-ba5f-4779-99ec-1dba2a00155d)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/TAPE_IN") (pintype "passive") (tstamp dd2eb8d9-8fec-4824-aa41-54ea627269df)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp dc6458cb-070d-47b1-bd47-8d1a69ccce46)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fc6f5bd) + (at 148.5 106.25) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000609f53e7") + (attr smd) + (fp_text reference "R28" (at 0 -2.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp a852121b-fb07-4b57-89fe-ee047f2dcd6d) + ) + (fp_text value "4.7k" (at 0.1 -1.65 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3e171492-d012-45ae-9b95-33e09aec7507) + ) + (fp_text user "${VALUE}" (at 0 -1.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp f674f468-add1-4317-90da-43ec776d9b52) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f0a89c55-48e1-4642-9cc1-4890a608b230) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 0c007d78-f92b-41fc-bf9f-3fa831e8ab88)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 61ecb40b-c40e-4708-a614-f8bbe18fcbe9)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 113a31e6-d626-4d9d-83bc-9bfc4001de9b)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8c7fb952-9623-4929-9031-3b77eed9e38e)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8df570bc-c7fd-4774-9fd2-75eaca17ec6d)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cf5d2e99-aaa6-400d-a898-8b9f9b6c476b)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1c78265c-a9cd-4aaf-b10a-a57ad54ab618)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 5091141a-efac-4091-b3f1-9b5f52f99c17)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 5c7140a8-c2bf-4ac5-bce3-aec9f947cfa0)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 67a22af6-4d98-4352-8574-034181b53232)) + (pad "1" smd roundrect locked (at -0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/PS2_CLK") (pintype "passive") (tstamp 9afa598c-2ebf-4c64-8fa5-1871922eb6e5)) + (pad "2" smd roundrect locked (at 0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 299608b3-3098-4ecc-974e-394395ec174c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fc6f623) + (at 145.0625 106.25) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000620593f1") + (attr smd) + (fp_text reference "R30" (at -0.0125 -2.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 2f081d8d-fae8-4998-80e1-df0973c9d332) + ) + (fp_text value "4.7k" (at 0.1375 -1.65 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c9decda0-3e2c-4154-8256-ebba501bc135) + ) + (fp_text user "${VALUE}" (at -0.0125 -1.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 4151a2af-46ad-44c1-b285-52dc6637b12c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2a11c07d-6403-4009-90c3-6101ad943ae7) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 09b310d6-a060-4874-a0eb-04c50aa6ff7b)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp d539f346-4c2d-4780-8f8b-0c8605de6e1f)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 07fa73a0-4385-406a-943b-cda4d50b43e1)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 13dd9413-d8e8-4360-ba4b-d0bfa0e69fb9)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 432d20cd-1104-462f-ab87-79709827a5ca)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp dfb74b55-8f9e-4415-bdc9-e5f6fa4b876f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp c4186119-44ee-4452-adb8-5a5a724abc2f)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp cf299646-f297-4fe5-93d3-b7a32a52b4e6)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp dda1f3e0-9267-448e-b40e-5bad3570b672)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp f83adf7e-4f8e-4c18-8c0b-ad5e9996c20d)) + (pad "1" smd roundrect locked (at -0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "Net-(J5-Pad3)") (pintype "passive") (tstamp b685a216-e652-4938-aead-cbc138c1ae4a)) + (pad "2" smd roundrect locked (at 0.9125 0) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 7e0036d2-748e-4097-a004-2dc8d0741465)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.15x1.40mm_HandSolder" (layer "F.Cu") + (tedit 5B36C52B) (tstamp 00000000-0000-0000-0000-00005fc7f742) + (at 162.95 109 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "inductor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060e95663") + (attr smd) + (fp_text reference "L1" (at -3.05 0.5 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5c3f41bd-ec57-4789-966a-53d6ae4f365d) + ) + (fp_text value "BLM21AG601SN" (at -7.454762 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d697a51d-a2e1-4406-b00f-0b918b250811) + ) + (fp_text user "${VALUE}" (at -6.35 -0.7 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.7) (thickness 0.12))) + (tstamp 7b6d3205-b052-4367-b54e-7127ce089c59) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 8ca8baeb-d117-49c9-a685-fe73f7695e43) + ) + (fp_line (start -0.261252 -0.71) (end 0.261252 -0.71) (layer "F.SilkS") (width 0.12) (tstamp 2cbc4f97-98f6-43c3-b9b9-887d9d2fad5d)) + (fp_line (start -0.261252 0.71) (end 0.261252 0.71) (layer "F.SilkS") (width 0.12) (tstamp dc363c15-a820-4200-a3c4-66447535628a)) + (fp_line (start -1.85 0.95) (end -1.85 -0.95) (layer "F.CrtYd") (width 0.05) (tstamp 79dc8dfd-b809-4fe0-b678-85935ee93b3d)) + (fp_line (start -1.85 -0.95) (end 1.85 -0.95) (layer "F.CrtYd") (width 0.05) (tstamp 8f674ca8-4583-45f5-805a-143720311056)) + (fp_line (start 1.85 -0.95) (end 1.85 0.95) (layer "F.CrtYd") (width 0.05) (tstamp d80a0b5d-eba5-4398-a904-99341387ade7)) + (fp_line (start 1.85 0.95) (end -1.85 0.95) (layer "F.CrtYd") (width 0.05) (tstamp ff5ede09-99ac-4fe4-9447-c3eb60065d20)) + (fp_line (start 1 0.6) (end -1 0.6) (layer "F.Fab") (width 0.1) (tstamp 36e066b7-3192-4e9c-9aa9-66fefc297706)) + (fp_line (start 1 -0.6) (end 1 0.6) (layer "F.Fab") (width 0.1) (tstamp 877f0cac-4b76-4f3c-b712-885a8cd7f55a)) + (fp_line (start -1 0.6) (end -1 -0.6) (layer "F.Fab") (width 0.1) (tstamp d20a6074-edca-4d2e-a85a-f8a0287d8f37)) + (fp_line (start -1 -0.6) (end 1 -0.6) (layer "F.Fab") (width 0.1) (tstamp fe6fee4a-1669-4034-a59d-7aadbf43ab26)) + (pad "1" smd roundrect locked (at -1.025 0 180) (size 1.15 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2173913043) + (net 81 "+1V5") (pinfunction "1") (pintype "passive") (tstamp 3ff1a4e0-2506-4cf4-adaa-76621b606e85)) + (pad "2" smd roundrect locked (at 1.025 0 180) (size 1.15 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2173913043) + (net 110 "1V5_PLL") (pinfunction "2") (pintype "passive") (tstamp a185012f-ccb5-476c-baaa-b88f2b0da230)) + (model "${KISYS3DMOD}/Inductor_SMD.3dshapes/L_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc8aad7) + (at 158 107.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000613086c6") + (attr smd) + (fp_text reference "C17" (at 0.046573 -2.45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 51d7e67d-7dbc-4559-bce3-36fb4945d225) + ) + (fp_text value "100n" (at 0 -1.5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9ee29b71-bdce-4fc4-841b-cbc619e8c09d) + ) + (fp_text user "${VALUE}" (at 0.046573 -1.35 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 2fb38948-b552-4888-b7aa-23ababb958f4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 4f31a83a-bd58-46b1-90e0-f7857a3a3f10) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp d06e812c-c8e1-4506-9fe2-5e974f914167)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp ddad3786-c8ae-49b1-8a51-31289f07f55f)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 39a53e0e-8b4b-4b96-912f-390fd0150787)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 49d1766d-66e6-42ce-8ec0-52a8ef0b0044)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp a32b91d4-0262-459f-b445-dd3f49e0ac33)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp cfa22f0b-104b-4a63-a236-f0c0017df206)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3d38ac2b-c5df-40cf-b05b-3d54e14a92a9)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 9b4c5d48-a8db-48ba-83b3-db21f70f14f0)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp b2fefb96-3f0c-4dc7-a0f5-a2e8e14453d7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b9c8010f-3b3e-4dc4-b06f-94ace9b28410)) + (pad "1" smd roundrect locked (at -0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp a936f465-5220-40d6-a55e-e7660a69b95b)) + (pad "2" smd roundrect locked (at 0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "1V5_PLL") (pintype "passive") (tstamp 48063fc4-04b5-46d4-8962-4637b48a1124)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fc9d9e3) + (at 179.225 107.8 45) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006b941bf0") + (attr smd) + (fp_text reference "R26" (at -2.100467 -0.131766 315 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 958b241a-843b-42d6-b3ea-330f99309aa8) + ) + (fp_text value "470" (at 2.174353 -0.371231 315 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e26e1447-32cb-4750-848e-7d6ab7c1ddcf) + ) + (fp_text user "${VALUE}" (at -3.231838 -0.131766 315 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 911bac15-9aca-4bb4-b384-4ad5f055556c) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 35176547-7017-4269-819e-c2ae24528993) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 27a522b4-ad45-4bb4-9676-11238047dbb2)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 414e3086-bf2f-41b3-b8a9-33b3d469f177)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2e42ecc2-3a38-4413-819d-118cb8b5dd62)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 6f1db3b2-2c32-424d-827d-c112ac5c2241)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 78f2213c-7d13-4ba3-83ad-8d3469345ad7)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c09760f3-3ef0-4287-b08d-e86f04ddd188)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 310dc7ee-717c-455c-9bee-0667db368293)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 8cdb70d8-3a67-4dcc-b6ec-0b975cc0d820)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 918c211a-7e39-4924-ac33-4b08c058769f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp e153917f-120d-4976-9fb5-ca07e6a8468a)) + (pad "1" smd roundrect locked (at -0.9125 0 45) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/VA4") (pintype "passive") (tstamp 0de9a9ef-1dcd-4543-8041-0fa7fa9561fe)) + (pad "2" smd roundrect locked (at 0.9125 0 45) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/A4") (pintype "passive") (tstamp 186c4ee8-c9d4-48af-9606-578fbfe9f115)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_Array_Convex_4x0603" (layer "F.Cu") + (tedit 58E0A8B2) (tstamp 00000000-0000-0000-0000-00005fc9dc9a) + (at 193.575 104.625 135) + (descr "Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf)") + (tags "resistor array") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006b4f253a") + (attr smd) + (fp_text reference "RN3" (at -2.192031 2.510229 45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0814369a-0612-41aa-89f0-191ae30ae36f) + ) + (fp_text value "470" (at 1.909188 -0.035355 45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 75383471-2b6c-4508-9fc0-d9a9c27adac3) + ) + (fp_text user "${VALUE}" (at -3.464823 2.368808 45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 8eb21da7-3516-46b7-94d5-d9fbfd68d7f7) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 14f0c6f1-b85f-4ed1-9fdf-47bab5d121f6) + ) + (fp_line (start 0.5 1.68) (end -0.5 1.68) (layer "F.SilkS") (width 0.12) (tstamp 870740ec-1ac2-4099-8822-a0cf9d2afa12)) + (fp_line (start 0.5 -1.68) (end -0.5 -1.68) (layer "F.SilkS") (width 0.12) (tstamp 879d3ecc-2a5e-4faa-a134-1408ac96ceb5)) + (fp_line (start -1.55 -1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp 16e7c7e6-3e05-4604-b006-b7b0f806c13e)) + (fp_line (start -1.55 -1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 2429f406-39a5-450c-bae9-cfdba03ad4f2)) + (fp_line (start 1.55 1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp a8897ba3-1b04-4294-8067-054382cfaa62)) + (fp_line (start 1.55 1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp db3634f4-c77e-4087-bb2d-fb66416e9a7b)) + (fp_line (start -0.8 -1.6) (end 0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 57c5dbbb-da48-470f-812f-8231479eb0d2)) + (fp_line (start 0.8 1.6) (end -0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 57f43475-4cee-4d19-abc9-a15db9cbfea7)) + (fp_line (start -0.8 1.6) (end -0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 9adb783b-c781-48d7-9cd0-ce79f839f7da)) + (fp_line (start 0.8 -1.6) (end 0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp cd7a3008-ae04-4af7-a71a-d0f38b77f18c)) + (pad "1" smd rect locked (at -0.9 -1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 63 "/VA9") (pinfunction "R1.1") (pintype "passive") (tstamp 039fa9fb-30e3-4be8-ae32-93f0f9c8fa1d)) + (pad "2" smd rect locked (at -0.9 -0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 57 "/VA10") (pinfunction "R2.1") (pintype "passive") (tstamp b4a6de2b-e451-4bb9-805e-d5d0dcc93dda)) + (pad "3" smd rect locked (at -0.9 0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 61 "/VA11") (pinfunction "R3.1") (pintype "passive") (tstamp fb735999-a54a-488d-84ba-887af17d8dea)) + (pad "4" smd rect locked (at -0.9 1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 51 "/VA12") (pinfunction "R4.1") (pintype "passive") (tstamp 2dcdad31-aafb-40f1-a917-f09da381c146)) + (pad "5" smd rect locked (at 0.9 1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "/A12") (pinfunction "R4.2") (pintype "passive") (tstamp 284309f5-57d5-410e-9060-a47b47bc0698)) + (pad "6" smd rect locked (at 0.9 0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 36 "/A11") (pinfunction "R3.2") (pintype "passive") (tstamp 4e4a52a9-37a0-4fe7-84a4-4937eeaf7884)) + (pad "7" smd rect locked (at 0.9 -0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "/A10") (pinfunction "R2.2") (pintype "passive") (tstamp 28d17049-6440-422d-8115-565415828753)) + (pad "8" smd rect locked (at 0.9 -1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "/A9") (pinfunction "R1.2") (pintype "passive") (tstamp 3e922e41-a762-4061-903a-c2e4247ec057)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_Array_Convex_4x0603.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_Array_Convex_4x0603" (layer "F.Cu") + (tedit 58E0A8B2) (tstamp 00000000-0000-0000-0000-00005fc9dcb1) + (at 177.115 105.48 45) + (descr "Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf)") + (tags "resistor array") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006b534bb3") + (attr smd) + (fp_text reference "RN1" (at -2.075358 -0.067175 315 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp fb8fee46-a962-4bbc-8441-294ae43c6268) + ) + (fp_text value "470" (at 1.88444 -0.703571 315 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3d3dea7e-70bc-4884-bc31-f963d8a6341f) + ) + (fp_text user "${VALUE}" (at -3.348151 -0.208597 315 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ff15040d-c922-48e7-aea9-cdfa3096bab6) + ) + (fp_text user "${REFERENCE}" (at 0 0 315 unlocked) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp be6d9053-5224-4607-93fd-93f5dd36d4ab) + ) + (fp_line (start 0.5 -1.68) (end -0.5 -1.68) (layer "F.SilkS") (width 0.12) (tstamp 1d066360-1828-41f9-9778-b64fc50a61af)) + (fp_line (start 0.5 1.68) (end -0.5 1.68) (layer "F.SilkS") (width 0.12) (tstamp 2a78409d-0419-40eb-ae3f-59ca817ea5dd)) + (fp_line (start 1.55 1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 0a2f6ebc-4ce8-4201-b70c-1da9e563948c)) + (fp_line (start 1.55 1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp 42273fe9-32b4-4cb3-8887-ce9ee492f28a)) + (fp_line (start -1.55 -1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp d97094ea-5a62-40b7-ae7d-be5e4303b584)) + (fp_line (start -1.55 -1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp ffc64246-9af0-4a66-9cdc-b6f47451ee59)) + (fp_line (start -0.8 -1.6) (end 0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 4005c937-05c2-4442-93d8-7ef47e828d59)) + (fp_line (start 0.8 1.6) (end -0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 55526440-571c-489c-95bc-09dae081d834)) + (fp_line (start 0.8 -1.6) (end 0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 8f3b5cb4-3ac6-4580-988e-07d68ada1817)) + (fp_line (start -0.8 1.6) (end -0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp f481af9f-2cf3-4616-bf84-200dd803870b)) + (pad "1" smd rect locked (at -0.9 -1.2 45) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 66 "/VA0") (pinfunction "R1.1") (pintype "passive") (tstamp 0e1e1eab-bc47-4271-ae31-6148d1773224)) + (pad "2" smd rect locked (at -0.9 -0.4 45) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 64 "/VA1") (pinfunction "R2.1") (pintype "passive") (tstamp 85e0d889-7317-4331-9504-41c79e724804)) + (pad "3" smd rect locked (at -0.9 0.4 45) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 62 "/VA2") (pinfunction "R3.1") (pintype "passive") (tstamp 8918610a-84b3-4c39-8b63-1591e31625c2)) + (pad "4" smd rect locked (at -0.9 1.2 45) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 60 "/VA3") (pinfunction "R4.1") (pintype "passive") (tstamp b63b598d-2f78-4fa1-9788-1be18d336b37)) + (pad "5" smd rect locked (at 0.9 1.2 45) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "/A3") (pinfunction "R4.2") (pintype "passive") (tstamp 55196240-9b2e-4b75-b21f-39a79b081249)) + (pad "6" smd rect locked (at 0.9 0.4 45) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "/A2") (pinfunction "R3.2") (pintype "passive") (tstamp e0b2ad1f-ef11-49be-854c-2f847a590479)) + (pad "7" smd rect locked (at 0.9 -0.4 45) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "/A1") (pinfunction "R2.2") (pintype "passive") (tstamp 16fe9c78-b66c-4a86-8b4d-a03cf007c529)) + (pad "8" smd rect locked (at 0.9 -1.2 45) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "/A0") (pinfunction "R1.2") (pintype "passive") (tstamp ca05e3bc-b4c0-44dd-a23c-c9127a944bae)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_Array_Convex_4x0603.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_Array_Convex_4x0603" (layer "F.Cu") + (tedit 58E0A8B2) (tstamp 00000000-0000-0000-0000-00005fc9dcf6) + (at 189.3 90.1 -135) + (descr "Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf)") + (tags "resistor array") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006b83ea8f") + (attr smd) + (fp_text reference "RN4" (at 1.202082 3.040559 -45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ef4a105e-681e-42de-b444-23afdc248aed) + ) + (fp_text value "470" (at 2.05061 0.070711 -45 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 91e2252e-6f54-4800-b716-9ec1109c86f8) + ) + (fp_text user "${VALUE}" (at 2.333452 3.040559 -45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 860fa42a-2284-4ee9-b7b8-a426704b413a) + ) + (fp_text user "${REFERENCE}" (at 0 0 -45 unlocked) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 68b2b852-6b1b-47c7-a331-19f8c9446cce) + ) + (fp_line (start 0.5 1.68) (end -0.5 1.68) (layer "F.SilkS") (width 0.12) (tstamp 1bf1611e-b010-4ba9-9ead-b059d47c09bc)) + (fp_line (start 0.5 -1.68) (end -0.5 -1.68) (layer "F.SilkS") (width 0.12) (tstamp d8954dc3-884e-4620-b658-3dbd0616ac9f)) + (fp_line (start -1.55 -1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 1c43218a-116f-48b3-a64b-a8cee0559157)) + (fp_line (start 1.55 1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp 997d8ee0-3d05-4460-ac17-9a3517d4649e)) + (fp_line (start -1.55 -1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp cf7abdac-fa4c-4179-9a18-37e0db4594c3)) + (fp_line (start 1.55 1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp ef170855-9f0f-42f9-8c90-12986dac1ae1)) + (fp_line (start 0.8 1.6) (end -0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 2acc958a-177c-414c-9956-54ee937f262c)) + (fp_line (start -0.8 -1.6) (end 0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 4beefd50-fee8-4c60-91dd-da8c5ee23cf1)) + (fp_line (start -0.8 1.6) (end -0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 7e6c919b-a6cb-479d-8169-091a683a7bb4)) + (fp_line (start 0.8 -1.6) (end 0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp a36b5aeb-7e3e-4679-a168-0a32c7b9a170)) + (pad "1" smd rect locked (at -0.9 -1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 72 "/VD2") (pinfunction "R1.1") (pintype "passive") (tstamp 544ddf9f-722c-4324-a888-aa82ed313581)) + (pad "2" smd rect locked (at -0.9 -0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 54 "/VD7") (pinfunction "R2.1") (pintype "passive") (tstamp eeb372a3-b25f-407f-bf18-b3268287ab94)) + (pad "3" smd rect locked (at -0.9 0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 68 "/VD0") (pinfunction "R3.1") (pintype "passive") (tstamp c37fbea2-f9b1-4b3d-b3d0-b1c34d6407b4)) + (pad "4" smd rect locked (at -0.9 1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 70 "/VD1") (pinfunction "R4.1") (pintype "passive") (tstamp cf4c3d7a-5353-4aa9-8fc9-705bcecc1c64)) + (pad "5" smd rect locked (at 0.9 1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "/D1") (pinfunction "R4.2") (pintype "passive") (tstamp 712719c3-9bca-45d2-9673-9eb68d3e469b)) + (pad "6" smd rect locked (at 0.9 0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "/D0") (pinfunction "R3.2") (pintype "passive") (tstamp d189f23e-3745-44da-bd58-719adb0e44d9)) + (pad "7" smd rect locked (at 0.9 -0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "/D7") (pinfunction "R2.2") (pintype "passive") (tstamp 99e7dc90-ed85-4258-9e7a-8e68f49e8e23)) + (pad "8" smd rect locked (at 0.9 -1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "/D2") (pinfunction "R1.2") (pintype "passive") (tstamp 87292151-609e-4a9f-a2b5-eacf7e518400)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_Array_Convex_4x0603.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_Array_Convex_4x0603" (layer "F.Cu") + (tedit 58E0A8B2) (tstamp 00000000-0000-0000-0000-00005fc9e355) + (at 190.775 107.425 135) + (descr "Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf)") + (tags "resistor array") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006b3a9338") + (attr smd) + (fp_text reference "RN2" (at -2.262742 -0.53033 45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ec302b63-c267-4ae0-bf49-0c23f68c76b2) + ) + (fp_text value "470" (at 1.909188 -0.035355 45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e28d2291-5562-4813-8c11-081aa523c85a) + ) + (fp_text user "${VALUE}" (at -3.535534 -0.671751 45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 30d9a0eb-c148-444a-8124-994c587503a6) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 66084e76-65d2-4bc9-8b8e-74f08759a941) + ) + (fp_line (start 0.5 1.68) (end -0.5 1.68) (layer "F.SilkS") (width 0.12) (tstamp 8df034d7-88c3-401d-8de5-c609fd9172d6)) + (fp_line (start 0.5 -1.68) (end -0.5 -1.68) (layer "F.SilkS") (width 0.12) (tstamp b3de8c6e-defd-4e5d-93f8-412aa3c4035c)) + (fp_line (start -1.55 -1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 03496e07-36af-4bb0-9dbe-b127e2e8dd9d)) + (fp_line (start -1.55 -1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp 5d846439-12f0-4f39-91d0-ffac7165bb0c)) + (fp_line (start 1.55 1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 6208f0b8-b1f0-4e55-9d3d-ea6864045fcf)) + (fp_line (start 1.55 1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp f0e966cb-4b51-4320-b64c-c97d145b1218)) + (fp_line (start -0.8 -1.6) (end 0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 168a5f62-26c2-478f-96da-4a3c515e1402)) + (fp_line (start -0.8 1.6) (end -0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp 210ecbc7-beb0-4d20-9d6d-5562b5230de7)) + (fp_line (start 0.8 1.6) (end -0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 4f6b625a-6ddb-4a88-9cfb-525f563d2883)) + (fp_line (start 0.8 -1.6) (end 0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp b0ac70ff-16d1-43e6-9d6a-00b7c53a1d62)) + (pad "1" smd rect locked (at -0.9 -1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 56 "/VA5") (pinfunction "R1.1") (pintype "passive") (tstamp 61d90547-bbfb-41ee-9acb-e11c90f20e74)) + (pad "2" smd rect locked (at -0.9 -0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 55 "/VA6") (pinfunction "R2.1") (pintype "passive") (tstamp d37f33d5-5c9f-4195-b96f-5fe6d6d69d60)) + (pad "3" smd rect locked (at -0.9 0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 53 "/VA7") (pinfunction "R3.1") (pintype "passive") (tstamp f39c0fba-f4f7-4c95-bc67-255e54f3c96d)) + (pad "4" smd rect locked (at -0.9 1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 65 "/VA8") (pinfunction "R4.1") (pintype "passive") (tstamp 125fc6d6-ea30-4773-a721-874109c5f5a9)) + (pad "5" smd rect locked (at 0.9 1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "/A8") (pinfunction "R4.2") (pintype "passive") (tstamp 84880884-cd6f-48d4-97e5-7f6cfe5e7984)) + (pad "6" smd rect locked (at 0.9 0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "/A7") (pinfunction "R3.2") (pintype "passive") (tstamp ac372220-1488-4060-815d-11dcf684bc4a)) + (pad "7" smd rect locked (at 0.9 -0.4 135) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "/A6") (pinfunction "R2.2") (pintype "passive") (tstamp 1f7a7995-0beb-4b24-802a-53e11e0d2f98)) + (pad "8" smd rect locked (at 0.9 -1.2 135) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "/A5") (pinfunction "R1.2") (pintype "passive") (tstamp a4726cfc-001c-47bd-b1a4-137425d98c82)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_Array_Convex_4x0603.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fca2138) + (at 143.25 89.575 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005fe8ce50") + (attr smd) + (fp_text reference "C26" (at 9.417082 0.103427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e8a438b9-b25f-4c44-9155-531802a7d171) + ) + (fp_text value "100n" (at -1.575 -1.289682 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 581499a9-4732-4666-96fe-a1e9b388f43c) + ) + (fp_text user "${VALUE}" (at 10.417082 0.103427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e11755b5-79fe-4e33-b469-1857eb30abcb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 4dd2b66c-1f36-44e1-9b02-e50358ed0782) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 811a1b9d-001c-4ce7-bd14-c17ef1a8b0b5)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp de783d1d-5f3c-4970-a3b5-26f9716d2c8e)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 16a0a494-11e1-4f28-855c-eab8f3b52cb3)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2d24955d-8a6a-4c57-ab13-6593f081b603)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 91eb56c0-cd3f-4632-b7ed-9f2ba4e2631f)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp bd9774ac-f830-485d-a179-dd17124d4237)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2b6f9a64-7f55-4525-acf4-235b72e05653)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 83c7e6bc-830d-4784-bd6b-644039a15e28)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 892d9869-a75f-4ed5-a562-e19dc21ba66c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e17a9959-a8d7-49c2-99b8-4f7346c14e55)) + (pad "1" smd roundrect locked (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "/TAPE_OUT") (pintype "passive") (tstamp 0b8f78c5-ca92-451e-bab7-289e00cc96a0)) + (pad "2" smd roundrect locked (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "Net-(C26-Pad2)") (pintype "passive") (tstamp 7251e326-2492-4e12-ae31-2579f388b92c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fca25ae) + (at 145.25 89.575 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005fed3d0d") + (attr smd) + (fp_text reference "R7" (at -9.417082 0.496573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 1f8faa8a-2f90-49ef-9962-2c35ad4d2788) + ) + (fp_text value "10k" (at 1.575 2.75 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f5475891-ec02-4a6d-8a30-02d9e64e1dde) + ) + (fp_text user "${VALUE}" (at -10.417082 0.496573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp c3ee64d8-e9c7-4f9e-968e-27943a6af1e8) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 44f6e5d9-9b58-428c-ba47-6292f9a95f86) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 31492de0-9254-437b-a24a-8a43ac1c774a)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 76d8f1e1-0c1c-4d97-a4f0-f867c2ba7127)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0e1254d1-546f-4eca-bd54-5698663d86e9)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 104938f7-5b66-49cd-ae71-4aaa1b94b4ef)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7465c27e-76f9-438a-9f84-76054f500453)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp aa36f580-6879-4b5a-be3e-0c56f71748a5)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0eb35394-5ce7-4a0a-ac9f-6fd687dfaddf)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 7db19abd-3569-4eab-927b-168f0ff7747e)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 883d036f-94b3-4098-9482-9e8bf3544a9f)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp c25a49b6-8454-4492-9b1b-c2b4c38c3fe0)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/SD_MOSI\\TAPE") (pintype "passive") (tstamp 349bdcad-5716-4388-8727-56ffff54b023)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "Net-(C26-Pad2)") (pintype "passive") (tstamp 29d79bbc-5cf9-4ac8-931b-cdad6efa4d41)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_Card:microSD_HC_Hirose_DM3D-SF" (layer "F.Cu") + (tedit 5B82D16A) (tstamp 00000000-0000-0000-0000-00005fcabad9) + (at 159.4 93.95 180) + (descr "Micro SD, SMD, right-angle, push-pull (https://media.digikey.com/PDF/Data%20Sheets/Hirose%20PDFs/DM3D-SF.pdf)") + (tags "Micro SD") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f9359d6") + (attr smd) + (fp_text reference "J7" (at 0 1.45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 7c6b922c-af9c-41f5-ac4c-c24422146a6b) + ) + (fp_text value "DM3D-SF" (at -0.025 -2.85) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 758dce4b-cdee-423f-99a7-25ffd1205e55) + ) + (fp_text user "${VALUE}" (at 0 -0.75 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b6419a18-4247-422e-8694-93be34d3eae3) + ) + (fp_text user "KEEPOUT" (at -0.725 -4.8) (layer "Cmts.User") hide + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 299eec94-e7d6-44ed-bdbf-3dcf13ef096d) + ) + (fp_text user "KEEPOUT" (at -0.275 -0.525) (layer "Cmts.User") hide + (effects (font (size 1 1) (thickness 0.1))) + (tstamp 45f06b3b-8d82-42d1-b5e7-8567e905598c) + ) + (fp_text user "${REFERENCE}" (at -0.025 1.475) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.1))) + (tstamp 8e621539-4ef7-4550-95a5-d2d7c97e651d) + ) + (fp_line (start 6.325 -5.785) (end 6.435 -5.785) (layer "F.SilkS") (width 0.12) (tstamp 098e0579-eb7a-4a83-b1d0-208848550d06)) + (fp_line (start -6.435 -1.375) (end -6.435 4.225) (layer "F.SilkS") (width 0.12) (tstamp 2758a57f-2c7f-43e3-b6d2-29fd220f35c3)) + (fp_line (start -6.435 -4.625) (end -6.435 -5.785) (layer "F.SilkS") (width 0.12) (tstamp 34cd6fb7-b729-47d2-84cd-5ae4f68ac8be)) + (fp_line (start -6.435 -5.785) (end 4.825 -5.785) (layer "F.SilkS") (width 0.12) (tstamp 53a6b906-a322-4556-b10e-4088ae5ac9a4)) + (fp_line (start 6.435 -5.785) (end 6.435 -3.975) (layer "F.SilkS") (width 0.12) (tstamp a4e4c747-8b89-4e3d-8db2-85873d167db2)) + (fp_line (start 6.435 -2.075) (end 6.435 4.225) (layer "F.SilkS") (width 0.12) (tstamp e53147d7-ad9d-4426-afd9-3c5f568f7146)) + (fp_line (start 0.575 0.475) (end 1.275 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 0182d56d-51ea-408c-aa6e-4c1c8d1cd2b0)) + (fp_line (start -1.025 -5.725) (end -1.525 -3.875) (layer "Dwgs.User") (width 0.1) (tstamp 07edb8e8-8cd0-4503-95b3-d2a064856649)) + (fp_line (start -1.925 -3.875) (end -1.525 -5.725) (layer "Dwgs.User") (width 0.1) (tstamp 0ab95b6c-a1f0-466b-bb71-782210459546)) + (fp_line (start -1.425 0.475) (end -0.725 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 0bf9f524-ed90-419b-b7c1-1cfbedb2cdb4)) + (fp_line (start 2.075 0.475) (end 2.775 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 0f10b379-a512-47a6-aeac-16f1f8798a03)) + (fp_line (start -4.225 -1.525) (end -3.725 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 21f58ab3-e213-4500-8d51-2688a043fd39)) + (fp_line (start -1.975 -5.725) (end -1.975 -3.875) (layer "Dwgs.User") (width 0.1) (tstamp 256cd051-553e-4363-b2e2-f543860f878f)) + (fp_line (start 3.575 0.475) (end 3.575 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 26b18894-e5f5-4fbf-ab34-3d08d252da4a)) + (fp_line (start -3.925 0.475) (end -3.225 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 3a528522-3ccf-41ab-a0ec-4365824b8433)) + (fp_line (start -2.925 0.475) (end -2.225 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 3dcffe4e-8604-4e4c-9c87-b8ca9e66d278)) + (fp_line (start -4.925 0.475) (end -4.225 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 4f55a91e-cf9e-43a0-a570-c548d6d7c781)) + (fp_line (start 1.075 0.475) (end 1.775 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 59983571-b7b7-4e0b-a720-655fa8b37c5c)) + (fp_line (start 2.575 0.475) (end 3.275 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 5a5d6487-ae16-4a16-9c78-389622e4f91c)) + (fp_line (start 0.525 -3.875) (end -1.975 -3.875) (layer "Dwgs.User") (width 0.1) (tstamp 6d8f6373-f4c9-4644-9adb-62bb5120e244)) + (fp_line (start 3.075 0.475) (end 3.575 -0.975) (layer "Dwgs.User") (width 0.1) (tstamp 70a414b3-fb74-403d-b33b-1446b83f88c3)) + (fp_line (start -1.925 0.475) (end -1.225 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 76f1b720-c418-451e-b0da-86a6fd752681)) + (fp_line (start -1.025 -3.875) (end -0.525 -5.725) (layer "Dwgs.User") (width 0.1) (tstamp 7bf1261f-b603-4dbc-a1b2-83b16cefaae7)) + (fp_line (start -3.225 -1.525) (end -2.725 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 84c866d8-98c8-46c8-9aed-8b87583ce56d)) + (fp_line (start 0.525 -5.725) (end -1.975 -5.725) (layer "Dwgs.User") (width 0.1) (tstamp 8b133129-2ca8-4648-9e0f-393167d282fd)) + (fp_line (start 1.575 0.475) (end 2.275 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 8edff3ef-e421-4384-b7b3-cf9a99fe57d4)) + (fp_line (start 0.525 -3.875) (end 0.525 -5.725) (layer "Dwgs.User") (width 0.1) (tstamp 8fffc76b-414b-4b59-a588-960f56663012)) + (fp_line (start -0.425 0.475) (end 0.275 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp 95fbef34-8e9c-4343-ba90-9879bf6e620b)) + (fp_line (start -4.925 0.475) (end 3.575 0.475) (layer "Dwgs.User") (width 0.1) (tstamp 9bca72d2-23be-455a-9f6f-ef3a34bb2a4c)) + (fp_line (start -0.025 -5.725) (end -0.525 -3.875) (layer "Dwgs.User") (width 0.1) (tstamp a397976e-6752-4420-9b7e-ea826f77851c)) + (fp_line (start -4.425 0.475) (end -3.725 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp ae825928-e1c6-498e-bbc0-174210ff4ff8)) + (fp_line (start -2.425 0.475) (end -1.725 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp c1e9de92-3e1a-4e6c-9caf-b1e7119c36e6)) + (fp_line (start 0.075 0.475) (end 0.775 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp cac067fd-7848-4e4f-94f9-68f483610916)) + (fp_line (start -0.925 0.475) (end -0.225 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp ce6ceda5-0358-4e76-9df3-864b0e4c874e)) + (fp_line (start -0.025 -3.875) (end 0.475 -5.725) (layer "Dwgs.User") (width 0.1) (tstamp d271274d-5c41-4f84-a8b3-c387f9e9bdc0)) + (fp_line (start -4.925 -1.525) (end -4.925 0.475) (layer "Dwgs.User") (width 0.1) (tstamp d733dc22-3f1f-4d6c-97ea-22524fa30f07)) + (fp_line (start -4.925 -1.525) (end 3.575 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp f47383b6-e4d4-45d7-a868-0df82a89b329)) + (fp_line (start -3.425 0.475) (end -2.725 -1.525) (layer "Dwgs.User") (width 0.1) (tstamp f985ce62-7e27-4b02-a5af-5fd8a0d2dc9e)) + (fp_line (start 6.88 6.28) (end -6.92 6.28) (layer "F.CrtYd") (width 0.05) (tstamp 3afa7ac2-c067-4758-a1a9-fab72eee6bdc)) + (fp_line (start -6.92 6.28) (end -6.92 -6.72) (layer "F.CrtYd") (width 0.05) (tstamp 4fae14c6-5cdb-4dfa-847f-6ff5224f0517)) + (fp_line (start 6.88 -6.72) (end 6.88 6.28) (layer "F.CrtYd") (width 0.05) (tstamp 9b169e54-d501-45eb-af15-e90106ebf4de)) + (fp_line (start -6.92 -6.72) (end 6.88 -6.72) (layer "F.CrtYd") (width 0.05) (tstamp d30e2424-56e4-404b-bbfe-f16c48f19c52)) + (fp_line (start -5.525 5.725) (end -5.525 9.575) (layer "F.Fab") (width 0.1) (tstamp 000f4ebb-24af-487f-a86b-8edca97f056d)) + (fp_line (start 4.175 -5.725) (end 4.175 -6.975) (layer "F.Fab") (width 0.1) (tstamp 1bf70dde-0ad5-4704-99d6-d645e96ea8ad)) + (fp_line (start -5.275 5.475) (end -5.275 4.425) (layer "F.Fab") (width 0.1) (tstamp 34846963-8fd0-4b1d-9eaa-411160d6cd8e)) + (fp_line (start -6.375 -5.725) (end 6.375 -5.725) (layer "F.Fab") (width 0.1) (tstamp 6e2a2832-dc01-412e-b47f-8391179c2684)) + (fp_line (start -6.375 5.725) (end -6.375 -5.725) (layer "F.Fab") (width 0.1) (tstamp 6fe923d7-8bde-4681-ae4d-e7da4c39000c)) + (fp_line (start 5.475 9.575) (end 5.475 5.725) (layer "F.Fab") (width 0.1) (tstamp 7e7b8197-12d5-4ff4-888e-a84c8774b61e)) + (fp_line (start 5.225 5.475) (end 5.225 4.425) (layer "F.Fab") (width 0.1) (tstamp 94227d55-7b05-442b-ad1f-94ce19194ba0)) + (fp_line (start -5.525 -5.725) (end -5.525 -6.975) (layer "F.Fab") (width 0.1) (tstamp 980ee1f9-0416-48bd-9b15-a572b9f75b6e)) + (fp_line (start 5.475 5.725) (end 6.375 5.725) (layer "F.Fab") (width 0.1) (tstamp a24ba9e8-3c89-4301-a8fa-ef6af2505922)) + (fp_line (start -5.525 -6.975) (end 4.175 -6.975) (layer "F.Fab") (width 0.1) (tstamp bd62de49-4e32-48f1-9246-fa9b02c23c7e)) + (fp_line (start -5.025 10.075) (end 4.975 10.075) (layer "F.Fab") (width 0.1) (tstamp cc4524d2-190f-4ab4-9b04-996d91442577)) + (fp_line (start -6.375 5.725) (end -5.525 5.725) (layer "F.Fab") (width 0.1) (tstamp d1c3824f-a2e2-49e1-b576-3cb894083e42)) + (fp_line (start 6.375 5.725) (end 6.375 -5.725) (layer "F.Fab") (width 0.1) (tstamp f52f700c-1077-43d0-b262-526891fb89e5)) + (fp_line (start -4.775 3.925) (end 4.725 3.925) (layer "F.Fab") (width 0.1) (tstamp feb9a253-3c79-4dc7-8f6f-f8be13adcedf)) + (fp_arc (start 5.475 5.475) (end 5.475 5.725) (angle 90) (layer "F.Fab") (width 0.1) (tstamp 204dd3e2-0f56-4a89-a0ee-813fe30ca2ae)) + (fp_arc (start -5.525 5.475) (end -5.275 5.475) (angle 90) (layer "F.Fab") (width 0.1) (tstamp 584c601a-bbd9-4bd8-9783-15cf4242ce4d)) + (fp_arc (start -5.025 9.575) (end -5.025 10.075) (angle 90) (layer "F.Fab") (width 0.1) (tstamp 631fa82b-55f3-440d-98d5-b45c2d770561)) + (fp_arc (start 4.725 4.425) (end 4.725 3.925) (angle 90) (layer "F.Fab") (width 0.1) (tstamp 715f6808-ef7c-4dce-bf06-b4d260fab524)) + (fp_arc (start -4.775 4.425) (end -5.275 4.425) (angle 90) (layer "F.Fab") (width 0.1) (tstamp b987a740-55b5-48f5-8d49-8d90c535afdb)) + (fp_arc (start 4.975 9.575) (end 5.475 9.575) (angle 90) (layer "F.Fab") (width 0.1) (tstamp efad638b-c61e-433f-91f1-6efef1697642)) + (pad "1" smd rect locked (at 3.175 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 101 "Net-(J7-Pad1)") (pinfunction "DAT2") (pintype "bidirectional") (tstamp 4698ad40-c5e4-476f-9b3e-4c2f8fc639b9)) + (pad "2" smd rect locked (at 2.075 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 115 "/~SD_CS") (pinfunction "DAT3/CD") (pintype "bidirectional") (tstamp a627af5b-2fab-4a9a-901e-241cbcd4c4e9)) + (pad "3" smd rect locked (at 0.975 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 116 "/SD_MOSI\\TAPE") (pinfunction "CMD") (pintype "input") (tstamp a6c4b210-62ac-43a4-b59a-16a7c04768e2)) + (pad "4" smd rect locked (at -0.125 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 24aa827f-1f08-499e-86f0-ebe3f8de6f80)) + (pad "5" smd rect locked (at -1.225 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 42 "/SD_SCK") (pinfunction "CLK") (pintype "input") (tstamp bef61fdf-4392-4dd8-b697-2906d89fcd44)) + (pad "6" smd rect locked (at -2.325 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 4e181df7-11b9-4dd4-8eef-acc12d5015f0)) + (pad "7" smd rect locked (at -3.425 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 98 "/SD_MISO\\TAPE") (pinfunction "DAT0") (pintype "bidirectional") (tstamp cf8ad60f-2d41-4293-9455-446c24abdcd8)) + (pad "8" smd rect locked (at -4.525 5.35 180) (size 0.7 1.75) (layers "F.Cu" "F.Paste" "F.Mask") + (net 102 "Net-(J7-Pad8)") (pinfunction "DAT1") (pintype "bidirectional") (tstamp 4e46afd4-13b3-48a7-a15e-ca6ca4b07ced)) + (pad "9" smd rect locked (at -5.65 -3.875 180) (size 1.45 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 117 "/~SD_CD") (pinfunction "DET_B") (pintype "passive") (tstamp bee6493c-7e68-4883-85b4-c7de0ba5a801)) + (pad "10" smd rect locked (at 5.575 -5.45 180) (size 1 1.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "DET_A") (pintype "passive") (tstamp 13d03f3f-d1ef-45a1-86f2-fb5d95e808e3)) + (pad "11" smd rect locked (at -5.975 -2.375 180) (size 0.8 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 090d1a94-9bf5-4b23-89d3-4af80519c761)) + (pad "11" smd rect locked (at -5.725 5.225 180) (size 1.3 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 30cd9509-70df-41b4-8d32-73f1bc572d3a)) + (pad "11" smd rect locked (at 5.975 -3.025 180) (size 0.8 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp 89a218d0-7cf7-4a90-a801-4dd4b0e15ba9)) + (pad "11" smd rect locked (at 5.625 5.225 180) (size 1.5 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "SHIELD") (pintype "passive") (tstamp b1df6d33-ece2-4f0c-885c-299d25dc93a1)) + (model "${KISYS3DMOD}/Connector_Card.3dshapes/microSD_HC_Hirose_DM3D-SF.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask" (layer "F.Cu") + (tedit 618EA05F) (tstamp 00000000-0000-0000-0000-00005fcb5683) + (at 149.375 90.9) + (descr "Mounting Hole 2.7mm, no annular, M2.5") + (tags "mounting hole 2.7mm no annular m2.5") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e312288") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 -3.7) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6e3010f0-5eb1-4249-b13a-2915a3808790) + ) + (fp_text value "MountingHole" (at 0 3.7) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1e68e080-9ef4-4fb9-87cc-3f88405870e3) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 32bd085e-7698-4e97-8be4-5acd86a83517) + ) + (fp_circle (center 0 0) (end 2.5 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp 2f616f9c-fdd1-4bef-ac90-3612a729e02f)) + (fp_circle (center 0 0) (end 2.5 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 19606f4c-c3f4-43d1-9053-92aa9cab26bf)) + (pad "" np_thru_hole circle locked (at 0 0) (size 5 5) (drill 2.7) (layers *.Mask) + (clearance 1.2) (tstamp ddf4fbbf-e3c7-486e-8838-9e037c110a8f)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fcc1eb5) + (at 170.75 89.745 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006d31dc13") + (attr smd) + (fp_text reference "R2" (at 3.647082 -3.5 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp d97556ed-1a25-4d2e-a05a-ce24264759ef) + ) + (fp_text value "10k" (at 2.26 -0.09 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6224a8ff-0848-4579-b589-d6ddfb290442) + ) + (fp_text user "${VALUE}" (at 4.847082 -3.5 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ab488f34-291c-4b73-99e0-56ff87af963d) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0cdf3fba-fd51-471a-a569-6e3f67c3f7dc) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 7608633e-b014-43a8-9ffd-905fff088e54)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp ccdf7f63-3280-490d-b357-40fe19e15824)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3a30b6fe-d3b7-4a89-9917-ce62eb252cf7)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7b561b68-512f-4387-8a7e-095376759ed9)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9f335981-431b-41f6-9ca4-02e10b331197)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp dd0b217a-4378-45c5-bb5e-2db10dd7dda4)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 04c2e2d3-6628-4559-89bb-9b1290b7a5cb)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 62bdaef3-91c8-4b23-a844-92ef8e385abf)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 9d6c1b43-221a-4a44-9d00-3ac4a2b6d07b)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp b1f34311-2d76-4e0e-84d7-34c63580ad0f)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 8a1741d2-215a-4b41-8b13-2110433a93da)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(R2-Pad2)") (pintype "passive") (tstamp 3d205b72-b1a4-40eb-a05e-d383dfd4cb73)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005fcd644b) + (at 125.05 107.4) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006546187a") + (attr smd) + (fp_text reference "U1" (at 3.2 -3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp d3fdbd34-7753-480b-bbb0-c670df902293) + ) + (fp_text value "1117-3.3" (at 0 0.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cde19cd5-c020-4ffb-861b-c196ede9dfff) + ) + (fp_text user "${VALUE}" (at 0 0 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b40b8841-9039-41bf-8bca-d4998082db0b) + ) + (fp_text user "${REFERENCE}" (at 0.15 -0.925) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ac01d49f-1e2d-403f-8bf6-d096d484a128) + ) + (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12) (tstamp 5a299c00-ddb1-4769-955a-cd6aafb173cc)) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12) (tstamp 98002268-6da2-45d6-bc16-eea6a194c989)) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12) (tstamp c4945054-b4ba-408e-a605-8f7c488a6b0f)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12) (tstamp d1c472a8-0c87-4baf-8fdc-417fa7f9c257)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 2e784a4b-3bc6-4bed-9f17-9804b9ee9a8e)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp acaa59c6-92eb-41c3-93e8-be923abc5231)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp c2196bce-aa2a-408d-a7c9-36b5d6cb4721)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp ce52f735-bd02-4653-be72-8475b16c9a87)) + (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp 93be8cf3-61b2-4f46-b25b-b3083689a703)) + (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp 97d08ec3-f49e-495c-a435-04a5f66ac00e)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp bd41d8f8-6ad5-42f5-a8ca-69ac52f12426)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp d45e26eb-48f6-40b5-925d-f49223ddbb25)) + (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp ea4824d3-1331-4bcc-9cf2-b232395e2355)) + (pad "1" smd rect locked (at -3.15 -2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3b9b0382-fec0-4e66-bca9-24f2f9ffa6d9)) + (pad "2" smd rect locked (at -3.15 0) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp 1348226b-28cd-44bc-86b2-62a7e4516b32)) + (pad "2" smd rect locked (at 3.15 0) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "VO") (pintype "power_out") (tstamp a125c403-a58c-4d00-abba-92f131628a1e)) + (pad "3" smd rect locked (at -3.15 2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 94a474a0-9503-4577-99b8-221e19a3ef4f)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fcecbd5) + (at 169 89.745 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006d31d280") + (attr smd) + (fp_text reference "R3" (at 3.647082 -3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 81d73141-55bd-4db2-8be3-195a214bc9cf) + ) + (fp_text value "10k" (at 2.26 -0.09 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp cd296af8-4ab8-4097-bf74-e54e5dfb740a) + ) + (fp_text user "${VALUE}" (at 4.847082 -3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 760741b0-383a-45c8-b481-54710665475e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp dd88610e-b27d-42b8-9212-c6011aa9317e) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp d8595f91-e24a-47c7-995b-9db6797f8bd2)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp e12ead46-8522-4cc1-ac58-318776871ca1)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 052a03b7-3cc9-4b55-b321-e32e640c7a09)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 820a4f81-8688-4ca7-ba95-cad5ffbc39ff)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 820ea8c7-0ff8-4b3e-892f-f013107a433f)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 88193ad2-5e08-42bc-9d4d-191faac9ae55)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 1f6657f5-028c-44e5-a03d-c2fecf875f25)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 34293df2-7609-4ba2-bdff-e6d73b13dad1)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 69db7468-bdec-4593-b265-b1caee43ba63)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp e70c7af4-1159-4836-8be0-d9239b4c0db9)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 3d86fa1f-f932-4bad-afe8-5f263dbc5b5a)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(R3-Pad2)") (pintype "passive") (tstamp dda0cfc5-52e5-4981-b58d-9c54243d2c59)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fcf3fe5) + (at 141.5 106.25 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006205844e") + (attr smd) + (fp_text reference "R29" (at 0.0125 2.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 90ca9d6b-04ea-4eb2-9bd3-73d59ef56c41) + ) + (fp_text value "4.7k" (at -0.1 1.65 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a7e4a440-4d10-4407-9839-0c13c92cd238) + ) + (fp_text user "${VALUE}" (at 0.0125 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0d632380-ad2d-4074-94a7-85e69b9b773c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp a27a6c41-0c06-407e-a42a-212ccb4d32e3) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 2400798e-3108-4b17-a462-8025b388fa57)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 3aabf2e6-708d-4a99-94f1-f4205d84382e)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 531732ed-ccd4-454e-82c4-258d1eb7e2f3)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 71df6761-9eeb-4257-99bd-721d97819f34)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 80e098e8-500e-4118-882f-2b389a008fb9)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp fb57691a-cfc9-4731-a3e3-0e6e3fb55dc0)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 16c26bcc-8802-4e7b-b0e3-2b5630fd1cab)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 2d36b869-9403-44ad-ada3-a00959b163dc)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp e5912ae5-a164-4d46-9af8-70cac0dacba1)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp f0804a63-fe6e-4f57-8771-5e4ea11e67e0)) + (pad "1" smd roundrect locked (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "Net-(J5-Pad2)") (pintype "passive") (tstamp a8372223-cadb-4b4d-9d42-9a2d8c3f9549)) + (pad "2" smd roundrect locked (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 280f614c-be91-4b92-a1ab-f8b389e5cd72)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005fcf494e) + (at 135.55 108.95 -90) + (descr "SOT-23, Standard") + (tags "SOT-23") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000061601f84") + (attr smd) + (fp_text reference "Q2" (at -3.95 0.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ebf08b9a-a270-4473-b40d-e80d3e29b8b2) + ) + (fp_text value "BSS138" (at -1.35 -0.25) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 453441cc-2bd0-4cc0-b9eb-82f5a6e3cbce) + ) + (fp_text user "${VALUE}" (at -2.85 0.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.55) (thickness 0.11))) + (tstamp 8a4da4ad-a0a1-435d-9582-81029e4371f2) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 66fa14a5-7541-49b1-b825-373d6d1f2f31) + ) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp 00048d17-a989-40ee-a6e0-19cd96f1e7fa)) + (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp 53d832d1-4c87-492b-a3a1-d4fc4e3e443e)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp 9d364a0b-784c-4e1a-904e-d38f527d7304)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp b23cca88-b01d-41f9-a1f1-9292ae2c352b)) + (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 162340c0-6dae-44ac-a9df-db4b89553bed)) + (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 4bb1a63f-282b-4db8-ab2a-2792c4f917aa)) + (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 50023b35-9876-41d9-b920-2af7e5dda559)) + (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 9920ea70-b062-4bc5-8f6e-646e1aab2d3b)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 5471f708-1c45-4b77-8ac4-51453b5350a2)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1) (tstamp 9eae5d57-00db-4f14-b141-30cc901dd4bd)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp acb48ece-d6c2-4a5b-a57a-12bb35d51612)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp d23abae3-2dfb-4eb8-a016-8995a836874c)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1) (tstamp e21fece2-ab6b-4b88-938c-e525b8f00a21)) + (pad "1" smd rect locked (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "G") (pintype "input") (tstamp 53f2347f-20f3-4d3c-8a56-a33ac723cb10)) + (pad "2" smd rect locked (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 80 "/PS2_DAT") (pinfunction "S") (pintype "passive") (tstamp 13e55995-0484-4fb4-b18d-c40b479b8fe2)) + (pad "3" smd rect locked (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 118 "Net-(J5-Pad2)") (pinfunction "D") (pintype "passive") (tstamp 72dd097d-b810-4c83-9800-8850c9dbbc6d)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_Tantalum_SMD:CP_EIA-7343-31_Kemet-D_Pad2.25x2.55mm_HandSolder" (layer "F.Cu") + (tedit 5EBA9318) (tstamp 00000000-0000-0000-0000-00005fd334c3) + (at 105 100.2) + (descr "Tantalum Capacitor SMD Kemet-D (7343-31 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006c05b474") + (attr smd) + (fp_text reference "C2" (at 5.746573 -0.507918) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 43cb38a8-5273-4962-ad7d-b3589f71a87d) + ) + (fp_text value "100u/10V" (at 0 0.8) (layer "F.Fab") + (effects (font (size 1 0.9) (thickness 0.15))) + (tstamp 2ce1ba64-e9fc-4b44-a3ba-1fa74934ebef) + ) + (fp_text user "${VALUE}" (at 8.046573 0.792082 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 34a7e575-2c11-44ee-9050-2f39a1c1c132) + ) + (fp_text user "${REFERENCE}" (at 0 -0.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 57b7ed2b-253c-43e0-b93c-87db923e1331) + ) + (fp_line (start -4.585 -2.26) (end -4.585 2.26) (layer "F.SilkS") (width 0.12) (tstamp 82d4007b-3959-46c0-8371-f39d018d4f5b)) + (fp_line (start 3.65 -2.26) (end -4.585 -2.26) (layer "F.SilkS") (width 0.12) (tstamp cfc2409a-8ac3-466e-ad98-a6a32196edb7)) + (fp_line (start -4.585 2.26) (end 3.65 2.26) (layer "F.SilkS") (width 0.12) (tstamp f2cf730b-8559-484e-8585-d2cb778e699e)) + (fp_line (start -4.58 2.4) (end -4.58 -2.4) (layer "F.CrtYd") (width 0.05) (tstamp 13b6ba1f-16d5-4fb4-a947-aa32cbcd9a46)) + (fp_line (start -4.58 -2.4) (end 4.58 -2.4) (layer "F.CrtYd") (width 0.05) (tstamp 908f5d5e-e371-4e15-abd0-5fe5adde2d17)) + (fp_line (start 4.58 -2.4) (end 4.58 2.4) (layer "F.CrtYd") (width 0.05) (tstamp 9225c650-7f45-45f1-96ed-b0f67d6b67e1)) + (fp_line (start 4.58 2.4) (end -4.58 2.4) (layer "F.CrtYd") (width 0.05) (tstamp 946fb1ae-f5e2-4be8-9bf3-4270f753fe3d)) + (fp_line (start -3.65 2.15) (end 3.65 2.15) (layer "F.Fab") (width 0.1) (tstamp 63904c97-3996-4fa1-9424-e90b064412c6)) + (fp_line (start 3.65 2.15) (end 3.65 -2.15) (layer "F.Fab") (width 0.1) (tstamp b0010d4c-3f90-4842-8dea-59026c924ca5)) + (fp_line (start 3.65 -2.15) (end -2.65 -2.15) (layer "F.Fab") (width 0.1) (tstamp e3a13f8e-e32f-4de5-b31b-70a57b2c050d)) + (fp_line (start -2.65 -2.15) (end -3.65 -1.15) (layer "F.Fab") (width 0.1) (tstamp e7cba1e1-a5da-4f82-9003-4eee188c136a)) + (fp_line (start -3.65 -1.15) (end -3.65 2.15) (layer "F.Fab") (width 0.1) (tstamp eb5e4924-4311-4a7f-b1d3-e359b30db8d8)) + (pad "1" smd roundrect locked (at -3.2 0) (size 2.25 2.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1111111111) + (net 2 "+5V") (pintype "passive") (tstamp bce8d113-fdaa-4a91-a4e0-d13dc6866275)) + (pad "2" smd roundrect locked (at 3.2 0) (size 2.25 2.55) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1111111111) + (net 1 "GND") (pintype "passive") (tstamp 9a61ef17-fe15-40f5-b502-f5991c3bddea)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-7343-31_Kemet-D.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Diode_SMD:D_SOD-123" (layer "F.Cu") + (tedit 58645DC7) (tstamp 00000000-0000-0000-0000-00005fd62f1f) + (at 141.65 96.95) + (descr "SOD-123") + (tags "SOD-123") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000068494484") + (attr smd) + (fp_text reference "D2" (at -2.903427 0.042082 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp dd8bcdfe-317a-4253-bc62-19d966e788f7) + ) + (fp_text value "1N4148W" (at -0.05 3.25) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ac87468a-e0cd-4b25-b3ed-cecf9ebf1846) + ) + (fp_text user "${VALUE}" (at -0.11 -1.45 unlocked) (layer "F.SilkS") + (effects (font (size 0.55 0.8) (thickness 0.11))) + (tstamp f82661e0-e6df-4599-a751-f62039545339) + ) + (fp_text user "${REFERENCE}" (at 0 1.9) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 474e3a6e-02c3-46ce-8b4d-6dfbd901e25a) + ) + (fp_line (start -2.25 -1) (end -2.25 1) (layer "F.SilkS") (width 0.12) (tstamp 077eaa31-6963-42d6-aec3-672630685843)) + (fp_line (start -2.25 -1) (end 1.65 -1) (layer "F.SilkS") (width 0.12) (tstamp 9caa84ee-7699-4084-849a-edc0ad39b20a)) + (fp_line (start -2.25 1) (end 1.65 1) (layer "F.SilkS") (width 0.12) (tstamp f88db838-ec67-4497-a69c-af2b7daf50d9)) + (fp_line (start -2.35 -1.15) (end -2.35 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 01f4a2d1-d299-44d9-bee5-47dff42132be)) + (fp_line (start 2.35 1.15) (end -2.35 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 27a9f376-984c-4695-9e64-bda4c52ce061)) + (fp_line (start 2.35 -1.15) (end 2.35 1.15) (layer "F.CrtYd") (width 0.05) (tstamp 9d751f9e-ade6-460c-b6b3-949a8a301d92)) + (fp_line (start -2.35 -1.15) (end 2.35 -1.15) (layer "F.CrtYd") (width 0.05) (tstamp b75f9c12-5673-47fd-9b70-b1580d86b905)) + (fp_line (start -0.35 0) (end -0.35 -0.55) (layer "F.Fab") (width 0.1) (tstamp 022ff5e7-3fd9-4499-a49d-a5379f89b080)) + (fp_line (start 0.25 -0.4) (end 0.25 0.4) (layer "F.Fab") (width 0.1) (tstamp 2fc40c86-91f5-406c-9db1-55f5af44699f)) + (fp_line (start -1.4 0.9) (end -1.4 -0.9) (layer "F.Fab") (width 0.1) (tstamp 57c51ea4-7fc7-4d17-a644-b72b25b69380)) + (fp_line (start 1.4 0.9) (end -1.4 0.9) (layer "F.Fab") (width 0.1) (tstamp 919b0583-12c0-465c-bff9-e8490be71974)) + (fp_line (start -0.35 0) (end -0.35 0.55) (layer "F.Fab") (width 0.1) (tstamp 966026e4-c1a1-42a6-aa6f-6dabbec9f964)) + (fp_line (start -1.4 -0.9) (end 1.4 -0.9) (layer "F.Fab") (width 0.1) (tstamp a3b2ef19-2b0c-4664-aa50-3dece6e676d3)) + (fp_line (start 1.4 -0.9) (end 1.4 0.9) (layer "F.Fab") (width 0.1) (tstamp a8820ba5-987a-4851-8b8f-36b2519d173b)) + (fp_line (start -0.35 0) (end 0.25 -0.4) (layer "F.Fab") (width 0.1) (tstamp bd3eefd1-7b1e-484b-b832-fa6452984664)) + (fp_line (start -0.75 0) (end -0.35 0) (layer "F.Fab") (width 0.1) (tstamp be3db1ae-ea3b-4b6a-870f-c137d81bfd0e)) + (fp_line (start 0.25 0) (end 0.75 0) (layer "F.Fab") (width 0.1) (tstamp e42b4f88-b371-43b8-968d-62ba376b3c36)) + (fp_line (start 0.25 0.4) (end -0.35 0) (layer "F.Fab") (width 0.1) (tstamp fdba413e-d1bd-488b-95f8-ceee615bf2c3)) + (pad "1" smd rect locked (at -1.65 0) (size 0.9 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 100 "Net-(C21-Pad1)") (pinfunction "K") (pintype "passive") (tstamp 5bc5a2ce-234d-4d85-a719-536226d0c708)) + (pad "2" smd rect locked (at 1.65 0) (size 0.9 1.2) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "A") (pintype "passive") (tstamp c27a2cba-5bbb-4e29-ae5a-c18bd3c4f31b)) + (model "${KISYS3DMOD}/Diode_SMD.3dshapes/D_SOD-123.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask" (layer "F.Cu") + (tedit 618EA05F) (tstamp 00000000-0000-0000-0000-00005fda425e) + (at 102.75 108.55) + (descr "Mounting Hole 2.7mm, no annular, M2.5") + (tags "mounting hole 2.7mm no annular m2.5") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e30ca87") + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 -3.7) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a52d3125-cc0f-4ab7-8b94-0f6cc0666b9f) + ) + (fp_text value "MountingHole" (at 0 3.7) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 30164171-d542-4e06-96f9-67567dcdd68a) + ) + (fp_text user "${REFERENCE}" (at 0.002611 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 20b9db3d-7228-4596-b6cd-10047a2da62c) + ) + (fp_circle (center 0 0) (end 2.5 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp f5bb4bec-b480-4ec7-a4fa-3114ac4e8092)) + (fp_circle (center 0 0) (end 2.5 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 1efb1a48-c25a-46b6-a63f-decc02d46be1)) + (pad "" np_thru_hole circle locked (at 0 0) (size 5 5) (drill 2.7) (layers *.Mask) + (clearance 1.2) (tstamp a3608b21-4af3-480b-b35e-b98d1ee7e0aa)) + ) + + (footprint "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask" (layer "F.Cu") + (tedit 618EA05F) (tstamp 00000000-0000-0000-0000-00005fda4276) + (at 196 108.55) + (descr "Mounting Hole 2.7mm, no annular, M2.5") + (tags "mounting hole 2.7mm no annular m2.5") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e3124c4") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 -3.7) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 61e45330-8888-4e85-a32c-2a0cbbdc9bcf) + ) + (fp_text value "MountingHole" (at 0 3.7) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8f81a6fb-33ce-43cc-a23e-e8bfc38547e7) + ) + (fp_text user "${REFERENCE}" (at 0.002611 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 76bb19e7-4c96-4974-ad5e-2d7beea2412f) + ) + (fp_circle (center 0 0) (end 2.5 0) (layer "Cmts.User") (width 0.15) (fill none) (tstamp f301107a-0dd7-4d02-a3cf-28c47b915ecb)) + (fp_circle (center 0 0) (end 2.5 0) (layer "F.CrtYd") (width 0.05) (fill none) (tstamp 38453f34-173c-4899-9deb-83fa31635568)) + (pad "" np_thru_hole circle locked (at 0 0) (size 5 5) (drill 2.7) (layers *.Mask) + (clearance 1.2) (tstamp 9f86d381-c097-4c6b-957c-e5cc77120936)) + ) + + (footprint "my:TQFP-44_14x14mm_P0.8mm" (layer "F.Cu") + (tedit 5DB37615) (tstamp 00000000-0000-0000-0000-00005fdaf2e8) + (at 184.675 99.025 -135) + (descr "44-Lead Plastic Thin Quad Flatpack (PT) - 14x14x1.0 mm Body [TQFP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "QFP 0.8") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005a82bf7b") + (attr smd) + (fp_text reference "U4" (at -5.833631 5.939697 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 304e1cd9-5d11-44eb-b8b2-c9d18042af08) + ) + (fp_text value "Z84C0020PEC " (at -0.035355 -0.424264) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d60ac549-0265-45cd-a18f-f8241ca5da5a) + ) + (fp_text user "${VALUE}" (at 7.035712 -7.071068 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0c59a4f0-0210-4b8d-8ca9-c15a0a94f884) + ) + (fp_text user "${REFERENCE}" (at -1.166726 1.272792) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b14d7c71-7562-48a7-99a3-d7e051332da2) + ) + (fp_line (start -5.175 5.175) (end -4.5 5.175) (layer "F.SilkS") (width 0.15) (tstamp 1ad9b7d9-4ec4-4063-b2a9-2c92f6baca79)) + (fp_line (start -5.175 5.175) (end -5.175 4.5) (layer "F.SilkS") (width 0.15) (tstamp 2bc11292-ed1f-434c-8644-392b991a8f91)) + (fp_line (start -5.175 -5.175) (end -5.175 -4.6) (layer "F.SilkS") (width 0.15) (tstamp 3bedea3b-b93f-4e83-b0ca-9e2528d21156)) + (fp_line (start -5.175 -5.175) (end -4.5 -5.175) (layer "F.SilkS") (width 0.15) (tstamp 5ccdcae4-27b7-4064-9f43-04c4ade9294f)) + (fp_line (start 5.175 5.175) (end 5.175 4.5) (layer "F.SilkS") (width 0.15) (tstamp 6531cefd-b0f4-455c-9f00-41b87e4fac0f)) + (fp_line (start 5.175 5.175) (end 4.5 5.175) (layer "F.SilkS") (width 0.15) (tstamp 77aef5aa-66c8-45b8-8b78-8dd7cd743e9a)) + (fp_line (start 5.175 -5.175) (end 5.175 -4.5) (layer "F.SilkS") (width 0.15) (tstamp b33e5040-34a5-4f13-876c-437cf224d974)) + (fp_line (start -5.175 -4.6) (end -6.45 -4.6) (layer "F.SilkS") (width 0.15) (tstamp c769856a-36fc-4c69-bea3-1859b58389d2)) + (fp_line (start 5.175 -5.175) (end 4.5 -5.175) (layer "F.SilkS") (width 0.15) (tstamp f20b7f4f-4ada-47ab-a212-44a25055f86f)) + (fp_line (start -6.7 -6.7) (end -6.7 6.7) (layer "F.CrtYd") (width 0.05) (tstamp 02280924-800a-420d-8ecf-372f6b688a7b)) + (fp_line (start -6.7 6.7) (end 6.7 6.7) (layer "F.CrtYd") (width 0.05) (tstamp 288f414f-8795-45ea-b7f9-dc1d60cdb994)) + (fp_line (start 6.7 -6.7) (end 6.7 6.7) (layer "F.CrtYd") (width 0.05) (tstamp 77d82793-3322-44b2-9c87-d8bcff99f6d5)) + (fp_line (start -6.7 -6.7) (end 6.7 -6.7) (layer "F.CrtYd") (width 0.05) (tstamp 8aa0a8b2-d8f9-44a2-a545-20f83275aae4)) + (fp_line (start -5 -4) (end -4 -5) (layer "F.Fab") (width 0.15) (tstamp 20cb7359-b4a6-40a9-9a12-cb5e6bdada84)) + (fp_line (start -5 5) (end -5 -4) (layer "F.Fab") (width 0.15) (tstamp 5287efae-4c8d-4dad-9608-9876095a6225)) + (fp_line (start 5 5) (end -5 5) (layer "F.Fab") (width 0.15) (tstamp 5cdf297c-230f-416f-8fe2-4c786bc8f6d6)) + (fp_line (start -4 -5) (end 5 -5) (layer "F.Fab") (width 0.15) (tstamp 6255fe73-60dc-4374-b9dd-a7b5c15c0c7a)) + (fp_line (start 5 -5) (end 5 5) (layer "F.Fab") (width 0.15) (tstamp 83b4c509-f3c6-46ab-947c-fcf110cdb2a0)) + (pad "1" smd rect locked (at -6.2 -4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 37 "/CLKCPU") (pinfunction "~CLK~") (pintype "input") (tstamp 3996a5a9-e830-451e-b4eb-1296244ed483)) + (pad "2" smd rect locked (at -6.2 -3.2 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "/D4") (pinfunction "D4") (pintype "bidirectional") (tstamp 268137be-1c25-4ab6-bd6a-ad6e42d3609c)) + (pad "3" smd rect locked (at -6.2 -2.4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "/D3") (pinfunction "D3") (pintype "bidirectional") (tstamp 243c4a6f-eee6-4ee3-8987-c78e22c6c25f)) + (pad "4" smd rect locked (at -6.2 -1.6 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "/D5") (pinfunction "D5") (pintype "bidirectional") (tstamp ac6d3965-2d33-4cfc-ac62-9fedad8dd41c)) + (pad "5" smd rect locked (at -6.2 -0.8 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "/D6") (pinfunction "D6") (pintype "bidirectional") (tstamp 0ef420aa-4446-47ac-a747-19f1ccc05563)) + (pad "6" smd rect locked (at -6.2 0 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp b1c9ca1a-337b-4317-b3dc-f2d169d4b538)) + (pad "7" smd rect locked (at -6.2 0.8 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "/D2") (pinfunction "D2") (pintype "bidirectional") (tstamp 5b7c04f2-21d0-4fac-a7ed-04db61a18d83)) + (pad "8" smd rect locked (at -6.2 1.6 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "/D7") (pinfunction "D7") (pintype "bidirectional") (tstamp 0ead3d64-4995-48b2-9770-3bf91cb1a16c)) + (pad "9" smd rect locked (at -6.2 2.4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "/D0") (pinfunction "D0") (pintype "bidirectional") (tstamp c2c5f236-30a5-41f9-872d-d6ba6cba7b9f)) + (pad "10" smd rect locked (at -6.2 3.2 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "/D1") (pinfunction "D1") (pintype "bidirectional") (tstamp 7153ea99-9ab0-465b-8a36-13cf1fa143c2)) + (pad "11" smd rect locked (at -6.2 4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "NC") (pintype "no_connect") (tstamp 088ee607-739c-4eea-9cf2-d5b0af64f485)) + (pad "12" smd rect locked (at -4 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 15 "/~INT") (pinfunction "~INT~") (pintype "input") (tstamp 33977af6-cad6-4bd0-997e-96c58d1c6a0c)) + (pad "13" smd rect locked (at -3.2 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 38 "/~NMI") (pinfunction "~NMI~") (pintype "input") (tstamp 552ddb40-d2e1-491e-8204-55baa7e4da7d)) + (pad "14" smd rect locked (at -2.4 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "~HALT~") (pintype "output+no_connect") (tstamp 483abc22-dc58-4424-85ab-c501da39920b)) + (pad "15" smd rect locked (at -1.6 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "/~MREQ") (pinfunction "~MREQ~") (pintype "output") (tstamp 32c56a08-1cd7-4b83-ae1e-5b27bf76d63f)) + (pad "16" smd rect locked (at -0.8 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "/~IORQ") (pinfunction "~IORQ~") (pintype "output") (tstamp 5f17b8ca-770c-4a04-87e3-07571b20dd54)) + (pad "17" smd rect locked (at 0 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "NC") (pintype "no_connect") (tstamp 8c3404fb-d40f-4a38-bfe7-de6c6857bcd0)) + (pad "18" smd rect locked (at 0.8 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "/~RD") (pinfunction "~RD~") (pintype "output") (tstamp 65bf7e1b-008e-43a1-a605-51fd87259011)) + (pad "19" smd rect locked (at 1.6 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 25 "/~WR") (pinfunction "~WR~") (pintype "output") (tstamp b76f2a95-1124-4e21-8fd0-646881d49bb3)) + (pad "20" smd rect locked (at 2.4 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "~BUSACK~") (pintype "output+no_connect") (tstamp 4b81ea26-68f3-40c1-9374-ee3691722cf8)) + (pad "21" smd rect locked (at 3.2 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "~WAIT~") (pintype "input") (tstamp 4331fb0a-7502-497d-bac5-a436f4796190)) + (pad "22" smd rect locked (at 4 6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "~BUSRQ~") (pintype "input") (tstamp acc08e77-9eba-48fe-95ff-233bfc36f342)) + (pad "23" smd rect locked (at 6.2 4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "/~RSTCPU") (pinfunction "~RESET~") (pintype "input") (tstamp 71fa6e70-4ae7-44a2-992c-9780ce95e35f)) + (pad "24" smd rect locked (at 6.2 3.2 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 26 "/~M1") (pinfunction "~M1~") (pintype "output") (tstamp ab267aa3-d39c-41ae-986a-1aea36bf5a58)) + (pad "25" smd rect locked (at 6.2 2.4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "/~RFSH") (pinfunction "~RFSH~") (pintype "output") (tstamp cbc43978-9088-4915-a5ba-a046e6a58084)) + (pad "26" smd rect locked (at 6.2 1.6 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 35c07672-be05-4963-bcdc-1b6652f64396)) + (pad "27" smd rect locked (at 6.2 0.8 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "/A0") (pinfunction "A0") (pintype "output") (tstamp c9011069-518d-4559-adb4-bad0369cf62c)) + (pad "28" smd rect locked (at 6.2 0 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "/A1") (pinfunction "A1") (pintype "output") (tstamp 22cd76fc-2f71-40fa-9ca5-d642964faeb1)) + (pad "29" smd rect locked (at 6.2 -0.8 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "/A2") (pinfunction "A2") (pintype "output") (tstamp a9946c7b-5fe5-4372-9e0f-26118c67ea9c)) + (pad "30" smd rect locked (at 6.2 -1.6 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "/A3") (pinfunction "A3") (pintype "output") (tstamp 113a0101-c83a-43b6-bd5e-66e4ca14153e)) + (pad "31" smd rect locked (at 6.2 -2.4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "/A4") (pinfunction "A4") (pintype "output") (tstamp 23e6860b-342b-4f21-929c-d733c6add685)) + (pad "32" smd rect locked (at 6.2 -3.2 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "/A5") (pinfunction "A5") (pintype "output") (tstamp 2a07d09c-d282-4ce0-9a86-2dcbd5dcd77d)) + (pad "33" smd rect locked (at 6.2 -4 225) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "NC") (pintype "no_connect") (tstamp d7acce6a-1b8f-4eea-909b-548f5ce9b556)) + (pad "34" smd rect locked (at 4 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "/A6") (pinfunction "A6") (pintype "output") (tstamp 602224e4-708e-48b9-9eb7-02d5ac540648)) + (pad "35" smd rect locked (at 3.2 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "/A7") (pinfunction "A7") (pintype "output") (tstamp 0b060e64-f1af-4bf5-a1b4-bc1368caf7df)) + (pad "36" smd rect locked (at 2.4 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "/A8") (pinfunction "A8") (pintype "output") (tstamp 4a199e50-9100-4535-bf56-8b6f2293095f)) + (pad "37" smd rect locked (at 1.6 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "/A9") (pinfunction "A9") (pintype "output") (tstamp d1f560f2-d879-4471-97aa-904dbb2e533a)) + (pad "38" smd rect locked (at 0.8 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "/A10") (pinfunction "A10") (pintype "output") (tstamp 8ef5f7ce-e965-4b82-a4f8-54a0b8a6a005)) + (pad "39" smd rect locked (at 0 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (pinfunction "NC") (pintype "no_connect") (tstamp 68045da6-0a61-4496-b82c-d07501d869e8)) + (pad "40" smd rect locked (at -0.8 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 36 "/A11") (pinfunction "A11") (pintype "output") (tstamp c358c160-077c-47e2-9fa1-05d6882faff9)) + (pad "41" smd rect locked (at -1.6 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "/A12") (pinfunction "A12") (pintype "output") (tstamp fc278326-9bda-4d7e-b165-5b2112ef3437)) + (pad "42" smd rect locked (at -2.4 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "/A13") (pinfunction "A13") (pintype "output") (tstamp 85803f1f-25fd-49ea-9851-d1df2025ed57)) + (pad "43" smd rect locked (at -3.2 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "/A14") (pinfunction "A14") (pintype "output") (tstamp 2fb86f29-3519-43c4-9fd7-86db36b6c65a)) + (pad "44" smd rect locked (at -4 -6.2 315) (size 2.5 0.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "/A15") (pinfunction "A15") (pintype "output") (tstamp b7a4d69e-a638-48a8-b93d-64b2d7bcc227)) + (model "${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-44_10x10mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1.5)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fdaf3ac) + (at 196.825 89.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000617ac36b") + (attr smd) + (fp_text reference "C11" (at 0 1.55 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 35b4610e-5c9d-43fa-953e-90c69a950f83) + ) + (fp_text value "1u" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ed0176b8-c7ec-40ba-8b94-338761849919) + ) + (fp_text user "${VALUE}" (at 0 2.83 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 490a0458-446d-460e-a5c2-8ba060fd2ba1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e47d94db-bfcf-44a3-a4d3-802991130dfd) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 3e594bcb-add6-4540-a465-1f31179cb98f)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 7fed96a9-c64e-4937-b1c8-9aa2fbc250a9)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1d3bec3f-d4f7-428a-bdd1-e2d3b98551f5)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 361f788b-0cb9-4264-97b7-eb661d287122)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4df5f183-5133-4fc3-82d6-bf5726570fef)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp aaa6912e-e52c-4e30-8cca-449e0c7fb892)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 483e5e8f-202b-4a67-ac00-e9b801d84f5b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e87d021b-8f03-45c7-b290-a22b8fce6b52)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp efb26838-2479-48ec-8735-e11ebd301ac1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fe2a5df7-9ae1-4881-a82a-ac8931f5558f)) + (pad "1" smd roundrect locked (at -0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 49d5ce94-303a-4ad7-8e5f-37dfa709ed86)) + (pad "2" smd roundrect locked (at 0.8625 0) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp fb0959c4-de2c-4bfb-96f0-bda3b8106692)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fdb3343) + (at 191.724784 92.075216 45) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000061096021") + (attr smd) + (fp_text reference "C12" (at 3.326883 0.098044 315 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 3b847705-6bf4-4f2c-bb08-cba92064ab9e) + ) + (fp_text value "100n" (at 2.192336 -0.035355 315 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4333357a-adf7-4157-9484-7ca49862c690) + ) + (fp_text user "${VALUE}" (at 2.195512 0.098044 315 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp f4df6f64-9074-4bee-90bb-52b052d51eac) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp bb1361e6-9361-4d50-bd40-6b7f52ac31a3) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8de92064-6b05-4991-9eb3-c6941cc531dd)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp bca6e6d3-809f-48e9-8c2e-33fbf5263ff8)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 65c0fc3e-d8b0-4737-ac09-ff497a3e1506)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8b50b8ec-594c-4412-93ab-8359fbbefe33)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp cc18ce1a-d35e-4292-ba86-5fa6ff8367ad)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp f00abd1f-c0cb-4233-acd9-1697ea2ae400)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3e497b47-198f-48a0-866b-c419913c100a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 606de03e-2a15-4373-9942-fc7d9606144b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cbb1ffd2-0a53-4cf7-94a0-d6668bc96643)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e671f905-4f3e-4974-a9fd-4c01d37f891d)) + (pad "1" smd roundrect locked (at -0.8625 0 45) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 4413bb62-481a-41c7-9046-a3d68d2dda84)) + (pad "2" smd roundrect locked (at 0.8625 0 45) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp afc97ccf-c31d-4488-8482-01546999f1e0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fdfa38a) + (at 195.65 99.05 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005fd0e95c") + (attr smd) + (fp_text reference "R1" (at -0.557918 -1.601335 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 997272d2-0cac-48a0-af32-5f9370bb61a6) + ) + (fp_text value "2.2k" (at 1.95 -0.05) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 599435c1-7d9b-4042-9c6a-5b5d27d257c9) + ) + (fp_text user "${VALUE}" (at 0.942082 -2.096573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 31b3c4b2-e564-4ef7-b750-de9272e0c5f5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e434657b-0384-4de8-a244-462177bc1405) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 539a562b-41dd-4602-8c93-b3d564f70189)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 6dbf1096-41ef-4c1f-bf7a-5297719cc3f6)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 72657d84-8daa-44bd-a865-dd67879f5bda)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8bc77ae4-03f6-4836-8515-00b767d388a7)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp dd7f46fb-4600-4e0e-8e82-d7e393a33614)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f5434141-4c73-4a92-aeee-a34111672aac)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0aaf0bff-67ae-493f-841c-11ab9a7d19a6)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 151bc2da-52f0-4cf5-942a-cabef20f29a1)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 2f88ce84-e5c8-49cd-b0ad-497f2807c646)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp f9d33d69-88d7-4893-a47d-18439cc721b1)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "/CLKCPU") (pintype "passive") (tstamp 6cd7b1cc-2434-4b1c-9c10-c09ee2db2157)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp fb4de033-5605-468c-8b07-6fcb71d6ac8d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder" (layer "F.Cu") + (tedit 5EBA9318) (tstamp 00000000-0000-0000-0000-00005fea3ef4) + (at 131.3 107.42 -90) + (descr "Tantalum Capacitor SMD Kemet-B (3528-21 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ff4ff9e") + (attr smd) + (fp_text reference "C27" (at -3.327918 -0.046573) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp ec223350-e68a-4f5e-a8be-1756961a0188) + ) + (fp_text value "47u/6.3V" (at 3.58 0.05 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d763ca15-ce9b-427a-9822-9bebcd036230) + ) + (fp_text user "${VALUE}" (at 3.272082 0.953427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 778f7a4d-7d3e-4dfd-9c69-b42000615390) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.88 0.88) (thickness 0.13))) + (tstamp d3aa4750-8bcf-400c-8c76-753895dd9e41) + ) + (fp_line (start -2.635 -1.51) (end -2.635 1.51) (layer "F.SilkS") (width 0.12) (tstamp 0f42ad6c-20df-4c23-8f8e-beb6f571569f)) + (fp_line (start -2.635 1.51) (end 1.75 1.51) (layer "F.SilkS") (width 0.12) (tstamp 1e48c31f-6261-4324-991e-39374dce1000)) + (fp_line (start 1.75 -1.51) (end -2.635 -1.51) (layer "F.SilkS") (width 0.12) (tstamp 87c70d83-a6f1-4e7e-8d85-1e446157a953)) + (fp_line (start -2.62 1.65) (end -2.62 -1.65) (layer "F.CrtYd") (width 0.05) (tstamp 1643cf2b-4912-4c84-831f-8fb10672f74c)) + (fp_line (start 2.62 1.65) (end -2.62 1.65) (layer "F.CrtYd") (width 0.05) (tstamp 8b76f1e7-29c5-44ca-8e9c-e45c0aaec25f)) + (fp_line (start 2.62 -1.65) (end 2.62 1.65) (layer "F.CrtYd") (width 0.05) (tstamp aef27f38-4499-4cf2-9b98-8a6d410eae26)) + (fp_line (start -2.62 -1.65) (end 2.62 -1.65) (layer "F.CrtYd") (width 0.05) (tstamp da9d0209-96ec-43b9-bb8c-82caaf31cccc)) + (fp_line (start 1.75 1.4) (end 1.75 -1.4) (layer "F.Fab") (width 0.1) (tstamp 233c6234-b9a9-47cb-88df-0bfbb9ca5da6)) + (fp_line (start -1.05 -1.4) (end -1.75 -0.7) (layer "F.Fab") (width 0.1) (tstamp 67a03ade-8637-47ea-9303-ef7071916091)) + (fp_line (start 1.75 -1.4) (end -1.05 -1.4) (layer "F.Fab") (width 0.1) (tstamp 86daf351-594b-4def-9e99-2ed5a2be2297)) + (fp_line (start -1.75 -0.7) (end -1.75 1.4) (layer "F.Fab") (width 0.1) (tstamp a51cf645-2088-4b10-9342-57d9be5b5a6b)) + (fp_line (start -1.75 1.4) (end 1.75 1.4) (layer "F.Fab") (width 0.1) (tstamp aad8ebb3-d568-4659-9586-6ce95f8e5b30)) + (pad "1" smd roundrect locked (at -1.625 0 270) (size 1.5 2.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1666673333) + (net 3 "+3V3") (pintype "passive") (tstamp 543e455f-30ef-4811-8b8b-f85af87e733a)) + (pad "2" smd roundrect locked (at 1.625 0 270) (size 1.5 2.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1666673333) + (net 1 "GND") (pintype "passive") (tstamp 36b989b1-0b93-4554-93b2-bf5dc5d5ddce)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3528-21_Kemet-B.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fea5e70) + (at 105 103.492082 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00008f936d62") + (attr smd) + (fp_text reference "C1" (at 0 -1.307918 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.12))) + (tstamp 893fc969-7b40-42c2-9e97-bc7728d2d690) + ) + (fp_text value "100n" (at 0 -1.507918) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 18404dde-fe20-4a5a-9b9a-29c72d5c96dd) + ) + (fp_text user "${VALUE}" (at 0 -2.307918 unlocked) (layer "F.SilkS") + (effects (font (size 0.7 0.7) (thickness 0.12))) + (tstamp 28a018ac-7b11-448b-89e0-f90623807d7c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 9feb6773-3da6-4bbe-8640-b7ea341aa653) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 3da5ab5a-c0be-49f1-a015-9c7b59fffd1f)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 3e1d0688-f595-451d-89ce-50a6db28e0d2)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 77e76d95-c7bf-468d-92ef-270421a0b1a9)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7a251080-4a35-488c-aa38-b8061e8e15ae)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8517d1bc-83f1-4b3e-9bd9-d312fc84c60f)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b30c9d9f-90f7-441c-84e5-3b468503cd2d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 38ab9843-b88b-40c6-ae57-143443aae20d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 599ad7ce-e71e-479e-9bdb-80260665f779)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 76a2c783-4761-4498-bf45-79ef86621887)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fa03a106-9e89-419a-8343-cfa6058e3178)) + (pad "1" smd roundrect locked (at -0.8625 0 180) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 9e08b531-965c-43d8-83df-2cfff62b3ea7)) + (pad "2" smd roundrect locked (at 0.8625 0 180) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "+5V") (pintype "passive") (tstamp 78188ed0-a976-458c-a8bb-021c65a5f758)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005feaf756) + (at 111.446573 107.4) + (descr "module CMS SOT223 4 pins") + (tags "CMS SOT") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000065ff82cb") + (attr smd) + (fp_text reference "U2" (at 3.2 -2.925 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp c2fe7d72-e464-49d0-b4c7-d77ffdd8487e) + ) + (fp_text value "1117-1.5" (at 0 0.8) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 12c16515-ce51-4d0e-8c85-e3c60e054429) + ) + (fp_text user "${VALUE}" (at 0 0 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5dc5db71-bf92-4558-9c5b-f2e721046853) + ) + (fp_text user "${REFERENCE}" (at 0 -0.925) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ee8f1545-6428-48d0-9f18-a50c16206d88) + ) + (fp_line (start 1.91 -3.41) (end 1.91 -2.15) (layer "F.SilkS") (width 0.12) (tstamp 16a53596-a93b-4297-a80c-178fc9688dff)) + (fp_line (start -1.85 3.41) (end 1.91 3.41) (layer "F.SilkS") (width 0.12) (tstamp 2c99b93e-403d-4cbd-b0d6-d9f9c76fe6c9)) + (fp_line (start 1.91 3.41) (end 1.91 2.15) (layer "F.SilkS") (width 0.12) (tstamp 4d219c31-8bba-44eb-89f9-a20351002f22)) + (fp_line (start -4.1 -3.41) (end 1.91 -3.41) (layer "F.SilkS") (width 0.12) (tstamp fc82d6aa-77f7-46ed-8936-631b44208664)) + (fp_line (start -4.4 3.6) (end 4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 04d01d05-8353-4272-95de-5a653dc09591)) + (fp_line (start -4.4 -3.6) (end -4.4 3.6) (layer "F.CrtYd") (width 0.05) (tstamp 1da3ad80-68de-415d-b6fb-9073d54d4a79)) + (fp_line (start 4.4 -3.6) (end -4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp 956b2197-fd09-4391-a86b-a29ee3fbf22c)) + (fp_line (start 4.4 3.6) (end 4.4 -3.6) (layer "F.CrtYd") (width 0.05) (tstamp b363e218-c212-4559-8cda-e89f91985c9d)) + (fp_line (start -0.85 -3.35) (end 1.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp 066034ab-b2aa-4a47-acd3-d080c49cba31)) + (fp_line (start 1.85 -3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp 7ffad9c6-a997-40c2-8029-1b73a3f54bd2)) + (fp_line (start -1.85 -2.35) (end -0.85 -3.35) (layer "F.Fab") (width 0.1) (tstamp aa2bdb62-88b2-495a-8c6f-a8144d210c57)) + (fp_line (start -1.85 3.35) (end 1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp eab8b218-856e-499b-8a7f-143606b129bc)) + (fp_line (start -1.85 -2.35) (end -1.85 3.35) (layer "F.Fab") (width 0.1) (tstamp ef176e6f-5b67-462e-a4d7-70100d05dae4)) + (pad "1" smd rect locked (at -3.15 -2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp dd41013a-8ede-4c51-a78c-9d879e2afa75)) + (pad "2" smd rect locked (at -3.15 0) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 81 "+1V5") (pinfunction "VO") (pintype "power_out") (tstamp 8f90da42-e10b-4f4c-88a1-e96ff6b5f2cf)) + (pad "2" smd rect locked (at 3.15 0) (size 2 3.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 81 "+1V5") (pinfunction "VO") (pintype "power_out") (tstamp eeb87419-e188-45e8-939a-9cb491bbe1fb)) + (pad "3" smd rect locked (at -3.15 2.3) (size 2 1.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "+5V") (pinfunction "VI") (pintype "power_in") (tstamp 0a6a00ab-9091-4498-a4a5-0e3d383aae1a)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-223.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder" (layer "F.Cu") + (tedit 5EBA9318) (tstamp 00000000-0000-0000-0000-00005feafcad) + (at 117.846573 107.42 -90) + (descr "Tantalum Capacitor SMD Kemet-B (3528-21 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000907e426e") + (attr smd) + (fp_text reference "C3" (at -3.327918 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp d4e5b45c-ebc7-4a43-8801-dfe9066a98cf) + ) + (fp_text value "47u/6.3V" (at 3.58 0.096573 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2bae0d81-f800-403d-bee7-e5ec5798a143) + ) + (fp_text user "${VALUE}" (at 3.272082 0.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp f05bb9af-0519-4c94-a319-43fd7dc4bf76) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.88 0.88) (thickness 0.13))) + (tstamp 363b743d-f7fe-430f-9aba-01bef6357b36) + ) + (fp_line (start -2.635 1.51) (end 1.75 1.51) (layer "F.SilkS") (width 0.12) (tstamp 1b93c2e3-58e2-4862-8d96-d02c380abfc9)) + (fp_line (start 1.75 -1.51) (end -2.635 -1.51) (layer "F.SilkS") (width 0.12) (tstamp 73b33734-c94c-4a94-b5e0-e840df94a371)) + (fp_line (start -2.635 -1.51) (end -2.635 1.51) (layer "F.SilkS") (width 0.12) (tstamp a24eedeb-68bf-4f40-a729-198020afc9d8)) + (fp_line (start -2.62 -1.65) (end 2.62 -1.65) (layer "F.CrtYd") (width 0.05) (tstamp 595ddca9-7fa4-4d79-9584-04e7b837b6cb)) + (fp_line (start 2.62 -1.65) (end 2.62 1.65) (layer "F.CrtYd") (width 0.05) (tstamp 88099f11-558c-4cdb-b0d7-e45a7674d600)) + (fp_line (start -2.62 1.65) (end -2.62 -1.65) (layer "F.CrtYd") (width 0.05) (tstamp a1aa54a0-729d-4be0-b114-934bdfdf2603)) + (fp_line (start 2.62 1.65) (end -2.62 1.65) (layer "F.CrtYd") (width 0.05) (tstamp cf81a64c-c748-4e03-8fed-31491cdb7f60)) + (fp_line (start 1.75 -1.4) (end -1.05 -1.4) (layer "F.Fab") (width 0.1) (tstamp 656315a0-6fe5-45c1-afe4-a54af99edbdb)) + (fp_line (start -1.75 -0.7) (end -1.75 1.4) (layer "F.Fab") (width 0.1) (tstamp 7f620798-9d3d-4efc-a01b-1438c917acd5)) + (fp_line (start -1.05 -1.4) (end -1.75 -0.7) (layer "F.Fab") (width 0.1) (tstamp 99ffa34b-e3d2-4a18-aaa9-437f16c0edff)) + (fp_line (start -1.75 1.4) (end 1.75 1.4) (layer "F.Fab") (width 0.1) (tstamp cad73ca2-8d56-4200-a191-fdca119c0ba7)) + (fp_line (start 1.75 1.4) (end 1.75 -1.4) (layer "F.Fab") (width 0.1) (tstamp ef20d981-73ca-4233-8302-1a6c728cf357)) + (pad "1" smd roundrect locked (at -1.625 0 270) (size 1.5 2.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1666673333) + (net 81 "+1V5") (pintype "passive") (tstamp d4b35df6-d36a-4a34-b53d-8eddc95d9967)) + (pad "2" smd roundrect locked (at 1.625 0 270) (size 1.5 2.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1666673333) + (net 1 "GND") (pintype "passive") (tstamp 7584d995-fe56-4a3d-94b9-b5f5fddf2686)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3528-21_Kemet-B.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff095af) + (at 150.375 96.092082 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000784ee132") + (attr smd) + (fp_text reference "R35" (at -0.7 1.371573 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e2dedf97-6c06-406a-abdc-fb8d82333b24) + ) + (fp_text value "1k" (at -1.707918 0.625 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e3f130d2-e81d-460f-a620-1eb46d6e3967) + ) + (fp_text user "${VALUE}" (at 1.4 1.271573 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 57f2f17d-36f9-46d1-817f-3047e986b7bb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp c88aa62e-1552-4b17-802b-bea217eae22e) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp a953841d-65f1-438b-ba4e-6a5d02509a79)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp c1630fa5-ba68-4a2a-bc00-f63a155e54cf)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2c3499c1-76b4-4bf2-94bd-41d8f05fc44c)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 55585219-26d2-409e-8c0c-7799998c0c11)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 9852081d-8727-411f-b0b4-3de552d66282)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp f745e9bb-933e-4bd6-adfa-a82484d1f64a)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 00f55b87-8e16-46cb-b1e6-5324d473ad4a)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 96bb0f86-7eca-4602-a5c5-d839c2980b57)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 987a1ecb-0169-4be5-a50b-6b51ff66045e)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp f2cf2287-5976-46a6-ac0a-5659f2f36094)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "Net-(Q4-Pad3)") (pintype "passive") (tstamp 585dcbb1-763a-4748-b640-e2b4de5bb79c)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/SD_MISO\\TAPE") (pintype "passive") (tstamp 541d75c5-cb1f-445a-a688-6e3f01e6f41d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-23" (layer "F.Cu") + (tedit 5A02FF57) (tstamp 00000000-0000-0000-0000-00005ff281d2) + (at 150.3 108.95 -90) + (descr "SOT-23, Standard") + (tags "SOT-23") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000615fbac2") + (attr smd) + (fp_text reference "Q3" (at -3.95 -2.45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 9a7b65e9-b69c-4303-ab2a-7ba36724ef93) + ) + (fp_text value "BSS138" (at -1.35 0.1) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 791faa73-cb1c-4168-a81a-c09a626b256a) + ) + (fp_text user "${VALUE}" (at -2.85 -2.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp cd97e3ba-d8b9-4672-a7b2-ce5194a8393d) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 7afecbc8-e2e6-4d01-b4a0-dc1e55a0d5e9) + ) + (fp_line (start 0.76 -1.58) (end 0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp 17a36a7f-ce31-4e9c-9f68-554d03621512)) + (fp_line (start 0.76 1.58) (end 0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp 47994415-5715-4cae-9965-d1f23c980ebd)) + (fp_line (start 0.76 1.58) (end -0.7 1.58) (layer "F.SilkS") (width 0.12) (tstamp b039d33b-dd39-4fe1-9f23-e40e6e5f6372)) + (fp_line (start 0.76 -1.58) (end -1.4 -1.58) (layer "F.SilkS") (width 0.12) (tstamp b5b056e6-ba57-4eae-810c-e525617da50a)) + (fp_line (start -1.7 1.75) (end -1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp 3620ad66-3c63-4286-9988-7d04cec76583)) + (fp_line (start 1.7 1.75) (end -1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp 8d5c818e-2b80-4a61-a40e-ad6a0ebbbc70)) + (fp_line (start -1.7 -1.75) (end 1.7 -1.75) (layer "F.CrtYd") (width 0.05) (tstamp c8932c27-fded-4390-b89b-0211eef4a4ba)) + (fp_line (start 1.7 -1.75) (end 1.7 1.75) (layer "F.CrtYd") (width 0.05) (tstamp cb208d08-b83a-46b3-8709-3ef26d447066)) + (fp_line (start -0.7 -0.95) (end -0.7 1.5) (layer "F.Fab") (width 0.1) (tstamp 385ae63e-a43f-446c-b89e-d01ee1bcaa05)) + (fp_line (start -0.7 -0.95) (end -0.15 -1.52) (layer "F.Fab") (width 0.1) (tstamp 602a5ad4-cf39-4c91-a050-7f03cc10b7f6)) + (fp_line (start -0.7 1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 6176c2d7-e101-4948-8202-0bfc2e59b218)) + (fp_line (start -0.15 -1.52) (end 0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp ab32ed01-769f-4259-b543-e81ef0847c4f)) + (fp_line (start 0.7 -1.52) (end 0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp c2ecf400-cd79-4cbd-9a54-2e2c8d81b2e4)) + (pad "1" smd rect locked (at -1 -0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "G") (pintype "input") (tstamp 68bfaee4-50f2-47a4-800f-790eae19b279)) + (pad "2" smd rect locked (at -1 0.95 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 79 "/PS2_CLK") (pinfunction "S") (pintype "passive") (tstamp 64f99c36-5b4b-4f3f-a2eb-76d8f57ccdb8)) + (pad "3" smd rect locked (at 1 0 270) (size 0.9 0.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 119 "Net-(J5-Pad3)") (pinfunction "D") (pintype "passive") (tstamp 35aaf3db-53e5-4880-8593-e4f8f13435c2)) + (model "${KISYS3DMOD}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" (layer "F.Cu") + (tedit 59FED5CC) (tstamp 00000000-0000-0000-0000-00005ff29228) + (at 139.1 109.95 90) + (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x04 2.54mm single row") + (property "Desc" "PS/2") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000633925aa") + (attr through_hole) + (fp_text reference "J5" (at 3.15 3.84 unlocked) (layer "F.SilkS") hide + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp d6e18bf7-26c3-4247-a136-96af5a6b67c5) + ) + (fp_text value "Pin header 1x4 Pitch=2.54mm" (at -2.75 3.9) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d8d3162b-9d95-4128-ae98-1b831fb9b07c) + ) + (fp_text user "G" (at 2.2 8.15) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 0573b0e1-fb56-4e40-bc1c-4ed59ad63e08) + ) + (fp_text user "C" (at 2.2 6.4) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 23558bbd-fa22-4098-b725-85245c14d128) + ) + (fp_text user "+5" (at 2.2 -0.6) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp d59bc519-b984-4a97-9207-0b2ec9cd096d) + ) + (fp_text user "D" (at 2.2 1.2) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp e4887c9b-6f36-48c9-862b-7e29b72c7cdc) + ) + (fp_text user "PS/2" (at 2.2 3.9) (layer "F.SilkS") + (effects (font (size 1 0.9) (thickness 0.2))) + (tstamp fa31da06-ab51-4230-abe7-041887d86c46) + ) + (fp_text user "${REFERENCE}" (at 0 3.81) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 2a7d1b1d-1568-49a1-b500-7e2c72bda394) + ) + (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer "F.SilkS") (width 0.12) (tstamp 0817459d-4368-4cad-b190-8ba464aeef9b)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer "F.SilkS") (width 0.12) (tstamp 17574fc4-fa2f-4bb4-86d2-d6df0116d7a8)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer "F.SilkS") (width 0.12) (tstamp 311046bb-afe7-4c6d-a7e9-147f86ee3c12)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer "F.SilkS") (width 0.12) (tstamp 9f5e4ee6-67ad-47bb-a5b7-cc4a39de31fe)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer "F.SilkS") (width 0.12) (tstamp e34a1c96-1760-45cc-b18f-e0c250e8b138)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer "F.SilkS") (width 0.12) (tstamp fad8152c-b610-4a57-8983-dd590c2d5f8a)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 887437f2-c5fd-48d3-b91e-b3e327cf44fb)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer "F.CrtYd") (width 0.05) (tstamp a0630ded-d4c0-4da8-b240-b93e88f1db04)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp bb83d97e-4ab1-4c8e-9ca3-52b9a7071a2d)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer "F.CrtYd") (width 0.05) (tstamp c8e767ea-c750-44d9-8953-f00ba15a0f01)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer "F.Fab") (width 0.1) (tstamp 14f4d31a-c1c9-42a8-8c80-7239e52b81bb)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer "F.Fab") (width 0.1) (tstamp 2e65971f-17f8-4b50-91da-8ce2981d6634)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer "F.Fab") (width 0.1) (tstamp 8edd5b8e-e57e-48de-9213-e20f96df2394)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer "F.Fab") (width 0.1) (tstamp d5f2f951-0689-4f82-bce9-ef61a12ddbcf)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer "F.Fab") (width 0.1) (tstamp edf85211-d36b-4ddd-a4b6-6ef3af3f7d80)) + (pad "1" thru_hole rect locked (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "+5V") (pinfunction "Pin_1") (pintype "passive") (tstamp c7cf6895-9db0-4027-acd6-9d545f1683c8)) + (pad "2" thru_hole oval locked (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 118 "Net-(J5-Pad2)") (pinfunction "Pin_2") (pintype "passive") (tstamp f435b89b-6b8f-48f9-a9a3-3f28702e7b8d)) + (pad "3" thru_hole oval locked (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 119 "Net-(J5-Pad3)") (pinfunction "Pin_3") (pintype "passive") (tstamp 6dd2e945-1d31-4d6d-89ef-19a961ba45ac)) + (pad "4" thru_hole oval locked (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 810a8cac-f334-4d8e-82e2-ed34fbafe805)) + (model "${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_Array_Convex_4x0603" (layer "F.Cu") + (tedit 58E0A8B2) (tstamp 00000000-0000-0000-0000-00005ff2b0cb) + (at 193.6 94.3 -135) + (descr "Chip Resistor Network, ROHM MNR14 (see mnr_g.pdf)") + (tags "resistor array") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006b3ab485") + (attr smd) + (fp_text reference "RN5" (at -2.12132 -3.252691 -45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5a410693-7b4c-48e9-97e6-35ba1819c01f) + ) + (fp_text value "470" (at 2.262742 0 -45 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 84e66f67-e69c-4799-a1eb-42a49c5c3ef5) + ) + (fp_text user "${VALUE}" (at -0.848528 -3.11127 -45 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 33582d56-1a92-4710-ba7a-cb5b260821d7) + ) + (fp_text user "${REFERENCE}" (at 0 0 -45 unlocked) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp c7d48291-3c02-4367-bde2-79da2438e482) + ) + (fp_line (start 0.5 1.68) (end -0.5 1.68) (layer "F.SilkS") (width 0.12) (tstamp 46866d84-128d-413c-8cc0-5521c31ba181)) + (fp_line (start 0.5 -1.68) (end -0.5 -1.68) (layer "F.SilkS") (width 0.12) (tstamp f8fb1b86-a483-4821-af6a-88e0066a58c6)) + (fp_line (start 1.55 1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 93e59896-4d6a-4508-b55b-e3e95ce3a363)) + (fp_line (start -1.55 -1.85) (end 1.55 -1.85) (layer "F.CrtYd") (width 0.05) (tstamp 9cc8b3e5-9d34-44c9-aaa2-b77facf67dd5)) + (fp_line (start 1.55 1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp a5fabadc-c957-4c1f-86a2-ff9efad5915e)) + (fp_line (start -1.55 -1.85) (end -1.55 1.85) (layer "F.CrtYd") (width 0.05) (tstamp e0e2b64a-c062-4b71-98fb-54afe0f4d13b)) + (fp_line (start 0.8 -1.6) (end 0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 305600a7-0309-48ba-9002-7283bab20f43)) + (fp_line (start 0.8 1.6) (end -0.8 1.6) (layer "F.Fab") (width 0.1) (tstamp 3d13a75f-bd33-43bb-97cb-33725caa4e02)) + (fp_line (start -0.8 1.6) (end -0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp c148f921-84ad-4f53-850c-9232e8259072)) + (fp_line (start -0.8 -1.6) (end 0.8 -1.6) (layer "F.Fab") (width 0.1) (tstamp ef3cfe85-137b-4484-9909-346d3b1ea2bd)) + (pad "1" smd rect locked (at -0.9 -1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 48 "/VD4") (pinfunction "R1.1") (pintype "passive") (tstamp 8ec41229-b051-4cc7-bf61-b41e0847482f)) + (pad "2" smd rect locked (at -0.9 -0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "/VD3") (pinfunction "R2.1") (pintype "passive") (tstamp 6875dc1c-e46a-4ea7-b325-cb29c513604e)) + (pad "3" smd rect locked (at -0.9 0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "/VD5") (pinfunction "R3.1") (pintype "passive") (tstamp 0daf8d38-8132-43ea-ad06-154b19022076)) + (pad "4" smd rect locked (at -0.9 1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "/VD6") (pinfunction "R4.1") (pintype "passive") (tstamp 7d85f9b2-b4de-4e98-93bd-92b5c8689e9a)) + (pad "5" smd rect locked (at 0.9 1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "/D6") (pinfunction "R4.2") (pintype "passive") (tstamp 84125254-6007-4d3e-b0b5-0d90d072c17c)) + (pad "6" smd rect locked (at 0.9 0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "/D5") (pinfunction "R3.2") (pintype "passive") (tstamp 84560338-0472-44ce-a2e2-cf6f926c1d67)) + (pad "7" smd rect locked (at 0.9 -0.4 225) (size 0.8 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "/D3") (pinfunction "R2.2") (pintype "passive") (tstamp 1e85aefc-dc17-4456-9905-ead042afd229)) + (pad "8" smd rect locked (at 0.9 -1.2 225) (size 0.8 0.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "/D4") (pinfunction "R1.2") (pintype "passive") (tstamp b9080c91-8c8c-40f0-a7f0-7022422b7612)) + (model "${KISYS3DMOD}/Resistor_SMD.3dshapes/R_Array_Convex_4x0603.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "my:PinPoints_1x06_P1.27mm" (layer "F.Cu") + (tedit 5FB291E8) (tstamp 00000000-0000-0000-0000-00005ff2d1f8) + (at 172.85 88.7 90) + (descr "Through hole straight socket strip, 1x06, 1.27mm pitch, single row (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 1x06 1.27mm single row") + (property "Desc" "JTAG") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005dddf159") + (attr through_hole) + (fp_text reference "J6" (at 0 8.35 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp aa407c5a-6e3b-402b-b06f-834307453c44) + ) + (fp_text value "Solder joints" (at 2.1 2.85) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f11f987c-2e68-4057-b0c7-ea3a05af12b8) + ) + (fp_text user "TMS" (at -2.219047 2.55 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5e2601ac-ad7f-4567-98f8-a9766ab7bac3) + ) + (fp_text user "TDO" (at -2.2 1.25 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 6429bbd8-cce1-48e4-afe6-eec467a5cf94) + ) + (fp_text user "TCK" (at -2.180952 -0.05 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 76ab54de-c698-44b5-b1fd-e2d87f0ff39b) + ) + (fp_text user "+3" (at -1.952381 6.35 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp c8bdf2de-79c5-4ad0-972f-9f8b35359ed0) + ) + (fp_text user "TDI" (at -1.971428 5.05 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e28fe078-69b1-4d61-91c9-81395f5e28fe) + ) + (fp_text user "GND" (at -2.295238 3.85 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp f66d057d-c887-4617-a88f-7d3be8399b0b) + ) + (fp_text user "${REFERENCE}" (at -0.05 3.175) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 846c529b-a34f-4d31-bea5-c503a0bb06f7) + ) + (fp_line (start 0.6 6.9) (end -0.6 6.9) (layer "F.CrtYd") (width 0.05) (tstamp 0ab29fe0-1f5b-45da-a55a-1626ced0bae1)) + (fp_line (start 0.6 -0.6) (end 0.6 6.9) (layer "F.CrtYd") (width 0.05) (tstamp 529b762e-fbf4-4bf6-a48d-fc62e37c627c)) + (fp_line (start -0.6 -0.6) (end 0.6 -0.6) (layer "F.CrtYd") (width 0.05) (tstamp 5fbc87b5-575a-4cf5-a657-71b2788c6442)) + (fp_line (start -0.6 6.9) (end -0.6 -0.6) (layer "F.CrtYd") (width 0.05) (tstamp ee9d9165-204b-455e-9fd3-7d954d10c835)) + (fp_line (start -0.7 7) (end -0.7 -0.7) (layer "F.Fab") (width 0.1) (tstamp 32b90fc0-a8b3-4552-9afb-3c2f8e0ccb2c)) + (fp_line (start -0.7 -0.7) (end 0.4 -0.7) (layer "F.Fab") (width 0.1) (tstamp 36e664e2-c467-439a-92f4-7820d2296946)) + (fp_line (start 0.4 -0.7) (end 0.7 -0.4) (layer "F.Fab") (width 0.1) (tstamp ba190d80-4e5e-4e7c-b905-c4d98a40a3f0)) + (fp_line (start 0.7 -0.4) (end 0.7 7) (layer "F.Fab") (width 0.1) (tstamp cabe368d-ed0b-4e94-a1e1-344697eef78e)) + (fp_line (start 0.7 7) (end -0.7 7) (layer "F.Fab") (width 0.1) (tstamp e59c5ebe-2975-4050-9b22-6ad323329e9c)) + (pad "1" smd rect locked (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 74 "/TCK") (pinfunction "Pin_1") (pintype "passive") (tstamp 4747e6d9-d7c8-42b2-ba7b-d648d48b5d8a)) + (pad "2" smd oval locked (at 0 1.27 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 75 "/TDO") (pinfunction "Pin_2") (pintype "passive") (tstamp e501736a-ec13-4773-a9a0-00d627f9e163)) + (pad "3" smd oval locked (at 0 2.54 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 76 "/TMS") (pinfunction "Pin_3") (pintype "passive") (tstamp 69fff237-2ae8-4fc8-814d-0497853c73cc)) + (pad "4" smd oval locked (at 0 3.81 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 2faa99b3-92e5-4ac9-9418-2bd102693bba)) + (pad "5" smd oval locked (at 0 5.08 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 77 "/TDI") (pinfunction "Pin_5") (pintype "passive") (tstamp be8a3ad0-90c1-4679-aeac-4a54d91009b1)) + (pad "6" smd oval locked (at 0 6.35 90) (size 1 1) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp c5b6e167-d64f-4b28-a2ef-f3e4062a2e64)) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005ff30a1e) + (at 139.25 93.45 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006847932a") + (attr smd) + (fp_text reference "C21" (at -8.042082 -1.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 486b4d04-fe65-4b10-8f3b-6e1b365c746f) + ) + (fp_text value "100n" (at -1.75 -1.15 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7b6c6793-9a2b-42f8-8a74-4f6beb3d6a06) + ) + (fp_text user "${VALUE}" (at -9.042082 -1.4 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 4d236451-b628-4789-915f-05749ebb439e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 268f85a2-d224-4395-9213-6835a9f01625) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4ddf8ccc-7cea-4dad-82ec-6ed7f0747fe3)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 60d91c42-3ee1-4eb4-ba9f-b1e9073cca83)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 16071a3b-5dca-4f5c-9318-a746c9736a46)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 244c36e4-ada8-425f-be0d-c041c876408b)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 485eac8c-e340-40d5-a89a-9418c974cf8a)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4990dece-f069-4cd0-8ece-e2e5244c39ae)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1f2d4dba-0248-4aab-9326-61aefce37eeb)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 8ecab309-5c35-4f29-b5d1-51c8437eecdd)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c413d26d-a699-45d2-967e-6cfec16cab99)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d03a8ad5-7ead-44c7-ac64-6e2b9b40d1cf)) + (pad "1" smd roundrect locked (at -0.8625 0 90) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "Net-(C21-Pad1)") (pintype "passive") (tstamp f85867ce-d972-477c-915b-dad1e2cd4c37)) + (pad "2" smd roundrect locked (at 0.8625 0 90) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "Net-(C21-Pad2)") (pintype "passive") (tstamp 7d98959c-0902-4248-b557-3ac4840d8d37)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff30cee) + (at 141.25 89.575 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000068478503") + (attr smd) + (fp_text reference "R36" (at 9.417082 0.803427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 46fa66d3-a5e1-4906-b007-90088ea854f1) + ) + (fp_text value "470" (at -1.575 0.242064 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ef9a2ead-9ad4-47f4-a3b3-d824170871d0) + ) + (fp_text user "${VALUE}" (at 10.417082 0.803427 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 2ff97092-d239-4d65-a849-fa9c75d0bae1) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp b4383d9e-c6f9-4e27-a17d-7e79cc9227b1) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 754d40df-5ce2-4a6d-bb28-09d6cf3ed6fa)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp d8f641fb-4947-4819-a3cd-bfe280f803d6)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0611d949-6808-4877-9812-f5ce8417aa8b)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1f8b8dd0-c1c7-46b3-b7a1-26bde6cd4ca2)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2b4b10de-86dc-472f-a172-1d48f2948f43)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 3e8741a8-39f3-46ae-bbdf-b8d5b215cf0b)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 00d2db21-aec7-4a0f-9bda-1b1f8ae31717)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 77a00dcb-ea81-4376-8862-0fcfef793ae7)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp ade4736d-5508-4e11-b6e6-0fe3be5db108)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp de598cdf-6279-48a3-b3e6-22c05dd34722)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/TAPE_IN") (pintype "passive") (tstamp 0dda511c-1325-409f-b05e-63440cc2e6b1)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "Net-(C21-Pad2)") (pintype "passive") (tstamp 35280de1-d1b8-4e9b-94fb-4b55e614f9ff)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder" (layer "F.Cu") + (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005ff32bf6) + (at 127.746573 90.392082 90) + (descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000061f7e0ae") + (attr smd) + (fp_text reference "C22" (at -11 -5.3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b2820bee-5263-4958-a0c7-b866220ead4e) + ) + (fp_text value "10u" (at -2.407918 0.462951 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 584856b7-f3cb-495b-9cad-776c5346e146) + ) + (fp_text user "${VALUE}" (at -12.1 -5.3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e47098dc-3bc3-4fb4-9154-c8d1f6019d45) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp c1922f11-74ad-4063-8838-f76fd58e281d) + ) + (fp_line (start -2.485 0.935) (end 1.6 0.935) (layer "F.SilkS") (width 0.12) (tstamp 5cf6b622-80c5-4580-a6cd-cf52ea3be0fe)) + (fp_line (start -2.485 -0.935) (end -2.485 0.935) (layer "F.SilkS") (width 0.12) (tstamp 8eebda04-0c28-49ad-8bee-c52e0b29bbe4)) + (fp_line (start 1.6 -0.935) (end -2.485 -0.935) (layer "F.SilkS") (width 0.12) (tstamp 99b4986b-1127-4f87-b6b5-2ad3a00c6bb8)) + (fp_line (start 2.48 -1.05) (end 2.48 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 0c1495a8-321b-490e-ad92-6a49dab8fb49)) + (fp_line (start -2.48 -1.05) (end 2.48 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 45d6585e-5e79-49d3-b9b8-c430cd857c99)) + (fp_line (start 2.48 1.05) (end -2.48 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 48185cc8-5a42-4d9f-b49a-d14b56e732af)) + (fp_line (start -2.48 1.05) (end -2.48 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 89b35297-af56-423e-8f05-297956ef2e15)) + (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 0d5e260b-fc29-4d4c-89c7-1d962ed0c98e)) + (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1) (tstamp 26b71f4c-fbf7-4a03-8c8d-eafca1e05966)) + (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1) (tstamp 305b0d2f-3ae3-4402-af73-46eea0a9faa3)) + (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 3313a7b2-3570-40d7-bb40-293031aae4df)) + (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp be5a16c5-5f7c-4798-8704-2e85ecb8bad6)) + (pad "1" smd roundrect locked (at -1.4375 0 90) (size 1.575 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1851851852) + (net 112 "Net-(C22-Pad1)") (tstamp 604a64f7-3f54-4897-a4a1-672388dc63d1)) + (pad "2" smd roundrect locked (at 1.4375 0 90) (size 1.575 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1851851852) + (net 39 "/SND_R") (tstamp f83250c9-f8df-4950-87f2-467cee95e3eb)) + (model "${KISYS3DMOD}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005ff32c2d) + (at 127.346573 98.192082 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005ec04b3a") + (attr smd) + (fp_text reference "C24" (at 3.2 -6.6 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b94221e6-db99-4f00-999e-4ca7a345d43d) + ) + (fp_text value "4.7n" (at 1.607918 -1.101046 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d1b9ad21-f6f9-4aef-9cb9-880e09a534c5) + ) + (fp_text user "${VALUE}" (at 4.3 -6.6 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 7d18627f-6475-4c14-a1c9-18692ae165df) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e1e12e51-2eb1-45aa-94e3-86cf0ccc04d5) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 497c2f6b-6019-4ba2-a1b5-1e81d4735d02)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp f4c13340-e17e-43bc-94de-d4953df72781)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 0ebe35a7-da09-4d70-9382-ab7fc2543aac)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 2f9a9de0-b353-4544-a840-bbdcaab78ef6)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp b942de7b-9c59-4368-88e0-bd3d4a23b719)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp db8c4408-c0ca-4e2d-bde8-f914e3fca35a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0b14679f-8fdb-4992-980a-89f2f49d0ec9)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 13b3c47e-9c5d-4f47-adc0-e594e42b0f00)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 4a1d3fc0-fb07-4c9a-ba2c-126000ad4e89)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp b8fd30a2-1e90-4a1b-8c6b-1dcef2588059)) + (pad "1" smd roundrect locked (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "Net-(C22-Pad1)") (tstamp d14c8a6d-7134-4985-862f-c02106e693e9)) + (pad "2" smd roundrect locked (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp bebabaef-9459-4c7f-a8ab-1a9db11d0ffe)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff32cff) + (at 127.346573 94.792082 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005dfa3543") + (attr smd) + (fp_text reference "R38" (at 6.6 -0.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0fc6466b-b72a-4a90-a2e1-0eb2a36e331f) + ) + (fp_text value "2.2k" (at 1.607918 -1.053427 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e767fbd8-9fd8-48f9-b77a-5a0b063720e1) + ) + (fp_text user "${VALUE}" (at 7.7 -0.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b892ffd0-9942-444a-ae5b-725e02d94de3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 6235113f-98b2-42a8-af16-ba25b919218f) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 240cf6fe-3a86-4ae3-8ccb-209301ca08bf)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 65846186-5fdb-4cee-a7e6-e4b1e6af9c42)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 4db8b517-a598-4a6f-b874-a6e5ba61edd9)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 518ebe7c-3096-4b1d-ac5b-ac9cebba43c1)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5e07406b-eb78-4102-9c68-a9e75266c36b)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp d30d8d47-fa04-4828-a2c0-f0d262291d8d)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 21d292de-e224-41ce-a45f-2f2aee033140)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 281592c9-0b58-4fcd-914d-8a66524e9428)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp a8c25693-1cc5-443f-b843-7f6ddde42743)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp b8247433-8022-43ec-b5c3-805b9a5c6647)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/SND_DAC_R") (tstamp 4e6db874-c0ec-4040-a45d-48b856b22f34)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "Net-(C22-Pad1)") (tstamp 32423ac0-fa6d-44de-9482-c2518454ea40)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "my:PJ-320A_D" (layer "F.Cu") + (tedit 60F696BC) (tstamp 00000000-0000-0000-0000-00005ff43119) + (at 133.15 93.65 -90) + (descr "Headphones with microphone connector, 3.5mm, 4 pins (http://www.qingpu-electronics.com/en/products/WQP-PJ320D-72.html)") + (tags "3.5mm jack mic microphone phones headphones 4pins audio plug") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000606fada5") + (attr smd) + (fp_text reference "J4" (at -3.15 0.05) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 82fe48d1-9ebf-4f9d-b57e-929df64c2c48) + ) + (fp_text value "PJ-320" (at 0.55 -0.025 180) (layer "F.Fab") + (effects (font (size 1 0.95) (thickness 0.15))) + (tstamp 51e630e8-31a3-4cab-bbe9-52e75db2a361) + ) + (fp_text user "${VALUE}" (at -1.65 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 2c2e6fba-25b7-4366-a0d4-bc40b2e99aa1) + ) + (fp_text user "Tape" (at 0 3.9 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp cbb1b398-226d-46da-b02e-b29169c09557) + ) + (fp_text user "${REFERENCE}" (at -1.95 -0.05 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f48908f5-6ffe-44e8-95c0-f257707728b1) + ) + (fp_line (start -6.375 2.5) (end -8.375 2.5) (layer "F.SilkS") (width 0.12) (tstamp 262ee84a-c748-4e76-93cc-e0541803be27)) + (fp_line (start -6.375 2.5) (end -6.375 3.1) (layer "F.SilkS") (width 0.12) (tstamp 33eab800-20ee-4308-a4cb-8baa7b24cf02)) + (fp_line (start 4.6 -3.1) (end 5.725 -3.1) (layer "F.SilkS") (width 0.12) (tstamp 438d5d6a-b9f1-4555-90ca-9c22d13bba4e)) + (fp_line (start -6.375 -3.1) (end -6.375 -2.5) (layer "F.SilkS") (width 0.12) (tstamp 64421c16-4576-45fd-a91d-015b52a27b66)) + (fp_line (start -2.35 -3.1) (end -1 -3.1) (layer "F.SilkS") (width 0.12) (tstamp 7c41cab0-f36e-4d28-b674-03af54748a67)) + (fp_line (start 4.15 3.1) (end -6.375 3.1) (layer "F.SilkS") (width 0.12) (tstamp 7e4a086e-948e-4efd-bab7-36cb6ed3e540)) + (fp_line (start 4.6 -3.1) (end 4.6 -4.5) (layer "F.SilkS") (width 0.12) (tstamp 8924d873-4d9d-4a68-ad02-85329545bd00)) + (fp_line (start -6.375 -2.5) (end -8.375 -2.5) (layer "F.SilkS") (width 0.12) (tstamp 987411b8-4a02-40c3-b931-ebd333be52f1)) + (fp_line (start 5.725 3.1) (end 5.725 -3.1) (layer "F.SilkS") (width 0.12) (tstamp a4df8ead-5425-49c3-ab7e-047b2ea4d06d)) + (fp_line (start -6.375 -3.1) (end -4 -3.1) (layer "F.SilkS") (width 0.12) (tstamp be0350b2-26fd-4f08-a40a-174a30d74b12)) + (fp_line (start 0.65 -3.1) (end 3.05 -3.1) (layer "F.SilkS") (width 0.12) (tstamp c538875a-eb6c-4391-b904-83973cb5af71)) + (fp_line (start -8.375 -2.5) (end -8.375 2.5) (layer "F.SilkS") (width 0.12) (tstamp daadc8bb-b6c4-43cb-8d28-c57f12d5f374)) + (fp_line (start 3.05 -3.1) (end 3.05 -4.5) (layer "F.SilkS") (width 0.12) (tstamp f3c62c57-5edd-408e-bf06-d3c615d28177)) + (fp_line (start -8.73 5) (end -8.73 -5) (layer "F.CrtYd") (width 0.05) (tstamp 3c4c2d08-7892-4553-8f7c-cd8f7486f1e4)) + (fp_line (start -8.73 -5) (end 6.07 -5) (layer "F.CrtYd") (width 0.05) (tstamp 5d0a2fe9-b987-489f-8e32-73f64b81b468)) + (fp_line (start 6.07 5) (end 6.07 -5) (layer "F.CrtYd") (width 0.05) (tstamp 7d3ca5f7-07cd-4a35-bb5d-b19cb5ea60fb)) + (fp_line (start -8.73 5) (end 6.07 5) (layer "F.CrtYd") (width 0.05) (tstamp a7c5042a-ee96-46d5-8cc0-88585443232e)) + (fp_line (start -6.225 -2.9) (end 5.575 -2.9) (layer "F.Fab") (width 0.1) (tstamp 33cccd09-621e-4ab2-aa6a-2ff1650dfa6f)) + (fp_line (start 5.575 -2.9) (end 5.575 2.9) (layer "F.Fab") (width 0.1) (tstamp 75112e61-ffe5-4485-8a3a-1a11899a19de)) + (fp_line (start -6.225 2.9) (end -6.225 2.3) (layer "F.Fab") (width 0.1) (tstamp 7cdebf02-6ec8-4be0-9cb2-3e34941e6756)) + (fp_line (start -8.225 2.3) (end -8.225 -2.3) (layer "F.Fab") (width 0.1) (tstamp 9de5f403-edb7-49f5-ac3d-8ac13ab63474)) + (fp_line (start -8.225 -2.3) (end -6.225 -2.3) (layer "F.Fab") (width 0.1) (tstamp b9f31f06-c832-42ac-9778-137285a22c3b)) + (fp_line (start 5.575 2.9) (end -6.225 2.9) (layer "F.Fab") (width 0.1) (tstamp c6625a13-4cd9-4e1a-8fdd-2aa4d4ea2424)) + (fp_line (start -6.225 -2.3) (end -6.225 -2.9) (layer "F.Fab") (width 0.1) (tstamp ecf4a098-62ef-4a63-adc5-e8912ec42293)) + (fp_line (start -6.225 2.3) (end -8.225 2.3) (layer "F.Fab") (width 0.1) (tstamp ee023d58-f827-4539-8532-ca9e230fea83)) + (fp_circle (center 3.9 -2.35) (end 3.95 -2.1) (layer "F.Fab") (width 0.12) (fill none) (tstamp 3a4f6ed9-cca7-4df5-9726-d8de77769983)) + (pad "" np_thru_hole circle locked (at -4.775 0 270) (size 1.2 1.2) (drill 1.2) (layers F&B.Cu *.Mask) (tstamp 9103fb32-f766-4801-8a30-7917f54cdcb8)) + (pad "" np_thru_hole circle locked (at 2.225 0 270) (size 1.2 1.2) (drill 1.2) (layers F&B.Cu *.Mask) (tstamp ac8d4da8-2602-4491-a113-8205c635ead1)) + (pad "R1" thru_hole circle locked (at -0.175 -2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 114 "/TAPE_OUT") (pintype "passive") (tstamp 911bd736-e47e-46ba-9360-880ac9d5eb91)) + (pad "R1" smd rect locked (at -0.175 -3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 114 "/TAPE_OUT") (pintype "passive") (tstamp e1759ad1-3656-40cb-89b5-4da13e0e5549)) + (pad "R2" thru_hole circle locked (at -3.175 -2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 1 "GND") (pintype "passive") (tstamp 0feed902-720e-4837-886a-d90d94cb06a9)) + (pad "R2" smd rect locked (at -3.175 -3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pintype "passive") (tstamp 2b9e5e39-4eea-4354-bc89-5dfd5d7bda02)) + (pad "S" thru_hole circle locked (at 4.925 2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 1 "GND") (pintype "passive") (tstamp 31d44049-f1c0-4058-83c4-cff6876edd9e)) + (pad "S" smd rect locked (at 4.925 3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pintype "passive") (tstamp ce44bcfa-04d9-46ec-8504-fb1b20e4c7b5)) + (pad "T" thru_hole circle locked (at 3.825 -2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 78 "/TAPE_IN") (pintype "passive") (tstamp 58543357-38a3-48b6-9b17-f23483d4af76)) + (pad "T" smd rect locked (at 3.825 -3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 78 "/TAPE_IN") (pintype "passive") (tstamp d1f4178a-8560-4dfc-8210-b356f67a02a9)) + (model "${MYLIBPATH}/PJ320A.STEP" + (offset (xyz -1.25 -0.25 -11.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "my:PJ-320A_D" (layer "F.Cu") + (tedit 60F696BC) (tstamp 00000000-0000-0000-0000-00005ff43185) + (at 119.3 93.65 -90) + (descr "Headphones with microphone connector, 3.5mm, 4 pins (http://www.qingpu-electronics.com/en/products/WQP-PJ320D-72.html)") + (tags "3.5mm jack mic microphone phones headphones 4pins audio plug") + (property "Desc" "RPi pinout") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006047590c") + (attr smd) + (fp_text reference "J3" (at -3.15 0 180) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 760ca10a-e738-47d7-a66e-415282d0e847) + ) + (fp_text value "PJ-320" (at 0.55 0 180) (layer "F.Fab") + (effects (font (size 1 0.95) (thickness 0.15))) + (tstamp 6f61a270-9b99-44f1-a888-075177a79397) + ) + (fp_text user "${VALUE}" (at -1.65 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 9891ad63-2def-4f32-aacb-faae110c374b) + ) + (fp_text user "AV" (at 0 3.9 90 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp c42e01fc-2d45-484d-9394-c5430666dd4b) + ) + (fp_text user "${REFERENCE}" (at -1.95 0 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 564d99a0-5902-4d1a-b2b1-1d6a726fba5e) + ) + (fp_line (start 4.6 -3.1) (end 4.6 -4.5) (layer "F.SilkS") (width 0.12) (tstamp 184c52b0-42d8-40dc-b6e6-e4b382a6a5c5)) + (fp_line (start 5.725 3.1) (end 5.725 -3.1) (layer "F.SilkS") (width 0.12) (tstamp 1930cbbb-7d0c-4eb0-8041-1c54c25adc71)) + (fp_line (start 4.6 -3.1) (end 5.725 -3.1) (layer "F.SilkS") (width 0.12) (tstamp 306be849-63cb-45af-9bbd-f7f449f02584)) + (fp_line (start -6.375 2.5) (end -8.375 2.5) (layer "F.SilkS") (width 0.12) (tstamp 57e39f9c-2fe5-4f0a-bd3b-70d75b5a88c7)) + (fp_line (start -6.375 2.5) (end -6.375 3.1) (layer "F.SilkS") (width 0.12) (tstamp 76b2e0fa-51a2-400c-a34f-0f3ba61f98c1)) + (fp_line (start -2.35 -3.1) (end -1 -3.1) (layer "F.SilkS") (width 0.12) (tstamp 7bca82a9-9801-4aad-ac53-4ac3e039b3da)) + (fp_line (start 3.05 -3.1) (end 3.05 -4.5) (layer "F.SilkS") (width 0.12) (tstamp 8c1cf5b7-fa2a-4b3d-9ebf-154ee21b9e98)) + (fp_line (start 4.15 3.1) (end -6.375 3.1) (layer "F.SilkS") (width 0.12) (tstamp 9b1bbb2e-d4b3-4154-b8cd-b3161072442f)) + (fp_line (start -6.375 -3.1) (end -4 -3.1) (layer "F.SilkS") (width 0.12) (tstamp ad3a7a2a-8910-4de7-a09f-466e9f5762fa)) + (fp_line (start -6.375 -2.5) (end -8.375 -2.5) (layer "F.SilkS") (width 0.12) (tstamp b01c9c59-6a16-4f21-80e5-9544c38b752c)) + (fp_line (start -8.375 -2.5) (end -8.375 2.5) (layer "F.SilkS") (width 0.12) (tstamp dc83f4fa-ba4f-4483-a52c-ebc5598bc969)) + (fp_line (start -6.375 -3.1) (end -6.375 -2.5) (layer "F.SilkS") (width 0.12) (tstamp eb7d2f91-3055-4e1e-9c29-2d01806e5767)) + (fp_line (start 0.65 -3.1) (end 3.05 -3.1) (layer "F.SilkS") (width 0.12) (tstamp ecb7a718-bdd8-4633-b832-9aad1cffd0b1)) + (fp_line (start -8.73 -5) (end 6.07 -5) (layer "F.CrtYd") (width 0.05) (tstamp 2af027ca-e663-47d9-b3f8-d3e207db77a6)) + (fp_line (start 6.07 5) (end 6.07 -5) (layer "F.CrtYd") (width 0.05) (tstamp 911c2e54-d12b-43c2-b480-a73e6c168081)) + (fp_line (start -8.73 5) (end 6.07 5) (layer "F.CrtYd") (width 0.05) (tstamp 9c34ff6f-0e6f-4fa5-9fe7-928955a079a5)) + (fp_line (start -8.73 5) (end -8.73 -5) (layer "F.CrtYd") (width 0.05) (tstamp d70f23a5-5ff9-407f-bddb-460b82938588)) + (fp_line (start 5.575 2.9) (end -6.225 2.9) (layer "F.Fab") (width 0.1) (tstamp 206eb20c-3aed-4ca6-b30c-f6a88793a629)) + (fp_line (start -6.225 -2.3) (end -6.225 -2.9) (layer "F.Fab") (width 0.1) (tstamp 305a5e30-c17d-44d8-9b2f-4dac5a46acdf)) + (fp_line (start -8.225 2.3) (end -8.225 -2.3) (layer "F.Fab") (width 0.1) (tstamp 37891651-3670-416a-bda8-d21e2d8aa91e)) + (fp_line (start -6.225 2.3) (end -8.225 2.3) (layer "F.Fab") (width 0.1) (tstamp 794aba28-b254-4482-820f-ff87bc395745)) + (fp_line (start -8.225 -2.3) (end -6.225 -2.3) (layer "F.Fab") (width 0.1) (tstamp 7b28fdfa-2259-4055-af12-0f5a7d4b18b7)) + (fp_line (start 5.575 -2.9) (end 5.575 2.9) (layer "F.Fab") (width 0.1) (tstamp a26b5eb5-1b8c-44d4-ba34-17789da042f6)) + (fp_line (start -6.225 -2.9) (end 5.575 -2.9) (layer "F.Fab") (width 0.1) (tstamp a3d46ec0-6e55-43f9-be2e-969be1c015db)) + (fp_line (start -6.225 2.9) (end -6.225 2.3) (layer "F.Fab") (width 0.1) (tstamp c9e18eb0-0868-4b03-b903-a7bf9862e58c)) + (fp_circle (center 3.9 -2.35) (end 3.95 -2.1) (layer "F.Fab") (width 0.12) (fill none) (tstamp 8df95e71-51e6-489d-afc6-35e24e008287)) + (pad "" np_thru_hole circle locked (at -4.775 0 270) (size 1.2 1.2) (drill 1.2) (layers F&B.Cu *.Mask) (tstamp 76fb5c8a-cf7b-4822-ba44-c14a9292ea7e)) + (pad "" np_thru_hole circle locked (at 2.225 0 270) (size 1.2 1.2) (drill 1.2) (layers F&B.Cu *.Mask) (tstamp b18665b7-64ba-4013-8830-a531752e39dd)) + (pad "R1" smd rect locked (at -0.175 -3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 39 "/SND_R") (pintype "passive") (tstamp d4bdd473-b08e-4d46-9b6e-e0fec73a0287)) + (pad "R1" thru_hole circle locked (at -0.175 -2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 39 "/SND_R") (pintype "passive") (tstamp f7435167-273f-40e5-87b9-9d438f783837)) + (pad "R2" thru_hole circle locked (at -3.175 -2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 1 "GND") (pintype "passive") (tstamp 3023b2dc-08d0-43bb-b847-229e27dc90ae)) + (pad "R2" smd rect locked (at -3.175 -3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 1 "GND") (pintype "passive") (tstamp a64b30aa-442f-443f-b483-ab2a7ad4f672)) + (pad "S" thru_hole circle locked (at 4.925 2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 83 "/Video") (pintype "passive") (tstamp 3c2b0f0e-fd08-4791-aae2-ddbecde91124)) + (pad "S" smd rect locked (at 4.925 3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 83 "/Video") (pintype "passive") (tstamp 911cea0e-21a2-416a-9b95-c68568be2b45)) + (pad "T" smd rect locked (at 3.825 -3.25 270) (size 1.2 2.5) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "/SND_L") (pintype "passive") (tstamp 2ec5777f-77e2-4dff-bbe2-796c8ae9deec)) + (pad "T" thru_hole circle locked (at 3.825 -2.35 270) (size 1.4 1.4) (drill oval 1.2 0.6) (layers *.Cu *.Mask) + (net 4 "/SND_L") (pintype "passive") (tstamp 55858493-039d-4387-973c-6a0a4116caa4)) + (model "${MYLIBPATH}/PJ320A.STEP" + (offset (xyz -1.25 -0.25 -11.5)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff8ea77) + (at 138 106.25 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000609f53ed") + (attr smd) + (fp_text reference "R27" (at 0 2.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 50d53ed1-8a52-4d75-a492-f475979e0836) + ) + (fp_text value "4.7k" (at 0 1.65 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 92cc5f9d-772a-4bbd-ad2e-c1440f7c1079) + ) + (fp_text user "${VALUE}" (at 0 1.25 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 32fd4940-6919-4560-8311-bbace12fad6e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 082192d7-13b2-4040-b3dd-73e477d83e55) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 2ac69cd6-b60d-44e5-9cb4-41de2800db27)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp bbe803f9-4ce5-486d-a807-cb543075f25b)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 5e54a865-8e7b-457b-bb2a-a451713f9ece)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 794d6755-7aa6-49f5-b404-8860a9ef9436)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 90e8697d-895e-4bb3-b452-6498ad9004be)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp ad09d541-cf30-458a-8bc3-d6db6d03fa9c)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 0e2b118b-7839-424d-8068-938acba5a53b)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 26d4b88b-3493-43fd-8de6-d94389721fa9)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 3f4026ca-6b0e-4d14-b84b-6c3d3659855c)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 855c7688-83ac-466c-a9e0-9d85dd135ec8)) + (pad "1" smd roundrect locked (at -0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/PS2_DAT") (tstamp 470e03f9-a580-4237-8b23-affb2d9bf379)) + (pad "2" smd roundrect locked (at 0.9125 0 180) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (tstamp fded5b21-f877-4822-b46d-b7229fe3b4bc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff99abc) + (at 145.25 93.45 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006849510e") + (attr smd) + (fp_text reference "R34" (at -8.042082 0.496573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 427db595-c060-4455-9052-90818ec23d7c) + ) + (fp_text value "470k" (at -0.75 2.55 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3385a719-2369-4e7a-ae52-6cbb1c39db60) + ) + (fp_text user "${VALUE}" (at -9.042082 0.496573 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b3b26e64-4b25-41ba-b8d0-eb5a88e7a1de) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 216473c5-8dfc-4615-a7a6-e317b591cc4f) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 89a311e9-126a-400e-8c4b-1de2d0b507c5)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 938ca594-913b-4e4c-967b-949e3a80a5c6)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 514f5755-097a-4194-be37-03b1c2191a5d)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 75e19119-4eec-43ad-bb17-b11367252619)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 8ab7f702-30f9-4892-98b0-5c4c2f092f8f)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 978a3ea4-b1a5-4a16-96ed-9b75a1b3bc28)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 4b4df0b4-1fd3-439a-ba6c-f491f8db9d74)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 5afd1179-bb05-4abb-9c0b-13d0abaa6d69)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 657cce84-6542-4f47-a908-34e91a989722)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 8d8c33a3-a13b-4df8-846b-865bd937830f)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "Net-(Q4-Pad1)") (pintype "passive") (tstamp f99157d9-8e2b-4b3e-89da-848992921d2c)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 6ae9696f-2b46-44b7-8e3b-98223340658d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005ff9e29d) + (at 125.446573 98.192082 -90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005fc1e15f") + (attr smd) + (fp_text reference "C25" (at 3.2 -5.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e8d0a1f7-540e-4370-b147-23708a00e6cf) + ) + (fp_text value "4.7n" (at 1.607918 0.894192 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f5ec18a3-a397-4757-a236-fee1bd4c0c2c) + ) + (fp_text user "${VALUE}" (at 4.3 -5.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 5b6edbf6-b38b-46da-b485-59b5c81b2c5b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e7b13c92-4ef4-4fd6-ae99-63a0a4b07747) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "F.SilkS") (width 0.12) (tstamp cb526da8-6883-4c7f-80d3-a6c710cb4109)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "F.SilkS") (width 0.12) (tstamp ecb58968-803d-42ae-9ca2-cdba27bdccca)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 1069dd3a-bb4c-405d-8f5a-ed01035c11cc)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 52271594-158c-4688-992a-c3047ba84ec9)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 7490b5fd-7f82-47dc-9709-48d9daff5f34)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp a6a38ffc-f816-47d7-ab91-0df8042e9f35)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 07cedfe3-47c7-4ee7-a2d2-c8ae1434d09f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 253bae3a-6d6f-478a-abaa-aac0a533e38b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3b63e88f-85b3-4353-b523-a981beb0c775)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c763085a-7663-4de6-b01a-2ba638175fbb)) + (pad "1" smd roundrect locked (at -0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "Net-(C20-Pad1)") (pintype "passive") (tstamp 205b5410-c340-4489-ac3c-13884ec694bb)) + (pad "2" smd roundrect locked (at 0.8625 0 270) (size 1.075 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5c1f2e9f-8c41-4c16-98fb-c1f205fa4258)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff9e2d0) + (at 125.446573 94.792082 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005dfa281c") + (attr smd) + (fp_text reference "R31" (at 6.6 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp d7f6e77e-ac8a-4eda-9d06-173b8285c424) + ) + (fp_text value "2.2k" (at 1.607918 0.846573 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 188d3248-062f-474f-906f-e34821126708) + ) + (fp_text user "${VALUE}" (at 7.7 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp a78f4c1a-a645-4f2d-b10e-c05ea6f076a7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2898e9c3-f200-41bb-8457-643c50c21e92) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "F.SilkS") (width 0.12) (tstamp 27f8ddf3-d6e3-40a2-bb81-15994544e640)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "F.SilkS") (width 0.12) (tstamp 4b6ef439-0609-4c99-852f-0f525a0c0102)) + (fp_line (start -1.65 0.73) (end -1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp 26d641ab-3893-4d56-869c-85a6a25a1464)) + (fp_line (start 1.65 -0.73) (end 1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 429794a5-4c71-4c1a-b214-7920cfe055ba)) + (fp_line (start 1.65 0.73) (end -1.65 0.73) (layer "F.CrtYd") (width 0.05) (tstamp 667e47b0-11a6-4e86-90d9-457179f78fba)) + (fp_line (start -1.65 -0.73) (end 1.65 -0.73) (layer "F.CrtYd") (width 0.05) (tstamp c525d5e7-ba43-4951-a973-d94864a2e079)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp 211741f1-0790-4749-8227-6e800a93350d)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 3f73a4b9-60ba-4b94-969d-cd5aa6aae29c)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) (layer "F.Fab") (width 0.1) (tstamp 7aef0a95-13e0-4fe8-8678-9e3bb06efca2)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) (layer "F.Fab") (width 0.1) (tstamp ce84971f-071f-46e4-ae7c-b7d227344121)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/SND_DAC_L") (pintype "passive") (tstamp 0535c5ee-f0bc-40d6-b0a6-d987d07be596)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "Net-(C20-Pad1)") (pintype "passive") (tstamp 5dd5cdcf-2d58-4302-9347-dcc5a7c7a1d0)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder" (layer "F.Cu") + (tedit 5B301BBE) (tstamp 00000000-0000-0000-0000-00005ff9e305) + (at 125.546573 90.392082 90) + (descr "Tantalum Capacitor SMD Kemet-A (3216-18 Metric), IPC_7351 nominal, (Body size from: http://www.kemet.com/Lists/ProductCatalog/Attachments/253/KEM_TC101_STD.pdf), generated with kicad-footprint-generator") + (tags "capacitor tantalum") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005d1a1953") + (attr smd) + (fp_text reference "C20" (at -11 -5.5 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp e90a436d-1285-4845-bc3d-79c6cfcd6f7d) + ) + (fp_text value "10u" (at -2.407918 -0.756097 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp db541440-3704-4142-b93e-32b4689931b0) + ) + (fp_text user "${VALUE}" (at -12.1 -5.5 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 960009ec-a98a-4331-8c17-6fffeb9ac97c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 9d5dbde0-95ac-432a-8fb1-89be90edf3b6) + ) + (fp_line (start -2.485 0.935) (end 1.6 0.935) (layer "F.SilkS") (width 0.12) (tstamp 3d4fc62b-9410-42dd-9f44-527cefb9524f)) + (fp_line (start -2.485 -0.935) (end -2.485 0.935) (layer "F.SilkS") (width 0.12) (tstamp e5a4af78-145f-44b8-83e0-924ae10af067)) + (fp_line (start 1.6 -0.935) (end -2.485 -0.935) (layer "F.SilkS") (width 0.12) (tstamp ea4fbf18-1eb7-4478-8914-b14fc938b252)) + (fp_line (start -2.48 1.05) (end -2.48 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp 29e72a8a-2e7f-48e3-b436-a47141c08c19)) + (fp_line (start 2.48 1.05) (end -2.48 1.05) (layer "F.CrtYd") (width 0.05) (tstamp 9f33726c-be55-4050-a8bf-91b491f4408a)) + (fp_line (start 2.48 -1.05) (end 2.48 1.05) (layer "F.CrtYd") (width 0.05) (tstamp af86e01e-2695-4d09-93a3-047781e21ff3)) + (fp_line (start -2.48 -1.05) (end 2.48 -1.05) (layer "F.CrtYd") (width 0.05) (tstamp b2a09c07-884a-4289-bb7f-2fbe169ffe23)) + (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer "F.Fab") (width 0.1) (tstamp 2123a958-e469-4afd-8790-abfa80191c54)) + (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer "F.Fab") (width 0.1) (tstamp 6c72e4ea-ed8c-484c-8268-b1c3f9f07650)) + (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer "F.Fab") (width 0.1) (tstamp 740e53ba-6010-422c-bafa-ee0e20a9e7b6)) + (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp 8d879101-f577-471e-8220-d2b1bceaf351)) + (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer "F.Fab") (width 0.1) (tstamp ef83f23c-7c38-4b05-b2ff-91d0c1fafe6b)) + (pad "1" smd roundrect locked (at -1.4375 0 90) (size 1.575 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1851851852) + (net 99 "Net-(C20-Pad1)") (pintype "passive") (tstamp 05219d32-3c6c-4c93-b6cf-e3501a1cd2b8)) + (pad "2" smd roundrect locked (at 1.4375 0 90) (size 1.575 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1851851852) + (net 4 "/SND_L") (pintype "passive") (tstamp 61ce020c-1a6a-4c4b-bf56-7c56b377570e)) + (model "${KISYS3DMOD}/Capacitor_Tantalum_SMD.3dshapes/CP_EIA-3216-18_Kemet-A.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp 5ad32e02-eb64-4ff5-9bcf-37b3785f6a97) + (at 162.95 107 180) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/0b095a39-a938-4e12-936d-7bf2c5937aeb") + (attr smd) + (fp_text reference "C16" (at -3.45 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 796536a5-c056-43fb-9fdb-b81b5792145b) + ) + (fp_text value "10u" (at -3.07381 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp dfd8ddc3-67a5-444a-898f-4d3ca301ae44) + ) + (fp_text user "${VALUE}" (at -6.15 0 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 0fd4ea6f-8aea-44b3-b594-85e35d413a02) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 3cc307bc-c4bc-4255-a2fe-0457f1e0c8ad) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer "F.SilkS") (width 0.12) (tstamp 15067d4c-35a5-4687-aaba-157c0cd77a91)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer "F.SilkS") (width 0.12) (tstamp d22a2fea-0460-4935-9354-b5a7c787b9f9)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp 5e6ca4c8-a805-4bd6-a63f-9c47a08d1a70)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp 679088fd-5972-4d52-9c7d-55eeb453cf7a)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp c9b40d03-59a8-4b78-b5c4-e33f38a88817)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp f0c9f379-fbd7-493d-ad0f-1483f943139f)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.1) (tstamp 78f9c924-35bc-4b21-bb1d-4dc6c14839db)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.1) (tstamp bf8a7ddc-70fd-45c0-8be7-dbd47b08549a)) + (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.1) (tstamp cc20d11d-7dc5-4c6f-bd48-9f0bdabc93d5)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.1) (tstamp e9faa247-78a7-416b-b70f-4e532955b55e)) + (pad "1" smd roundrect locked (at -1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 1 "GND") (pintype "passive") (tstamp 85335df4-3f94-43fa-a01a-1211462a55f3)) + (pad "2" smd roundrect locked (at 1.0375 0 180) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 3 "+3V3") (pintype "passive") (tstamp 7054b26d-4b3e-4d29-aeca-2b24a6d5ea5f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp abd1be70-2eee-41f6-8072-7bacf7f9b830) + (at 158 109) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/60be204f-c2f5-440d-9397-48b493cea047") + (attr smd) + (fp_text reference "C28" (at -1.1 1.8) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 16485b77-2eea-45e3-ad8e-7bb2c75ed5ac) + ) + (fp_text value "10u" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp afef78a3-6f75-4a0f-9256-251b4f5fe0a1) + ) + (fp_text user "${VALUE}" (at 1.6 1.8 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp bd19cc9e-04f4-4cc0-bd76-5ae77f4c55bb) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 01399b40-54f6-4540-82e1-7a17446fac76) + ) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer "F.SilkS") (width 0.12) (tstamp 943e7e6d-a241-45b2-8bfa-baaa535503b8)) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer "F.SilkS") (width 0.12) (tstamp daad94e4-5fcc-465b-920a-8b44205a967c)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp c328d603-ba00-4742-a547-15dcccd211cd)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp ccb4fcd1-f108-4355-813c-e206b47a3bd1)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp d5278629-605d-4ea0-bbb9-8b7fabb8fea6)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp e3838907-413b-40f9-8337-0683e24c489f)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.1) (tstamp 2e316f4b-7be4-450b-958b-95dc7395d8f5)) + (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.1) (tstamp 656e6ba6-178a-49f6-83d1-908e8a8475bf)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.1) (tstamp c24a835a-1fe3-4bfd-b027-fde3a3a68a9a)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.1) (tstamp fdbda63e-36d9-42e7-890d-da4f535a1110)) + (pad "1" smd roundrect locked (at -1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 1 "GND") (pintype "passive") (tstamp 87cdf43b-b08d-4639-ae55-a96b5d44bebc)) + (pad "2" smd roundrect locked (at 1.0375 0) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 110 "1V5_PLL") (pintype "passive") (tstamp 2b57abd2-ade3-4814-9eb7-6ee5fdf3f220)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (layer "F.Cu") + (tedit 5F68FEEF) (tstamp b7e74835-cc34-403b-a962-1150ea694a80) + (at 172.6 98 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/da8ea041-bb8b-4add-a9c0-f3eacbb540ff") + (attr smd) + (fp_text reference "C29" (at 0.9 2.3 180) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp b6b3c33e-50db-4f2e-8ae9-b43d7780dcc5) + ) + (fp_text value "10u" (at 2.2 0 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 20f632b7-add4-4193-8178-3fb735c5fc9e) + ) + (fp_text user "${VALUE}" (at -0.9 2.3 unlocked) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 3f1c7013-a668-4ed3-a42a-2b9bdbedbbf5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp f7c9947b-9750-4d72-96f9-8219e8152f30) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) (layer "F.SilkS") (width 0.12) (tstamp 4e7e2ffd-67ce-43a4-b0ea-89b1ca9c414c)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) (layer "F.SilkS") (width 0.12) (tstamp 9f225442-bbf0-4882-a823-b50741aea923)) + (fp_line (start -1.88 0.98) (end -1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp 0a46d9e6-2d5f-4b1d-934b-e38da5bd0f84)) + (fp_line (start 1.88 -0.98) (end 1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp 0eadd00d-5e5b-4403-af47-677f322cfcf5)) + (fp_line (start 1.88 0.98) (end -1.88 0.98) (layer "F.CrtYd") (width 0.05) (tstamp 3f990ef9-836e-45dc-bf77-ef775631467e)) + (fp_line (start -1.88 -0.98) (end 1.88 -0.98) (layer "F.CrtYd") (width 0.05) (tstamp cd7aa883-7685-473c-aab9-7028f23a0c56)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.1) (tstamp 3377506a-36f4-4a7d-b038-4b491a99d0f5)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.1) (tstamp 4bca3528-3b5b-4b95-8531-714bf7c1f053)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.1) (tstamp 572b42c5-ca80-4bc0-aa30-0e7a9b514d98)) + (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.1) (tstamp 5bedbcef-efda-4e2f-a21e-47febfc185b8)) + (pad "1" smd roundrect locked (at -1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 1 "GND") (pintype "passive") (tstamp 4a4e0cb5-e1c6-4a6a-8179-08a70a95c123)) + (pad "2" smd roundrect locked (at 1.0375 0 90) (size 1.175 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.2127659574) + (net 3 "+3V3") (pintype "passive") (tstamp b30ef81b-4bfe-4b65-b66a-01a1f2c53346)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005f90cff4) + (at 126.75 107.7 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006cc09ed7") + (attr smd) + (fp_text reference "C13" (at 0.7 -2.153427 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 5f4c84ab-56f5-4f95-89c2-9c04595b17ff) + ) + (fp_text value "100n" (at 0 -1.43 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ba693a94-e2c6-4908-982c-6dd8cdd0a389) + ) + (fp_text user "${VALUE}" (at -0.7 -2.496284 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 0c84ed0d-df96-4e84-a9e4-0043772843b5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 23d1d62d-8d62-479b-9889-2417da8b6140) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 31bee264-681b-4ace-b973-e7e238452779)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 39218403-7f58-469a-9a43-08be4594472c)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2645548c-daa8-40c1-8552-9771ff774c16)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ba941f56-37ec-4cec-955d-bb6ca638016a)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp be796ecb-c2ea-4ffd-9f67-692e49a250f0)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp fb368ba1-effe-4e59-9ea1-c6bf69bcff6f)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 6f4b46c7-ceeb-4979-a1eb-913d7a615249)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 8cc8d317-7479-4f59-89d0-9afa99c651e8)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp ad5ce418-62ee-454d-864a-353953b7fcd8)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp d18f062f-2f86-4cb8-a376-a7cc8b1b7c60)) + (pad "1" smd roundrect locked (at -0.8625 0 90) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 3dbcad79-4c16-4bc2-8e52-a475a53376d3)) + (pad "2" smd roundrect locked (at 0.8625 0 90) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 5cb305da-d353-4856-8ae4-10c387cf53db)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fc336b1) + (at 159.4 89.4 -135) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e5a20ed") + (attr smd) + (fp_text reference "C10" (at 0.03218 1.174748 45 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 7e3a91a7-5619-49ae-9652-4d75abfbbcf9) + ) + (fp_text value "1u" (at 0 -1.43 45) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6c02edef-ab3f-4306-99a7-a223670ea0a4) + ) + (fp_text user "${VALUE}" (at 0.03218 -1.229415 45 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 647abfbe-cd58-497d-8cde-5f0872678766) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp f78dc501-188c-47e9-9baa-56dc39565028) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "B.SilkS") (width 0.12) (tstamp c798cd8d-a7b7-4629-8075-df34769f637c)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp d35ed157-6f60-4126-8e4f-8498beb50b08)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0feb07e7-ed5e-420d-b5ab-f653e05b538f)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8e5c9551-ff14-4dd0-906c-a66dc5233fa9)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d4c9de12-9fb9-40a2-b3a2-a3b33541501b)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f9e890e4-5f72-4115-8284-b39284fad6b5)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 10eeb9b9-57c0-437d-b0ea-324e242e7c39)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 641cdc40-20d7-4214-aab3-66161b0b49fe)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 803a8b8e-2d87-4a2e-b457-149c84a52598)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp a72413f9-390e-4d6f-96b7-92bd73c9ed1d)) + (pad "1" smd roundrect locked (at -0.8625 0 225) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp c177cc91-de71-4067-a6bf-4e3ce44516fe)) + (pad "2" smd roundrect locked (at 0.8625 0 225) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp c6aa91b0-732c-4482-b426-b39e243a6e2f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mygraphic:jack35_4pin" (layer "B.Cu") + (tedit 0) (tstamp 00000000-0000-0000-0000-00005fc3b8e5) + (at 111.4 100.6) + (property "Desc" "Jack35") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000068e940f7") + (fp_text reference "LOGO2" (at 0 0 180) (layer "B.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + (tstamp 06d3dbc9-45c7-4a65-9416-66f6af90d8fa) + ) + (fp_text value "Jack35" (at 0.75 0 180) (layer "B.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + (tstamp a0732e32-180e-4120-8035-f5b08e204a0f) + ) + (fp_text user "Sound Right" (at 5.27619 2.833332 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 0f12324a-970b-4121-8267-a71e45bb9bc5) + ) + (fp_text user "Video" (at 3.2 0.5 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 1ed16887-894d-4cfd-a521-a23b73d8f2a0) + ) + (fp_text user "AV" (at 0 -1.1 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 2fbf3f2f-1c67-42bc-bbfe-cfafbc28fd1c) + ) + (fp_text user "Ground" (at 3.695238 1.666666 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 3ff8f0d3-abfe-4747-8079-07f4156723fd) + ) + (fp_text user "Sound Left" (at 4.857143 3.999998 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp eaa40790-3c67-4b19-b397-5741b88749c2) + ) + (fp_poly (pts (xy 0.204492 4.43083) + (xy 0.322733 4.389333) + (xy 0.404002 4.345235) + (xy 0.47329 4.294698) + (xy 0.522652 4.244137) + (xy 0.540652 4.213361) + (xy 0.543015 4.182709) + (xy 0.539694 4.120489) + (xy 0.530958 4.029511) + (xy 0.517079 3.912584) + (xy 0.49833 3.772519) + (xy 0.497551 3.766965) + (xy 0.440153 3.358174) + (xy 0.494836 3.312137) + (xy 0.549519 3.266101) + (xy 0.558241 2.748933) + (xy 0.566964 2.231765) + (xy 0.610233 2.210216) + (xy 0.628882 2.200444) + (xy 0.644658 2.189338) + (xy 0.657801 2.174083) + (xy 0.668554 2.151866) + (xy 0.677156 2.11987) + (xy 0.68385 2.075281) + (xy 0.688877 2.015284) + (xy 0.692479 1.937064) + (xy 0.694896 1.837808) + (xy 0.69637 1.714699) + (xy 0.697143 1.564923) + (xy 0.697456 1.385665) + (xy 0.697545 1.191977) + (xy 0.697802 0.281825) + (xy 0.932875 0.276112) + (xy 1.02864 0.273373) + (xy 1.096003 0.269891) + (xy 1.141452 0.264593) + (xy 1.171473 0.256406) + (xy 1.192554 0.244257) + (xy 1.207635 0.230672) + (xy 1.247321 0.190945) + (xy 1.252462 -0.789864) + (xy 1.253259 -1.01124) + (xy 1.253274 -1.21614) + (xy 1.252536 -1.401849) + (xy 1.251077 -1.565652) + (xy 1.248926 -1.704836) + (xy 1.246115 -1.816686) + (xy 1.242673 -1.898486) + (xy 1.239562 -1.939756) + (xy 1.201642 -2.142442) + (xy 1.133648 -2.333582) + (xy 1.037899 -2.509443) + (xy 0.916714 -2.666288) + (xy 0.772412 -2.800382) + (xy 0.655182 -2.880937) + (xy 0.559877 -2.937942) + (xy 0.554698 -3.37875) + (xy 0.553029 -3.52655) + (xy 0.550705 -3.643223) + (xy 0.545947 -3.732513) + (xy 0.536979 -3.798165) + (xy 0.522021 -3.843923) + (xy 0.499297 -3.873533) + (xy 0.467027 -3.890739) + (xy 0.423435 -3.899286) + (xy 0.366741 -3.902918) + (xy 0.304832 -3.905015) + (xy 0.13956 -3.91106) + (xy 0.13956 -4.465934) + (xy -0.139561 -4.465934) + (xy -0.139561 -3.91106) + (xy -0.304832 -3.905015) + (xy -0.37443 -3.902615) + (xy -0.429389 -3.898592) + (xy -0.471484 -3.889205) + (xy -0.502488 -3.870711) + (xy -0.524175 -3.83937) + (xy -0.538319 -3.79144) + (xy -0.546693 -3.723179) + (xy -0.55107 -3.630846) + (xy -0.553225 -3.5107) + (xy -0.554704 -3.377913) + (xy -0.558314 -3.070329) + (xy -0.279121 -3.070329) + (xy -0.279121 -3.628571) + (xy 0.27912 -3.628571) + (xy 0.27912 -3.070329) + (xy -0.279121 -3.070329) + (xy -0.558314 -3.070329) + (xy -0.559888 -2.936268) + (xy -0.630187 -2.896758) + (xy -0.778094 -2.794888) + (xy -0.913017 -2.665174) + (xy -1.030598 -2.513578) + (xy -1.126478 -2.346064) + (xy -1.196296 -2.168594) + (xy -1.216179 -2.09518) + (xy -1.222636 -2.065126) + (xy -1.228144 -2.032291) + (xy -1.232776 -1.993789) + (xy -1.236607 -1.946737) + (xy -1.239711 -1.888246) + (xy -1.242162 -1.815433) + (xy -1.244034 -1.725411) + (xy -1.245403 -1.615294) + (xy -1.246341 -1.482198) + (xy -1.246924 -1.323236) + (xy -1.247226 -1.135523) + (xy -1.247321 -0.916173) + (xy -1.247322 -0.885833) + (xy -1.247322 0) + (xy -0.976924 0) + (xy -0.976924 -0.98198) + (xy -0.976884 -1.203297) + (xy -0.976586 -1.392787) + (xy -0.975761 -1.553514) + (xy -0.974139 -1.688543) + (xy -0.971452 -1.800935) + (xy -0.96743 -1.893755) + (xy -0.961805 -1.970067) + (xy -0.954308 -2.032934) + (xy -0.944669 -2.08542) + (xy -0.932621 -2.130588) + (xy -0.917893 -2.171503) + (xy -0.900216 -2.211226) + (xy -0.879323 -2.252823) + (xy -0.862529 -2.284906) + (xy -0.773449 -2.419676) + (xy -0.657382 -2.541599) + (xy -0.521488 -2.644988) + (xy -0.372927 -2.72416) + (xy -0.259517 -2.763687) + (xy -0.167766 -2.780218) + (xy -0.055939 -2.788315) + (xy 0.062178 -2.787953) + (xy 0.172802 -2.779109) + (xy 0.25204 -2.764545) + (xy 0.416088 -2.704306) + (xy 0.567005 -2.614531) + (xy 0.700617 -2.499407) + (xy 0.81275 -2.36312) + (xy 0.89923 -2.209855) + (xy 0.950945 -2.063694) + (xy 0.956696 -2.036507) + (xy 0.961565 -2.000783) + (xy 0.965622 -1.953715) + (xy 0.968932 -1.892497) + (xy 0.971564 -1.814322) + (xy 0.973584 -1.716385) + (xy 0.975061 -1.595877) + (xy 0.976062 -1.449994) + (xy 0.976653 -1.275927) + (xy 0.976903 -1.070872) + (xy 0.976923 -0.98198) + (xy 0.976923 0) + (xy -0.976924 0) + (xy -1.247322 0) + (xy -1.247322 0.190903) + (xy -1.207636 0.230651) + (xy -1.189049 0.246832) + (xy -1.166822 0.258174) + (xy -1.134467 0.26575) + (xy -1.085497 0.270634) + (xy -1.013427 0.273898) + (xy -0.932876 0.276112) + (xy -0.697803 0.281825) + (xy -0.697602 0.994369) + (xy -0.418682 0.994369) + (xy -0.418682 0.279121) + (xy 0.418681 0.279121) + (xy 0.418681 0.994369) + (xy -0.418682 0.994369) + (xy -0.697602 0.994369) + (xy -0.697545 1.191977) + (xy -0.697443 1.40089) + (xy -0.697103 1.577728) + (xy -0.696284 1.725306) + (xy -0.694744 1.846438) + (xy -0.692243 1.943939) + (xy -0.691765 1.953847) + (xy -0.418682 1.953847) + (xy -0.418682 1.256044) + (xy 0.418681 1.256044) + (xy 0.418681 1.953847) + (xy -0.418682 1.953847) + (xy -0.691765 1.953847) + (xy -0.688538 2.020624) + (xy -0.683388 2.079307) + (xy -0.676553 2.122804) + (xy -0.66779 2.15393) + (xy -0.656859 2.175498) + (xy -0.643517 2.190325) + (xy -0.627524 2.201224) + (xy -0.610234 2.210216) + (xy -0.566965 2.231765) + (xy -0.558242 2.748933) + (xy -0.552822 3.07033) + (xy -0.279121 3.07033) + (xy -0.279121 2.232967) + (xy 0.27912 2.232967) + (xy 0.27912 3.07033) + (xy -0.279121 3.07033) + (xy -0.552822 3.07033) + (xy -0.54952 3.266101) + (xy -0.494893 3.312137) + (xy -0.440265 3.358174) + (xy -0.496228 3.758224) + (xy -0.511933 3.874518) + (xy -0.52526 3.981066) + (xy -0.53561 4.072399) + (xy -0.538215 4.099568) + (xy -0.279121 4.099568) + (xy -0.276736 4.073857) + (xy -0.270199 4.020298) + (xy -0.260438 3.945563) + (xy -0.248378 3.856322) + (xy -0.234949 3.759246) + (xy -0.221076 3.661006) + (xy -0.207688 3.568274) + (xy -0.19571 3.487719) + (xy -0.186072 3.426013) + (xy -0.18274 3.406147) + (xy -0.17287 3.349451) + (xy -0.007932 3.349451) + (xy 0.063245 3.349879) + (xy 0.119217 3.35103) + (xy 0.152324 3.352703) + (xy 0.15803 3.353812) + (xy 0.160807 3.371551) + (xy 0.168015 3.418806) + (xy 0.178898 3.490589) + (xy 0.192701 3.581915) + (xy 0.208668 3.687796) + (xy 0.216474 3.739627) + (xy 0.273892 4.12108) + (xy 0.202365 4.153564) + (xy 0.138396 4.173483) + (xy 0.061267 4.183818) + (xy -0.021869 4.185369) + (xy -0.103862 4.17894) + (xy -0.177557 4.165332) + (xy -0.235802 4.145347) + (xy -0.271445 4.119787) + (xy -0.279121 4.099568) + (xy -0.538215 4.099568) + (xy -0.542385 4.143052) + (xy -0.544986 4.187558) + (xy -0.544465 4.198686) + (xy -0.527014 4.234315) + (xy -0.492016 4.27701) + (xy -0.474145 4.294055) + (xy -0.362614 4.369941) + (xy -0.231711 4.422431) + (xy -0.088711 4.450655) + (xy 0.059114 4.453745) + (xy 0.204492 4.43083)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 587d9f96-1f9e-4f88-b2af-f1233397b2f6)) + ) + + (footprint "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm" (layer "B.Cu") + (tedit 58CD3345) (tstamp 00000000-0000-0000-0000-00005fc73c42) + (at 131.95 107.8) + (descr "SMD Crystal Oscillator Seiko Epson SG-8002CA https://support.epson.biz/td/api/doc_check.php?mode=dl&lang=en&Parts=SG-8002DC, 7.0x5.0mm^2 package") + (tags "SMD SMT crystal oscillator") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006847651e") + (attr smd) + (fp_text reference "X1" (at 0 -5.35 180) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 34457c19-18bf-4a70-b2ff-f48dffc019a0) + ) + (fp_text value "28 MHz" (at 0 -4.1 180) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 4bd102db-b0d1-4f2b-9a0c-e43cdcf78ef5) + ) + (fp_text user "${VALUE}" (at 0 -4.3 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp c779bedc-9f08-4fac-8a66-11140eb7a9fa) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 81278487-7c3d-4db5-b4b8-6675f64cf0e7) + ) + (fp_circle (center 0 0) (end 1 0) (layer "B.Adhes") (width 0.1) (fill none) (tstamp 348f1c68-0692-406e-b0a5-170d3c29de25)) + (fp_circle (center 0 0) (end 0.833333 0) (layer "B.Adhes") (width 0.333333) (fill none) (tstamp 980ff647-9eff-41c1-b134-0d944b408704)) + (fp_circle (center 0 0) (end 0.233333 0) (layer "B.Adhes") (width 0.466667) (fill none) (tstamp e90b7c5d-91bd-4cc5-891c-7a66d0fa1ee5)) + (fp_circle (center 0 0) (end 0.533333 0) (layer "B.Adhes") (width 0.333333) (fill none) (tstamp ed3763a8-8dd8-4452-ac1d-1829f1d3dfaa)) + (fp_line (start -3.64 -3.3) (end -3.64 -2.7) (layer "B.SilkS") (width 0.12) (tstamp 0dfe18ad-96f4-4956-b7dd-1840d9236a02)) + (fp_line (start 3.7 2.7) (end 3.7 -2.7) (layer "B.SilkS") (width 0.12) (tstamp 112bc6ce-7b64-4866-a348-b3e564e27c22)) + (fp_line (start -3.7 -2.7) (end -3.7 2.7) (layer "B.SilkS") (width 0.12) (tstamp 4b5ba221-940d-49eb-811e-80ce4549693f)) + (fp_line (start -3.64 -2.7) (end -3.7 -2.7) (layer "B.SilkS") (width 0.12) (tstamp 5c5d0316-32e2-4cd7-b285-60421e0bd18a)) + (fp_line (start -3.7 2.7) (end -3.64 2.7) (layer "B.SilkS") (width 0.12) (tstamp 60a9e26f-907d-4be3-b821-0ded88220cbe)) + (fp_line (start -1.44 -2.7) (end -1.44 -3.3) (layer "B.SilkS") (width 0.12) (tstamp 78fe8fed-aedd-4442-8a61-a971766499c9)) + (fp_line (start 1.44 -2.7) (end -1.44 -2.7) (layer "B.SilkS") (width 0.12) (tstamp a326ea57-0445-4e42-9ada-a2d4f9656a5e)) + (fp_line (start 3.7 -2.7) (end 3.64 -2.7) (layer "B.SilkS") (width 0.12) (tstamp a87f991c-21f6-4d03-b2ab-1d923c730c9d)) + (fp_line (start -1.44 2.7) (end 1.44 2.7) (layer "B.SilkS") (width 0.12) (tstamp d18989d0-4855-4ce3-80b2-4846eeb43846)) + (fp_line (start 3.64 2.7) (end 3.7 2.7) (layer "B.SilkS") (width 0.12) (tstamp f31f284e-6b85-425e-9754-60744c1816b6)) + (fp_line (start 3.8 -3.4) (end 3.8 3.4) (layer "B.CrtYd") (width 0.05) (tstamp 77fcd018-8a9d-4fa8-840d-bf9161af60eb)) + (fp_line (start 3.8 3.4) (end -3.8 3.4) (layer "B.CrtYd") (width 0.05) (tstamp 8fbda8ef-a01c-4279-a9a4-dfe779ce2c1b)) + (fp_line (start -3.8 -3.4) (end 3.8 -3.4) (layer "B.CrtYd") (width 0.05) (tstamp e0541848-5549-4695-87fd-dec6789cc01d)) + (fp_line (start -3.8 3.4) (end -3.8 -3.4) (layer "B.CrtYd") (width 0.05) (tstamp fdd4ec65-bce8-4ea2-82fa-65ee3c361793)) + (fp_line (start 3.5 -2.5) (end 3.5 2.5) (layer "B.Fab") (width 0.1) (tstamp 2bac95e8-1928-45c7-aeed-588690695e80)) + (fp_line (start -3.5 -2.5) (end 3.5 -2.5) (layer "B.Fab") (width 0.1) (tstamp cb5a208e-b5d0-406d-826c-f6a9b9c4f8ed)) + (fp_line (start 3.5 2.5) (end -3.5 2.5) (layer "B.Fab") (width 0.1) (tstamp ceeacf1b-9f62-4d16-9a48-615d7d07f6f4)) + (fp_line (start -3.5 -1.5) (end -2.5 -2.5) (layer "B.Fab") (width 0.1) (tstamp d97070f1-b3b5-474b-b21b-f86365847490)) + (fp_line (start -3.5 2.5) (end -3.5 -2.5) (layer "B.Fab") (width 0.1) (tstamp d9b3dff8-8db3-46e6-911b-7343658956ae)) + (pad "1" smd rect locked (at -2.54 -2.1) (size 1.8 2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "+3V3") (pinfunction "EN") (pintype "input") (tstamp b126c4a2-c248-483a-9cae-793ca3775b0d)) + (pad "2" smd rect locked (at 2.54 -2.1) (size 1.8 2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp c15ce395-6169-40dd-a0a3-e26d55e98909)) + (pad "3" smd rect locked (at 2.54 2.1) (size 1.8 2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 82 "/CLK") (pinfunction "OUT") (pintype "output") (tstamp 2f884b44-f31f-4110-bebc-2d8bee259689)) + (pad "4" smd rect locked (at -2.54 2.1) (size 1.8 2) (layers "B.Cu" "B.Paste" "B.Mask") + (net 3 "+3V3") (pinfunction "V+") (pintype "power_in") (tstamp f9ebefd9-3fd8-4377-9582-b4b835cc40a7)) + (model "${KICAD6_3DMODEL_DIR}/Oscillator.3dshapes/Oscillator_SMD_EuroQuartz_XO53-4Pin_5.0x3.2mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1.4 1.4 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fca0415) + (at 139.2 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f51e5a7") + (attr smd) + (fp_text reference "R11" (at 6.142082 -0.8 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 0febb2b2-eae0-496c-bdae-21eec5b36b27) + ) + (fp_text value "100" (at -2.9 -0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c8a4219a-2ae1-46e3-a82b-09a38e290abf) + ) + (fp_text user "${VALUE}" (at 7.342082 -0.8 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp ff771c00-9d11-4483-a577-aefa6cc33731) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 60b8b8f9-239c-4d40-b660-e0227b7af659) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 9f0ce995-95d3-4cdd-bfa7-045ec1d73bff)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp dd802c3d-5aba-4c66-a517-6c1d975a8cdb)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 115650d6-a757-44f6-b253-00278b48eaa7)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 35f15aeb-4d88-48b2-8ed6-87cb9966c16b)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8fbbf097-3af1-4656-875a-0c513004aec5)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp cd9baacd-d827-48e2-8319-182b0d93407e)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 18b7d82f-3969-48a8-897e-237fb9cb3182)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 3cb9ba40-056f-476b-89cf-a39551500624)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 4df78623-6a7e-412e-87fa-badbdb61c9b3)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 7be09c46-f545-4a3c-9968-5ba625e3d1be)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 84 "Net-(R10-Pad2)") (pintype "passive") (tstamp 21d736f0-e0b0-40f9-a1d2-506abcb2b7c1)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 90 "Net-(R11-Pad2)") (pintype "passive") (tstamp 38ca24ad-f94e-46b5-b40e-325e6f907858)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fca0448) + (at 140.9 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f55ade3") + (attr smd) + (fp_text reference "R13" (at 6.142082 -1.521573 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp f4ce484b-5550-42d5-9724-bc13467a5889) + ) + (fp_text value "100" (at -2.9 -0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 3a75a6f5-c246-4987-838b-ce76847b268d) + ) + (fp_text user "${VALUE}" (at 7.342082 -1.521573 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 99f4f11a-de6c-403b-8572-9cbaae6d2aa7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 9790f54a-64a6-419d-a8a6-488126aef178) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 14007018-d3a8-4701-91ee-d3a33c3b3533)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 322b6995-53c2-4216-b7b8-142720bec6a5)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 60e95a56-9fd8-40cd-8416-65c68777d6a5)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp bf91487a-27ac-4041-8710-bec455da2293)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp f39ae00e-5e41-49b9-bcb7-efaa0ffa80d2)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp fcbff204-bcd2-4a9c-8b31-069ef7cce861)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 367b4f0f-e98a-4392-ae5e-37fd164d71dc)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 3b1c94eb-a677-441f-aeca-f7dc7d5d8231)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 4cc7e7ed-f85a-4ddd-9009-5b95c9dd03a1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp ad13e62f-84ac-4ff7-87d7-a17dd07b8b0a)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 108 "Net-(R12-Pad2)") (pintype "passive") (tstamp cd5708dc-55a5-4213-a82b-0eab66890623)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 84 "Net-(R10-Pad2)") (pintype "passive") (tstamp db62cc40-8e4b-41d5-b52f-1c5868d4abef)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fca047b) + (at 142.625 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f5985f2") + (attr smd) + (fp_text reference "R16" (at 6.142082 -2.337194 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 81d4ede2-cdcf-4267-8d7f-089b7926714e) + ) + (fp_text value "100" (at -2.9 -0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 24fd6c31-4337-45e6-9e65-75f6546d943f) + ) + (fp_text user "${VALUE}" (at 7.342082 -2.337194 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 3acedbd0-b250-4b53-adee-d8a8c32d1ff3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp d50a56f7-4a34-4d73-b1b5-c3c57e0f75d2) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 3e29e366-ceee-4b8c-8ab9-262ed1c29009)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 57e23683-34a4-4160-bb5d-844d2e50a313)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3abb4c00-0866-4531-a95e-1057bc8e527a)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c6664a2c-ddb7-4891-9032-118eef864d02)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d1eb9be1-8010-49a1-b2cd-5e14be3ec3fc)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d5b2a704-30fc-4c76-9054-a44a71209591)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 6510cbd7-0567-4790-908e-b5271c6dc658)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 6fbaf011-016b-4405-b5ce-3e6d7dc2489c)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 702c8f40-5a78-4f00-a43e-95fd097c91fb)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 9dc5e9d5-7b34-493f-b5da-c87bb81a41b2)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 93 "Net-(R15-Pad2)") (pintype "passive") (tstamp d66d1840-6a25-4a9e-87ce-afd71758a837)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 108 "Net-(R12-Pad2)") (pintype "passive") (tstamp 18caf8f6-f00a-410c-823f-5ec0ff2cd0f7)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fca04ae) + (at 144.325 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f5d69d0") + (attr smd) + (fp_text reference "R18" (at 6.142082 -3.133767 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 5f091dcc-e987-45d8-aaf4-dec5517d7751) + ) + (fp_text value "100" (at -2.9 -0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 21d32364-60f0-4e43-a71f-894939b3a2f4) + ) + (fp_text user "${VALUE}" (at 7.342082 -3.133767 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp c2690e31-ac79-484c-8943-da99b47e01fe) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 5e11615d-78e8-46a6-b743-08f96a6ef0d4) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 28a127cd-6850-4858-826c-04eff238494f)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 7c674e12-dc48-4809-b4b5-ee2865ed5bf2)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 157e46d2-56a2-471d-8987-204f19252b4f)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 74fa5e2a-9218-4a8e-836f-985d22a6becb)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp c3dce361-977c-4399-b537-3a4aff3454b7)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp dc16605c-2b67-41a2-aff8-b4393ba964f7)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 06075481-b407-4630-bff4-f2df5dab1d9f)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 3eb1b8f5-a322-4f82-aa3c-feb2f2da8f54)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 876a2555-aa26-44c2-bcd3-66afaf431412)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 92c1cce1-96d8-4d04-9753-064ea642d132)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 109 "Net-(R17-Pad2)") (tstamp bc0058bc-d207-4636-bc9a-5f2e7d851ed0)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 93 "Net-(R15-Pad2)") (tstamp 3fdf350f-fdf9-42b2-a6a6-3d7ea666bd26)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fca04e1) + (at 137.5 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f37cea7") + (attr smd) + (fp_text reference "R9" (at 6.142082 -0.1 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 88c03191-38a1-4c17-9307-844affe2bd9d) + ) + (fp_text value "100" (at -2.9 -0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e2ae9152-252d-4d1c-be50-5feadc348cc0) + ) + (fp_text user "${VALUE}" (at 7.342082 -0.1 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 75ccc52d-b603-4140-b118-ea96544a5d83) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 3de5fc81-4a9a-4b69-a410-1ad3c2771140) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 85237013-9ec7-4b07-8720-6b9c0de58bea)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp a0b28a89-3fe3-45c4-9f22-ff6ca3012991)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 04ba0001-61e3-4da0-99bf-25bec0728e7e)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 1798623e-e157-40a7-94b4-8557b27b7cd6)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d5a6b8eb-fc7d-4317-9b67-844b2f08b1af)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ebea637a-e9e0-4e78-b8e6-b57ccb991755)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 1499e1c8-0116-421c-9680-bbed826d3d9d)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 283025c7-e2b9-4a85-baaa-0e7c62d209ea)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 7b87aea8-a8ea-4f38-ba5d-efe5fd9bf624)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp a0a8b964-557e-448d-aad0-fc2120091971)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 90 "Net-(R11-Pad2)") (pintype "passive") (tstamp 07a242e7-be35-4d34-b409-53ae515a3597)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 121 "Net-(R21-Pad1)") (pintype "passive") (tstamp 1300f1fe-0ceb-4989-90ff-db42cda36a96)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (layer "B.Cu") + (tedit 5C97300E) (tstamp 00000000-0000-0000-0000-00005fca7c0a) + (at 151.6 108.645) + (descr "SOIC, 8 Pin (JEDEC MS-012AA, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_8.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000661d37ca") + (attr smd) + (fp_text reference "U3" (at 0 -4.645 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 76153974-c84b-4085-8c5d-304195413a34) + ) + (fp_text value "EPCS4" (at 0 -3.4) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ce65092d-90a0-4811-86dc-7c3051e99326) + ) + (fp_text user "${VALUE}" (at 0 -3.445 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 228cc784-7d97-4821-97a6-fbed5656e159) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") + (effects (font (size 0.98 0.98) (thickness 0.15)) (justify mirror)) + (tstamp 0ef093c9-54c0-46b3-b781-e348faa8c250) + ) + (fp_line (start 0 2.56) (end 1.95 2.56) (layer "B.SilkS") (width 0.12) (tstamp 26dbb1be-be8e-42a8-ad95-c7e1f9c0e8b7)) + (fp_line (start 0 -2.56) (end -1.95 -2.56) (layer "B.SilkS") (width 0.12) (tstamp 8de4dcff-fa6e-4c79-bb24-68e9154a9c5a)) + (fp_line (start 0 2.56) (end -3.45 2.56) (layer "B.SilkS") (width 0.12) (tstamp e3de8c8a-a72b-4802-84ab-3b23e8de96df)) + (fp_line (start 0 -2.56) (end 1.95 -2.56) (layer "B.SilkS") (width 0.12) (tstamp e3e2e2cf-a033-4d03-8bcb-5919687c4636)) + (fp_line (start -3.7 2.7) (end -3.7 -2.7) (layer "B.CrtYd") (width 0.05) (tstamp 027e9613-2a6d-450b-928c-b0636606b5ed)) + (fp_line (start -3.7 -2.7) (end 3.7 -2.7) (layer "B.CrtYd") (width 0.05) (tstamp 1317e1ec-5aa5-4a9b-8e93-779006c3c8a4)) + (fp_line (start 3.7 -2.7) (end 3.7 2.7) (layer "B.CrtYd") (width 0.05) (tstamp 22290dea-b1c7-4d0d-bd2d-53b8dc67fddd)) + (fp_line (start 3.7 2.7) (end -3.7 2.7) (layer "B.CrtYd") (width 0.05) (tstamp 98535764-be6d-4078-bd64-5e3ef9a640c8)) + (fp_line (start -0.975 2.45) (end 1.95 2.45) (layer "B.Fab") (width 0.1) (tstamp 76b6bc7d-a129-4e8a-8caa-5ede324de345)) + (fp_line (start 1.95 -2.45) (end -1.95 -2.45) (layer "B.Fab") (width 0.1) (tstamp 90ca2ea3-0342-4476-89b8-9e30f737d93f)) + (fp_line (start 1.95 2.45) (end 1.95 -2.45) (layer "B.Fab") (width 0.1) (tstamp b4d7477d-4f7f-4159-8863-48e8e8a49755)) + (fp_line (start -1.95 1.475) (end -0.975 2.45) (layer "B.Fab") (width 0.1) (tstamp c95fd0d8-937f-4ac7-9336-a19f3cf21352)) + (fp_line (start -1.95 -2.45) (end -1.95 1.475) (layer "B.Fab") (width 0.1) (tstamp d97daf73-6663-4119-bbdd-54e34c42178a)) + (pad "1" smd roundrect locked (at -2.475 1.905) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 85 "/~EPCS_CS") (pinfunction "~CS") (pintype "input") (tstamp fad6b320-02ca-477f-9d6a-95a4d90a3318)) + (pad "2" smd roundrect locked (at -2.475 0.635) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 86 "/EPCS_DATA") (pinfunction "DATA") (pintype "tri_state") (tstamp 7cb4aed8-efff-4d8c-89b9-0c929ef5cb58)) + (pad "3" smd roundrect locked (at -2.475 -0.635) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCC") (pintype "input") (tstamp 6b1f2417-6146-4076-8cc0-f2f5405ba4d2)) + (pad "4" smd roundrect locked (at -2.475 -1.905) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b58a8dd6-3e8d-4cca-9164-5eaf5647eebd)) + (pad "5" smd roundrect locked (at 2.475 -1.905) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 87 "/EPCS_ASD") (pinfunction "ASDI") (pintype "input") (tstamp 911c82dc-3786-4554-b655-922bee84e587)) + (pad "6" smd roundrect locked (at 2.475 -0.635) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 88 "/EPCS_DCLK") (pinfunction "DCLK") (pintype "input") (tstamp d774bf06-40eb-433c-baca-1fc7771797a0)) + (pad "7" smd roundrect locked (at 2.475 0.635) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCC") (pintype "input") (tstamp b1ca553d-7704-4d66-953b-787cbdfadfa7)) + (pad "8" smd roundrect locked (at 2.475 1.905) (size 1.95 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp edd54f97-5f5d-42e5-ad7e-6d698b6033cf)) + (model "${KISYS3DMOD}/Package_SO.3dshapes/SOIC-8_3.9x4.9mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fcfb26c) + (at 153.924784 89.375216 45) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000070ed09b6") + (attr smd) + (fp_text reference "R33" (at -0.247182 -1.202082 45 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 1e92c645-8cce-4088-aace-066f6b65d801) + ) + (fp_text value "10k" (at 0 -1.43 45) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ca237f15-f4aa-49bd-b712-3756b5d3a9b3) + ) + (fp_text user "${VALUE}" (at 0.074192 1.370836 45 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 3a1472c1-0faf-488a-bc42-769c25f80936) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 0879eb57-42ac-46b4-9ec2-460ae6d2a0d4) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 6a9627f3-a403-4aae-9e85-b1524890bea9)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 84ea4422-fc79-4dfd-b981-5ef2bf357707)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2492f7a3-7dec-4c60-8cbf-70ea7256c493)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5a0df06a-182e-4b0e-a632-52b2148a85ab)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b8c374b9-c043-4ae9-8b7d-d3ad7408319e)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c43e3c15-2c40-4a4b-8524-79c5c81ebc13)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 073a07be-a0ef-417c-8b0c-2094b703fceb)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 0787e212-5faf-40e0-af00-9488b78c9773)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 1209dca4-0dd2-44cf-8948-f0d283ba703c)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp ab07517e-bb5f-4e8a-aa46-ea92f11e8b7c)) + (pad "1" smd roundrect locked (at -0.9125 0 45) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp 8ae9dc31-3e7b-42a5-a8df-a8b1463805d3)) + (pad "2" smd roundrect locked (at 0.9125 0 45) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 101 "Net-(J7-Pad1)") (pintype "passive") (tstamp 3d1c85cc-8e0f-44db-a365-d6df5017d2a4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_QFP:TQFP-100_14x14mm_P0.5mm" (layer "B.Cu") + (tedit 5B56F227) (tstamp 00000000-0000-0000-0000-00005fd616b3) + (at 164.1 99.7 45) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_qfp_generator.py") + (tags "TQFP QFP") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000065eb9dff") + (attr smd) + (fp_text reference "U5" (at 7.848885 -7.707464 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 67b39213-a026-4119-94db-b6d090eeb3a5) + ) + (fp_text value "EP1C3T100C8N" (at -0.777817 0.777817) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 19c2c6da-09cf-44f4-b1ec-7a3764750ac0) + ) + (fp_text user "${VALUE}" (at -8.061017 8.061017 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 4913ee6b-fc04-4225-a76f-905bf4224aa8) + ) + (fp_text user "${REFERENCE}" (at 1.06066 -1.06066) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b44ac7b8-9698-44e3-8694-acd383b91522) + ) + (fp_line (start 6.41 -7.11) (end 7.11 -7.11) (layer "B.SilkS") (width 0.12) (tstamp 02246ac7-3c3d-46d5-9b58-ccb88dbc0719)) + (fp_line (start -6.41 -7.11) (end -7.11 -7.11) (layer "B.SilkS") (width 0.12) (tstamp 5a07e7a2-74d0-4f69-896b-f7f2b53bb23f)) + (fp_line (start -7.11 -7.11) (end -7.11 -6.41) (layer "B.SilkS") (width 0.12) (tstamp 742442ae-8c66-4da3-b126-1ee74cd8dea6)) + (fp_line (start -7.11 7.11) (end -7.11 6.41) (layer "B.SilkS") (width 0.12) (tstamp 827e0dc3-491b-45dd-a550-5ae6acf262a7)) + (fp_line (start 7.11 7.11) (end 7.11 6.41) (layer "B.SilkS") (width 0.12) (tstamp 90acb154-a28e-4c92-a7c9-f0e63acbd7e6)) + (fp_line (start -6.41 7.11) (end -7.11 7.11) (layer "B.SilkS") (width 0.12) (tstamp 93babb82-7ea7-41de-9646-fde8d0ffb53f)) + (fp_line (start 7.11 -7.11) (end 7.11 -6.41) (layer "B.SilkS") (width 0.12) (tstamp c5c6fe92-b94c-44e7-8d18-23063308545b)) + (fp_line (start -7.11 6.41) (end -8.4 6.41) (layer "B.SilkS") (width 0.12) (tstamp c9d55a16-31e1-4323-b17e-f015151b5911)) + (fp_line (start 6.41 7.11) (end 7.11 7.11) (layer "B.SilkS") (width 0.12) (tstamp de902c41-5aaa-432b-8b51-aa11b5808890)) + (fp_line (start -7.25 -7.25) (end -7.25 -6.4) (layer "B.CrtYd") (width 0.05) (tstamp 0a9e38ce-21b1-48f7-a931-c3344b5280cb)) + (fp_line (start -6.4 8.65) (end -6.4 7.25) (layer "B.CrtYd") (width 0.05) (tstamp 0bc5c464-660f-4b61-a35a-49a6a4643700)) + (fp_line (start -6.4 -7.25) (end -7.25 -7.25) (layer "B.CrtYd") (width 0.05) (tstamp 203c658e-80ab-4f51-bd76-6e1fe9df93e7)) + (fp_line (start -7.25 6.4) (end -8.65 6.4) (layer "B.CrtYd") (width 0.05) (tstamp 21701846-cfc7-411e-ba07-1776ae5e50a8)) + (fp_line (start 7.25 -7.25) (end 7.25 -6.4) (layer "B.CrtYd") (width 0.05) (tstamp 21a29b6a-d083-4d0a-860b-cde37c719d31)) + (fp_line (start 8.65 -6.4) (end 8.65 0) (layer "B.CrtYd") (width 0.05) (tstamp 26bc2495-8393-4c8f-b557-f769904bbabc)) + (fp_line (start -7.25 -6.4) (end -8.65 -6.4) (layer "B.CrtYd") (width 0.05) (tstamp 2ceb7e3b-4630-4f54-a809-73ff613ac292)) + (fp_line (start 7.25 6.4) (end 8.65 6.4) (layer "B.CrtYd") (width 0.05) (tstamp 374c93a9-fa1e-488e-a2bf-c86a7ad2feff)) + (fp_line (start 6.4 7.25) (end 7.25 7.25) (layer "B.CrtYd") (width 0.05) (tstamp 3a767587-06b0-4c56-991e-21249e56f08f)) + (fp_line (start -7.25 7.25) (end -7.25 6.4) (layer "B.CrtYd") (width 0.05) (tstamp 3bfaacdc-9d08-4093-a0ac-0447308bbb30)) + (fp_line (start -6.4 7.25) (end -7.25 7.25) (layer "B.CrtYd") (width 0.05) (tstamp 5d0caa62-6273-4bc5-a77d-a740151550cd)) + (fp_line (start 6.4 -8.65) (end 6.4 -7.25) (layer "B.CrtYd") (width 0.05) (tstamp 7e895dfd-c44a-402a-a0fc-409949448d16)) + (fp_line (start 6.4 8.65) (end 6.4 7.25) (layer "B.CrtYd") (width 0.05) (tstamp 8dd41bd7-44f5-44f8-8ff0-b708a338b695)) + (fp_line (start 0 -8.65) (end 6.4 -8.65) (layer "B.CrtYd") (width 0.05) (tstamp 8f0ac356-33a0-4c21-b2b3-0ff59946991b)) + (fp_line (start -8.65 -6.4) (end -8.65 0) (layer "B.CrtYd") (width 0.05) (tstamp 9c11c74b-1b0b-456d-a031-89c9e87f5bd8)) + (fp_line (start -8.65 6.4) (end -8.65 0) (layer "B.CrtYd") (width 0.05) (tstamp a270426f-24c6-454a-9e24-5659d04bd103)) + (fp_line (start 7.25 -6.4) (end 8.65 -6.4) (layer "B.CrtYd") (width 0.05) (tstamp ad6e1b78-98f9-41bc-96e9-17a65407933c)) + (fp_line (start 6.4 -7.25) (end 7.25 -7.25) (layer "B.CrtYd") (width 0.05) (tstamp af2cdb1f-f7da-4bd6-9311-cdcf855e922a)) + (fp_line (start 0 8.65) (end 6.4 8.65) (layer "B.CrtYd") (width 0.05) (tstamp b3f39599-a1af-48c6-9767-d85023a0e269)) + (fp_line (start 7.25 7.25) (end 7.25 6.4) (layer "B.CrtYd") (width 0.05) (tstamp bd310906-5d07-4e57-926e-d8d843870724)) + (fp_line (start 0 8.65) (end -6.4 8.65) (layer "B.CrtYd") (width 0.05) (tstamp d08a1a78-4d61-46c1-92d1-56f0d6456bb9)) + (fp_line (start -6.4 -8.65) (end -6.4 -7.25) (layer "B.CrtYd") (width 0.05) (tstamp de671251-bb56-4b47-90ec-131c4c4d0af1)) + (fp_line (start 0 -8.65) (end -6.4 -8.65) (layer "B.CrtYd") (width 0.05) (tstamp e87e21fd-6503-41b0-b683-2a6426e8a631)) + (fp_line (start 8.65 6.4) (end 8.65 0) (layer "B.CrtYd") (width 0.05) (tstamp f2ac2e88-3e55-4453-9081-10002abd631a)) + (fp_line (start -7 -7) (end -7 6) (layer "B.Fab") (width 0.1) (tstamp 408d3101-acdc-4274-9b9c-f01f3511a33d)) + (fp_line (start -6 7) (end 7 7) (layer "B.Fab") (width 0.1) (tstamp 47018aeb-810e-43b6-a1fa-de07b6778e67)) + (fp_line (start 7 -7) (end -7 -7) (layer "B.Fab") (width 0.1) (tstamp 6b8b8816-863c-46f4-9a5b-31a4012d5e41)) + (fp_line (start -7 6) (end -6 7) (layer "B.Fab") (width 0.1) (tstamp 6fa0f9d9-a104-406a-88d4-c1b1dd73f99a)) + (fp_line (start 7 7) (end 7 -7) (layer "B.Fab") (width 0.1) (tstamp 77aa29e0-42bd-43e4-bd2e-a2c46a28d3f4)) + (pad "1" smd roundrect locked (at -7.6625 6 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 57 "/VA10") (pinfunction "IO/INIT_DONE") (pintype "bidirectional") (tstamp 49253b7d-be84-4e55-a44b-1eec107494dd)) + (pad "2" smd roundrect locked (at -7.6625 5.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 56 "/VA5") (pinfunction "IO/CRC_ERROR") (pintype "bidirectional") (tstamp e06ee5cd-05d2-4939-aad8-6cc71aad4a4a)) + (pad "3" smd roundrect locked (at -7.6625 5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 48 "/VD4") (pinfunction "IO/CLKUSR") (pintype "bidirectional") (tstamp ab336da4-ed2b-492b-b0ce-af08f0ef0e43)) + (pad "4" smd roundrect locked (at -7.6625 4.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 51 "/VA12") (pinfunction "IO") (pintype "bidirectional") (tstamp cc12a1fe-f615-4baf-a870-3d153d4c857b)) + (pad "5" smd roundrect locked (at -7.6625 4 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 61 "/VA11") (pinfunction "IO") (pintype "bidirectional") (tstamp 8e7c855d-7f19-4cf7-be6d-0bd2fb34f22a)) + (pad "6" smd roundrect locked (at -7.6625 3.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 85 "/~EPCS_CS") (pinfunction "IO/nCSO") (pintype "bidirectional") (tstamp bca0c7c7-dda1-437b-8859-f15081dec79c)) + (pad "7" smd roundrect locked (at -7.6625 3 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 86 "/EPCS_DATA") (pinfunction "DATA0") (pintype "input") (tstamp ba7a6f5f-cf6e-47f5-b582-ca1a461335b9)) + (pad "8" smd roundrect locked (at -7.6625 2.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "nCONFIG") (pintype "input") (tstamp 6a4a9ab3-b5f3-414d-8634-d8f707a0ca43)) + (pad "9" smd roundrect locked (at -7.6625 2 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 110 "1V5_PLL") (pinfunction "VCCA_PLL1") (pintype "power_in") (tstamp 7e4c6b7c-643b-4b4f-8d3a-92001243c15d)) + (pad "10" smd roundrect locked (at -7.6625 1.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 82 "/CLK") (pinfunction "CLK0") (pintype "input") (tstamp 4764c6fc-138b-4b9d-9a6e-eadf094850cf)) + (pad "11" smd roundrect locked (at -7.6625 1 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GNDA_PLL1") (pintype "power_in") (tstamp 1f32b5e8-b743-458b-84b5-613fdc5910d8)) + (pad "12" smd roundrect locked (at -7.6625 0.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (pinfunction "nCEO") (pintype "output+no_connect") (tstamp 36d58fa2-d7c2-4434-af02-ac6c0db7a98a)) + (pad "13" smd roundrect locked (at -7.6625 0 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "nCE") (pintype "input") (tstamp afe25c3d-4b93-4242-a5ee-188a68db81f9)) + (pad "14" smd roundrect locked (at -7.6625 -0.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "MSEL0") (pintype "input") (tstamp ecb45f6f-d514-4440-beb0-480579b53c47)) + (pad "15" smd roundrect locked (at -7.6625 -1 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "MSEL1") (pintype "input") (tstamp 7f4b1d0f-7c48-4091-b04c-5db3c6046cdd)) + (pad "16" smd roundrect locked (at -7.6625 -1.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 88 "/EPCS_DCLK") (pinfunction "DCLK") (pintype "bidirectional") (tstamp e778937f-9e24-4a4f-933e-4205ea14abb3)) + (pad "17" smd roundrect locked (at -7.6625 -2 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 87 "/EPCS_ASD") (pinfunction "IO/ASDO") (pintype "bidirectional") (tstamp 6e3680e2-149d-465d-9e73-eb459f51d348)) + (pad "18" smd roundrect locked (at -7.6625 -2.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp 1839f13c-1e2b-483c-9bfe-7627eddc5262)) + (pad "19" smd roundrect locked (at -7.6625 -3 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a73dfd58-1951-4704-95a7-fae20dfef6cf)) + (pad "20" smd roundrect locked (at -7.6625 -3.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 79 "/PS2_CLK") (pinfunction "IO") (pintype "bidirectional") (tstamp 76608cd5-262b-48a7-9f1a-1ccba30649a7)) + (pad "21" smd roundrect locked (at -7.6625 -4 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 80 "/PS2_DAT") (pinfunction "IO") (pintype "bidirectional") (tstamp f25448d7-4d66-4efc-aeaf-16d646c1e0f4)) + (pad "22" smd roundrect locked (at -7.6625 -4.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 122 "Net-(TP1-Pad1)") (pinfunction "IO") (pintype "bidirectional") (tstamp f524eb1b-c3e2-481a-9289-ba18f6338cdc)) + (pad "23" smd roundrect locked (at -7.6625 -5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 123 "Net-(TP2-Pad1)") (pinfunction "IO") (pintype "bidirectional") (tstamp 4f7c9e20-5875-4734-bf61-b8da276aef2e)) + (pad "24" smd roundrect locked (at -7.6625 -5.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 43 "/SND_DAC_L") (pinfunction "IO") (pintype "bidirectional") (tstamp 00780037-3e0e-4815-a92d-25213bd53554)) + (pad "25" smd roundrect locked (at -7.6625 -6 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 44 "/SND_DAC_R") (pinfunction "IO") (pintype "bidirectional") (tstamp 5534e453-77f7-4fec-9885-11778a8e440e)) + (pad "26" smd roundrect locked (at -6 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 107 "/V7") (pinfunction "IO") (pintype "bidirectional") (tstamp 2c9bcbc5-a9e8-4cb7-ba01-8bf62d2ca19b)) + (pad "27" smd roundrect locked (at -5.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 95 "/V6") (pinfunction "IO") (pintype "bidirectional") (tstamp 62ca7f9a-c852-422c-950c-8c212ddef43c)) + (pad "28" smd roundrect locked (at -5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 89 "/V5") (pinfunction "IO") (pintype "bidirectional") (tstamp 32bce434-a272-4e61-8f11-267dc0ab65ad)) + (pad "29" smd roundrect locked (at -4.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 91 "/V4") (pinfunction "IO") (pintype "bidirectional") (tstamp c26a584a-6492-4902-b1e4-10b4ce1d6be9)) + (pad "30" smd roundrect locked (at -4 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6ca22a31-22a7-48db-abd1-6bcac976d6a2)) + (pad "31" smd roundrect locked (at -3.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO4") (pintype "power_in") (tstamp 8020b46a-de7b-46d9-8f3d-965e1a46bd11)) + (pad "32" smd roundrect locked (at -3 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6ef78117-3e10-41cb-9286-f998f2f3de76)) + (pad "33" smd roundrect locked (at -2.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 81 "+1V5") (pinfunction "VCCINT") (pintype "power_in") (tstamp 0a8b8128-67f1-480a-9168-c8771d1cacf1)) + (pad "34" smd roundrect locked (at -2 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 92 "/V3") (pinfunction "IO") (pintype "bidirectional") (tstamp a6d32062-c06d-4fea-a529-de9d9b383622)) + (pad "35" smd roundrect locked (at -1.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 94 "/V2") (pinfunction "IO") (pintype "bidirectional") (tstamp 9fc7d1da-5ac8-43f0-a81d-60f51291e94e)) + (pad "36" smd roundrect locked (at -1 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 96 "/V1") (pinfunction "IO") (pintype "bidirectional") (tstamp b7ded496-15c9-4214-97de-22027f4d079b)) + (pad "37" smd roundrect locked (at -0.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 97 "/V0") (pinfunction "IO") (pintype "bidirectional") (tstamp d7f306aa-c597-47ce-b247-e94820cd1eec)) + (pad "38" smd roundrect locked (at 0 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 117 "/~SD_CD") (pinfunction "IO") (pintype "bidirectional") (tstamp 1597d09a-b0b3-4ca3-8068-94fa26089b45)) + (pad "39" smd roundrect locked (at 0.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 115 "/~SD_CS") (pinfunction "IO") (pintype "bidirectional") (tstamp cc6f8916-cef7-4003-9e16-3595ef2d747c)) + (pad "40" smd roundrect locked (at 1 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 98 "/SD_MISO\\TAPE") (pinfunction "IO") (pintype "bidirectional") (tstamp 1e48c58f-be76-4bca-b959-752d9c923530)) + (pad "41" smd roundrect locked (at 1.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 42 "/SD_SCK") (pinfunction "IO") (pintype "bidirectional") (tstamp 41d3d451-5d94-4b78-8e49-a1078d30df7c)) + (pad "42" smd roundrect locked (at 2 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 116 "/SD_MOSI\\TAPE") (pinfunction "IO") (pintype "bidirectional") (tstamp 2a7bb4bc-9fa0-4137-9aa1-b0cf9ccf563c)) + (pad "43" smd roundrect locked (at 2.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 7a4cad49-ac7f-41f8-874d-1b177bd7e682)) + (pad "44" smd roundrect locked (at 3 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 81 "+1V5") (pinfunction "VCCINT") (pintype "power_in") (tstamp 60df5e66-731e-4dab-a4b0-e4b04a50348b)) + (pad "45" smd roundrect locked (at 3.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 6064080f-38b1-4bc6-99b9-658e859d2009)) + (pad "46" smd roundrect locked (at 4 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO4") (pintype "power_in") (tstamp 3679e5d8-4962-412f-86f6-bc4012052593)) + (pad "47" smd roundrect locked (at 4.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 72 "/VD2") (pinfunction "IO") (pintype "bidirectional") (tstamp 230b1665-4619-41c7-b4d8-079f54273d91)) + (pad "48" smd roundrect locked (at 5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 68 "/VD0") (pinfunction "IO") (pintype "bidirectional") (tstamp c51ea9b7-f8c6-47e5-9a63-8ca2b9e05333)) + (pad "49" smd roundrect locked (at 5.5 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 54 "/VD7") (pinfunction "IO") (pintype "bidirectional") (tstamp 86f2a137-d432-4b55-afb8-472a2d6afb54)) + (pad "50" smd roundrect locked (at 6 -7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 70 "/VD1") (pinfunction "IO") (pintype "bidirectional") (tstamp 741f20d9-d359-4799-b5ee-eaaf6e6aa53f)) + (pad "51" smd roundrect locked (at 7.6625 -6 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 52 "/VD6") (pinfunction "IO") (pintype "bidirectional") (tstamp c297f735-b02a-4dfe-acfb-38a4522583fc)) + (pad "52" smd roundrect locked (at 7.6625 -5.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/~MREQ") (pinfunction "IO") (pintype "bidirectional") (tstamp 7c6a3f54-5eb3-446f-88ec-db869a92ab2d)) + (pad "53" smd roundrect locked (at 7.6625 -5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/~IORQ") (pinfunction "IO") (pintype "bidirectional") (tstamp d2d25c46-71c9-415d-bd63-2140b78f5afb)) + (pad "54" smd roundrect locked (at 7.6625 -4.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 15 "/~INT") (pinfunction "IO") (pintype "bidirectional") (tstamp 17978dc1-472b-4c48-9bd4-54fd62d82a24)) + (pad "55" smd roundrect locked (at 7.6625 -4 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 38 "/~NMI") (pinfunction "IO") (pintype "bidirectional") (tstamp 0b2f745d-d323-4b54-9924-bc2b787621c5)) + (pad "56" smd roundrect locked (at 7.6625 -3.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 49 "/VA14") (pinfunction "IO") (pintype "bidirectional") (tstamp 1dd66081-6f50-4fec-aba7-bda211a472b2)) + (pad "57" smd roundrect locked (at 7.6625 -3 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 59 "/~VRD") (pinfunction "IO") (pintype "bidirectional") (tstamp 6db9bbf2-61c1-4f32-98a1-6668d5f7791f)) + (pad "58" smd roundrect locked (at 7.6625 -2.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 99f3d626-f7a6-4c41-9668-faaa87ced3a5)) + (pad "59" smd roundrect locked (at 7.6625 -2 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO3") (pintype "power_in") (tstamp 544e32c3-02d1-4950-a0b9-c21c751d740d)) + (pad "60" smd roundrect locked (at 7.6625 -1.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(R3-Pad2)") (pinfunction "CONF_DONE") (pintype "bidirectional") (tstamp e7169281-7d06-4492-9fc8-14d4440c8c44)) + (pad "61" smd roundrect locked (at 7.6625 -1 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(R2-Pad2)") (pinfunction "nSTATUS") (pintype "bidirectional") (tstamp 54f1d331-b8e1-4d61-a05d-17ea58d9d209)) + (pad "62" smd roundrect locked (at 7.6625 -0.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 74 "/TCK") (pinfunction "TCK") (pintype "input") (tstamp 2a60928a-69cd-41e5-83f7-99611d1ab935)) + (pad "63" smd roundrect locked (at 7.6625 0 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 76 "/TMS") (pinfunction "TMS") (pintype "input") (tstamp 6f64ddc0-820e-4e59-a28b-659ea4d50898)) + (pad "64" smd roundrect locked (at 7.6625 0.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 75 "/TDO") (pinfunction "TDO") (pintype "output") (tstamp bf0a8a46-894e-4995-b347-ba3a8952e1e4)) + (pad "65" smd roundrect locked (at 7.6625 1 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 47 "/VA16") (pinfunction "IO") (pintype "bidirectional") (tstamp 329f3616-e859-4b66-9d85-b72b8c766758)) + (pad "66" smd roundrect locked (at 7.6625 1.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (pinfunction "CLK2") (pintype "input+no_connect") (tstamp 8315e1d1-131a-40ef-b591-0a85cc63c522)) + (pad "67" smd roundrect locked (at 7.6625 2 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 77 "/TDI") (pinfunction "TDI") (pintype "input") (tstamp 49263d22-8cd0-4eb2-a421-0008c17d5362)) + (pad "68" smd roundrect locked (at 7.6625 2.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 71 "/VA18") (pinfunction "IO") (pintype "bidirectional") (tstamp 0c3dbf82-6ec5-4cdd-abd8-579ee181bd43)) + (pad "69" smd roundrect locked (at 7.6625 3 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 73 "/VA15") (pinfunction "IO") (pintype "bidirectional") (tstamp a10c853f-078b-41f9-9ba7-486c890a4c9c)) + (pad "70" smd roundrect locked (at 7.6625 3.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 67 "/VA13") (pinfunction "IO") (pintype "bidirectional") (tstamp 162ddf4f-82bb-4299-a5a8-965f0de03cbe)) + (pad "71" smd roundrect locked (at 7.6625 4 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 69 "/~VWR") (pinfunction "IO") (pintype "bidirectional") (tstamp 94c46dd8-0b36-4387-8180-8260221c1a60)) + (pad "72" smd roundrect locked (at 7.6625 4.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 45 "/VA17") (pinfunction "IO") (pintype "bidirectional") (tstamp 2650f2e0-c83d-4166-96cb-70fa38c6f46e)) + (pad "73" smd roundrect locked (at 7.6625 5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 37 "/CLKCPU") (pinfunction "IO") (pintype "bidirectional") (tstamp 5f433979-2fce-41d5-8fb0-68954bf6af6c)) + (pad "74" smd roundrect locked (at 7.6625 5.5 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 25 "/~WR") (pinfunction "IO") (pintype "bidirectional") (tstamp 5076d6ce-bea2-42f2-88ac-2666d9e2097a)) + (pad "75" smd roundrect locked (at 7.6625 6 45) (size 1.475 0.3) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 18 "/~RD") (pinfunction "IO") (pintype "bidirectional") (tstamp 1c1af3a4-3af0-4541-94c3-3212f435aa28)) + (pad "76" smd roundrect locked (at 6 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 19 "/A14") (pinfunction "IO") (pintype "bidirectional") (tstamp 593f3c5b-0a6c-461b-9a97-994e301d49fc)) + (pad "77" smd roundrect locked (at 5.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 5 "/A15") (pinfunction "IO") (pintype "bidirectional") (tstamp e856e2db-d757-4c94-8816-5a1cfd594a6c)) + (pad "78" smd roundrect locked (at 5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 6 "/A13") (pinfunction "IO") (pintype "bidirectional") (tstamp 585841d1-d756-47ca-9a5e-9f820d45eff2)) + (pad "79" smd roundrect locked (at 4.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "/VD5") (pinfunction "IO") (pintype "bidirectional") (tstamp f5c781fe-a7d2-4677-93ca-e5e553f65f62)) + (pad "80" smd roundrect locked (at 4 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp d0dd86b1-44ba-4bce-abce-ce6497ac61ca)) + (pad "81" smd roundrect locked (at 3.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 13d6ddac-134a-42a3-9ff8-14266fb9afe2)) + (pad "82" smd roundrect locked (at 3 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 81 "+1V5") (pinfunction "VCCINT") (pintype "power_in") (tstamp b463aa62-3ece-4939-b45e-bb3e4494b62d)) + (pad "83" smd roundrect locked (at 2.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8b4d910c-7a79-423c-841b-dc0cd3592463)) + (pad "84" smd roundrect locked (at 2 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 30 "/~RSTCPU") (pinfunction "IO") (pintype "bidirectional") (tstamp cd9cb9c6-9855-4376-8606-979c0968de04)) + (pad "85" smd roundrect locked (at 1.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 26 "/~M1") (pinfunction "IO") (pintype "bidirectional") (tstamp a6e93c2d-16b5-45f4-bcee-4daad366ef0b)) + (pad "86" smd roundrect locked (at 1 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 27 "/~RFSH") (pinfunction "IO") (pintype "bidirectional") (tstamp 7ce0849e-ec8d-403d-8908-671e62cba682)) + (pad "87" smd roundrect locked (at 0.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "/VD3") (pinfunction "IO") (pintype "bidirectional") (tstamp 1e66094f-179c-4df5-978b-5550717ea668)) + (pad "88" smd roundrect locked (at 0 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 66 "/VA0") (pinfunction "IO") (pintype "bidirectional") (tstamp 0409a8ca-f789-4c0c-8775-a4eadc206a31)) + (pad "89" smd roundrect locked (at -0.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 64 "/VA1") (pinfunction "IO") (pintype "bidirectional") (tstamp 7ae31851-d35c-4013-b072-0c6f611ab91e)) + (pad "90" smd roundrect locked (at -1 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 62 "/VA2") (pinfunction "IO") (pintype "bidirectional") (tstamp f6db0d64-6e22-4a1a-acc5-93dd28b671ec)) + (pad "91" smd roundrect locked (at -1.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 60 "/VA3") (pinfunction "IO") (pintype "bidirectional") (tstamp 5f50f905-2b83-4630-9009-a0faf53648b8)) + (pad "92" smd roundrect locked (at -2 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 58 "/VA4") (pinfunction "IO") (pintype "bidirectional") (tstamp 9310942f-68d7-4f3a-97f2-ecf98788bca6)) + (pad "93" smd roundrect locked (at -2.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 81 "+1V5") (pinfunction "VCCINT") (pintype "power_in") (tstamp aceaf6e9-6880-4190-9ef4-6759046e9e61)) + (pad "94" smd roundrect locked (at -3 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp aceb18d1-309a-4eca-a079-06d899a1654d)) + (pad "95" smd roundrect locked (at -3.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 82abfcc8-6932-4141-916e-5a2bf10e3ac9)) + (pad "96" smd roundrect locked (at -4 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b3940e2e-3ab8-4d26-8442-524386e1e1f0)) + (pad "97" smd roundrect locked (at -4.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 55 "/VA6") (pinfunction "IO") (pintype "bidirectional") (tstamp 7a2c28c7-5693-4339-8446-bf1258f5c319)) + (pad "98" smd roundrect locked (at -5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 53 "/VA7") (pinfunction "IO") (pintype "bidirectional") (tstamp 2092aeb5-5ff1-42ed-95ea-0ff5e6f61e9f)) + (pad "99" smd roundrect locked (at -5.5 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 65 "/VA8") (pinfunction "IO/DEV_OE") (pintype "bidirectional") (tstamp 1e6540f7-14f6-4eb5-a55c-0c1d05244efa)) + (pad "100" smd roundrect locked (at -6 7.6625 45) (size 0.3 1.475) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 63 "/VA9") (pinfunction "IO/DEV_CLRn") (pintype "bidirectional") (tstamp 9fbf3ea2-cc9a-4223-90a9-020fefbe2eeb)) + (model "${KISYS3DMOD}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEF) (tstamp 00000000-0000-0000-0000-00005fd6305a) + (at 156.75 92.05 -135) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006cc09edd") + (attr smd) + (fp_text reference "C14" (at -0.038531 1.174748 45 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp c12920a8-f623-4f16-af19-eca174f78462) + ) + (fp_text value "1u" (at 0 -1.43 45) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 896e0195-af46-4dbe-8016-8d8351ee4716) + ) + (fp_text user "${VALUE}" (at 0.10289 -1.229415 45 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 67e23d1a-8f1a-474b-a07f-60fe794feda1) + ) + (fp_text user "${REFERENCE}" (at 0 0 45) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 348abaa7-887a-4f5b-a18b-118c37bd5999) + ) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp 46626b89-cbf4-47aa-ab90-f7d725f5dfa0)) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 745b6e48-3c95-4d10-a59c-215dc9114cf0)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 077c8c70-7916-4088-8c63-e6ec013ca0e8)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 55a1bf1a-9502-418f-9de3-e34990194405)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp d01dd1eb-4368-4fc8-bab7-11aefb7b3c9b)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp f2ec0068-74c9-4e66-9130-689d3af71fc8)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 5dfcee3e-bcb0-4c3d-a495-5f87b3faa32d)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 78391099-821c-47ea-8d93-7fb58c54f52e)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 9261f1cf-d335-4936-a55e-8ef1c9f4d43b)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 9a1ec818-0c42-47b1-990f-48661828ec0a)) + (pad "1" smd roundrect locked (at -0.8625 0 225) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 81 "+1V5") (pintype "passive") (tstamp 1e6e34bb-763e-4772-8b29-ca2af6bb60f0)) + (pad "2" smd roundrect locked (at 0.8625 0 225) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp 3446955a-b9a7-4fc2-bdbc-187096c74c5b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SSOP-32_11.305x20.495mm_P1.27mm" (layer "B.Cu") + (tedit 5B9E9FB6) (tstamp 00000000-0000-0000-0000-00005fdd04a9) + (at 187.845 96.6 90) + (descr "SSOP, 32 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SSOP SO") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00007366fa7f") + (attr smd) + (fp_text reference "U6" (at 8.3 6.255 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 6f4f16e2-7317-4899-b927-fe6a9f38cfae) + ) + (fp_text value "AS6C4008-55SIN" (at -1.2 -0.045) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 80f1f6fa-5714-4ae3-876a-ddbb2a204dea) + ) + (fp_text user "${VALUE}" (at 8.3 -1.145 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp cc659e1e-f999-4219-8a26-39c82409e704) + ) + (fp_text user "${REFERENCE}" (at 0.8 0) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 9fc8d06b-14d2-4d10-a47b-f851168421ba) + ) + (fp_line (start 0 -10.3575) (end -5.6525 -10.3575) (layer "B.SilkS") (width 0.12) (tstamp 351e8672-0fcf-44a0-a68b-dc96fd3ee953)) + (fp_line (start 0 -10.3575) (end 5.6525 -10.3575) (layer "B.SilkS") (width 0.12) (tstamp 54a09b88-c9ef-452c-9800-ff7c731ce677)) + (fp_line (start 0 10.3575) (end 5.6525 10.3575) (layer "B.SilkS") (width 0.12) (tstamp 5dc2e5a9-a096-45af-819f-d25233295ec1)) + (fp_line (start 0 10.3575) (end -7.575 10.3575) (layer "B.SilkS") (width 0.12) (tstamp b21b5661-46c9-4266-86ee-38899bde59db)) + (fp_line (start 7.83 10.5) (end -7.83 10.5) (layer "B.CrtYd") (width 0.05) (tstamp 2448bcd8-67d1-4d8e-8456-b0e39ede85a0)) + (fp_line (start -7.83 10.5) (end -7.83 -10.5) (layer "B.CrtYd") (width 0.05) (tstamp 69165e35-5024-4ffa-ba40-dc05011fbdc1)) + (fp_line (start 7.83 -10.5) (end 7.83 10.5) (layer "B.CrtYd") (width 0.05) (tstamp 9d53ba68-787a-4751-908e-5dfceb0fba88)) + (fp_line (start -7.83 -10.5) (end 7.83 -10.5) (layer "B.CrtYd") (width 0.05) (tstamp ec02adbf-68d7-4a9d-8a21-bf13aefe9540)) + (fp_line (start 5.6525 10.2475) (end 5.6525 -10.2475) (layer "B.Fab") (width 0.1) (tstamp 8656dbd2-c2bc-4a0f-a2f4-8bc5d664550f)) + (fp_line (start -4.6525 10.2475) (end 5.6525 10.2475) (layer "B.Fab") (width 0.1) (tstamp b32093a9-2a6f-4335-a1a6-4b57c6320eb6)) + (fp_line (start -5.6525 9.2475) (end -4.6525 10.2475) (layer "B.Fab") (width 0.1) (tstamp be17cdd1-d747-4593-8c79-fcb994acae8a)) + (fp_line (start -5.6525 -10.2475) (end -5.6525 9.2475) (layer "B.Fab") (width 0.1) (tstamp c46bf320-8f34-44ec-bce4-2dfdacb7027b)) + (fp_line (start 5.6525 -10.2475) (end -5.6525 -10.2475) (layer "B.Fab") (width 0.1) (tstamp f264c921-4c66-4b19-9c47-a9b8b11f9a4c)) + (fp_line (start 0 -10.3575) (end 0 -10.3575) (layer "F.Fab") (width 0.12) (tstamp f6045e98-614c-4fc4-a961-a83e979f941f)) + (pad "1" smd roundrect locked (at -6.55 9.525 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 51 "/VA12") (pinfunction "A18") (pintype "input") (tstamp ceaa2599-3d97-4571-958a-6d46fdfd145c)) + (pad "2" smd roundrect locked (at -6.55 8.255 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 57 "/VA10") (pinfunction "A16") (pintype "input") (tstamp 90013ac9-3341-4e55-8285-d3651920d1cb)) + (pad "3" smd roundrect locked (at -6.55 6.985 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 61 "/VA11") (pinfunction "A14") (pintype "input") (tstamp 6c0a8b3b-22cb-4a1b-85c8-f403883edadb)) + (pad "4" smd roundrect locked (at -6.55 5.715 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 63 "/VA9") (pinfunction "A12") (pintype "input") (tstamp 66c81322-4061-4086-b77c-144d19419ba7)) + (pad "5" smd roundrect locked (at -6.55 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 53 "/VA7") (pinfunction "A7") (pintype "input") (tstamp f7e8152e-1bcc-4929-8f2e-8977adfc681c)) + (pad "6" smd roundrect locked (at -6.55 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 55 "/VA6") (pinfunction "A6") (pintype "input") (tstamp a92b13c4-68b6-48d5-8bba-cd72358cab8a)) + (pad "7" smd roundrect locked (at -6.55 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 56 "/VA5") (pinfunction "A5") (pintype "input") (tstamp 4969fc2f-1699-4089-a3cc-b78ae6912f3f)) + (pad "8" smd roundrect locked (at -6.55 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 58 "/VA4") (pinfunction "A4") (pintype "input") (tstamp d4d1f7ac-61e0-4669-85b9-fef3eff657b5)) + (pad "9" smd roundrect locked (at -6.55 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 60 "/VA3") (pinfunction "A3") (pintype "input") (tstamp 79a10958-c435-4102-9f73-4ba7b87634d1)) + (pad "10" smd roundrect locked (at -6.55 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 62 "/VA2") (pinfunction "A2") (pintype "input") (tstamp ca4366d3-0b1e-469a-b791-ee2b195226b7)) + (pad "11" smd roundrect locked (at -6.55 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 64 "/VA1") (pinfunction "A1") (pintype "input") (tstamp 73d7e878-b982-4dc7-8320-e1beb0b88a5d)) + (pad "12" smd roundrect locked (at -6.55 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 66 "/VA0") (pinfunction "A0") (pintype "input") (tstamp 63078d14-0223-4985-9791-cc912b91ea6f)) + (pad "13" smd roundrect locked (at -6.55 -5.715 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 48 "/VD4") (pinfunction "D0") (pintype "tri_state") (tstamp e9f0c42e-396a-4d55-98b2-498c01d3dfc1)) + (pad "14" smd roundrect locked (at -6.55 -6.985 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 46 "/VD3") (pinfunction "D1") (pintype "tri_state") (tstamp 4dff6c32-77e6-466b-8b47-cfe20712c16f)) + (pad "15" smd roundrect locked (at -6.55 -8.255 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 50 "/VD5") (pinfunction "D2") (pintype "tri_state") (tstamp 49f891da-c454-4709-9807-fb7d4436969a)) + (pad "16" smd roundrect locked (at -6.55 -9.525 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 0d8b8c91-9c51-4d9e-97da-0cb3b8d40f3c)) + (pad "17" smd roundrect locked (at 6.55 -9.525 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 52 "/VD6") (pinfunction "D3") (pintype "tri_state") (tstamp 6e07a588-99a5-4ae1-a06b-61b3b2c8f8c6)) + (pad "18" smd roundrect locked (at 6.55 -8.255 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 72 "/VD2") (pinfunction "D4") (pintype "tri_state") (tstamp 8cb277f2-fedc-498d-a53d-f67a00b0f1a6)) + (pad "19" smd roundrect locked (at 6.55 -6.985 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 54 "/VD7") (pinfunction "D5") (pintype "tri_state") (tstamp f7196b3b-c9dd-439a-a1d8-0479fee14239)) + (pad "20" smd roundrect locked (at 6.55 -5.715 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 70 "/VD1") (pinfunction "D6") (pintype "tri_state") (tstamp 9fab9744-75d1-4554-a0e9-1dcb2459cf88)) + (pad "21" smd roundrect locked (at 6.55 -4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 68 "/VD0") (pinfunction "D7") (pintype "tri_state") (tstamp 6c04c7fd-d8fd-4381-ac45-68051fa10cf6)) + (pad "22" smd roundrect locked (at 6.55 -3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pinfunction "~CE") (pintype "input") (tstamp 970f0b00-47a2-49e7-83e9-e055a55a5720)) + (pad "23" smd roundrect locked (at 6.55 -1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 49 "/VA14") (pinfunction "A10") (pintype "input") (tstamp 0d51bcc1-f385-4585-becd-ecd144c2681e)) + (pad "24" smd roundrect locked (at 6.55 -0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 59 "/~VRD") (pinfunction "~OE") (pintype "input") (tstamp f6748146-c166-4b5b-902a-6e7f928c7a10)) + (pad "25" smd roundrect locked (at 6.55 0.635 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 47 "/VA16") (pinfunction "A11") (pintype "input") (tstamp 2d5d4674-2d94-446a-9965-654d163c7bc9)) + (pad "26" smd roundrect locked (at 6.55 1.905 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 71 "/VA18") (pinfunction "A9") (pintype "input") (tstamp 7efb5b65-4904-4c2c-82a9-dd1e4361a687)) + (pad "27" smd roundrect locked (at 6.55 3.175 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 73 "/VA15") (pinfunction "A8") (pintype "input") (tstamp ed4dabb6-622b-4d38-9f84-a3aef55adaf5)) + (pad "28" smd roundrect locked (at 6.55 4.445 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 67 "/VA13") (pinfunction "A13") (pintype "input") (tstamp 6467add3-f2be-461f-888f-0002051027b2)) + (pad "29" smd roundrect locked (at 6.55 5.715 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 69 "/~VWR") (pinfunction "~WE") (pintype "input") (tstamp 91515fa2-da5b-4852-9990-70d5dcec0600)) + (pad "30" smd roundrect locked (at 6.55 6.985 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 45 "/VA17") (pinfunction "A17") (pintype "input") (tstamp e0a8edd6-ff02-4b4e-849e-fffe37822390)) + (pad "31" smd roundrect locked (at 6.55 8.255 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 65 "/VA8") (pinfunction "A15") (pintype "input") (tstamp 36715feb-f03d-44f4-a678-835140859b24)) + (pad "32" smd roundrect locked (at 6.55 9.525 90) (size 2.05 0.6) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp ab235cca-8754-4a19-a900-35a841e7483b)) + (model "${KISYS3DMOD}/Package_SO.3dshapes/SSOP-32_11.305x20.495mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fef3914) + (at 131.5 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f035e80") + (attr smd) + (fp_text reference "R5" (at 0.55 -3.719048 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 664e1f58-e52b-4683-a991-85992778a30c) + ) + (fp_text value "200" (at -2.9 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp af245236-1fc0-47ff-934e-db1a88778723) + ) + (fp_text user "${VALUE}" (at -0.65 -4.080952 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp b82e546a-efc8-44ab-a7ad-0ad8474271bd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 1d23eb72-3441-4b5f-b560-1a51794d664e) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 98e7c238-6bd0-466d-92ba-48f263eeee1d)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 9e6b71ed-9e3b-4666-803b-33c30f5acd37)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 0fd46142-6197-4037-8129-42d7a80764f3)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 717a5933-62d1-4575-b2cf-5f25dea3bfb5)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8c45fcbf-9102-4d94-847b-8b69cc270387)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a634d42e-512a-4aeb-93e3-f2e74270dc9c)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 2e73be7e-e355-4dc7-90b7-fe4789ef25f0)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 4cb1a458-8061-4b8b-8301-5bd0deee315f)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 6bf41c4c-a9b4-4cf7-8685-a730601729c9)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp ac529c29-7545-47d5-aa2b-5781a2992765)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 95 "/V6") (pintype "passive") (tstamp 00f6fd9d-f7ab-4c16-b04a-b7e2fe8520a2)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 120 "Net-(R20-Pad1)") (pintype "passive") (tstamp 1b076c69-43dd-4b6d-9f45-7475b4f834f7)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fef3a94) + (at 144.325 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f5985eb") + (attr smd) + (fp_text reference "R15" (at -5.042082 3.133767 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 23bb9c7d-72ae-4379-a242-cc22fc41ac20) + ) + (fp_text value "200" (at -2.9 -0.05 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp e7df7a5f-6d5a-40b9-9218-a62e906e850b) + ) + (fp_text user "${VALUE}" (at -6.242082 3.133767 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 0f85fa21-5eb1-47e5-bcd2-be4f5bd74da7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 1d4d2b56-4088-43df-980e-5ccf6ec207cb) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 3d227fe2-a078-4fda-abfd-97092b649e3f)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp d9842c6e-3388-404f-8ba9-fa898efcc3a2)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 05f437bc-204e-4edd-bbb4-e021687ad2b5)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 90e0da1e-30b1-41f4-a407-b28b71c16b2b)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 95cd02f1-81a0-4a64-af7f-e44c040faa56)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp abfb0266-4262-4f97-82d8-2074c7d2a44b)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 9be96845-80b0-42ec-9ae2-bb39edc4f0e2)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp b56b7da7-61c0-40af-b69d-f3b115d43049)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp c16141a0-ed9a-4635-8270-5609b0c26f1c)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp f7dac1c3-783e-4843-9efc-84c1de51e414)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 96 "/V1") (pintype "passive") (tstamp 31f1b102-116c-43d2-a8dd-65660d336b36)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 93 "Net-(R15-Pad2)") (pintype "passive") (tstamp 226f93ef-d816-47da-8863-1ce6a1916f0a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fef3af4) + (at 146.025 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f5d69c9") + (attr smd) + (fp_text reference "R17" (at -5.042082 3.95534 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 7182851f-79fb-4578-a79f-aafd2520d5d1) + ) + (fp_text value "200" (at -2.9 -0.05 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7b608472-5789-4b0e-b108-31729d80f703) + ) + (fp_text user "${VALUE}" (at -6.242082 3.95534 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 1af5ad0f-7994-414a-bb3b-20f98e6d2edf) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp d6b1452a-2bfe-43e0-94e9-375c69b5d322) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp c465da18-3c6f-4752-8907-8eb40772f689)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp c73b7347-b5ac-4298-bd81-580e52edeeb1)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 176c6499-1ab2-4184-a49e-a9ac590beac2)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 8c88fbda-a86f-4983-b93e-9a3c60d1ed3e)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp cec98e2c-185f-4a40-b919-7762abf5883b)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d23131fb-78b9-4352-a757-4ed405435203)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 174204e9-e48a-4abd-8b4f-8997a7208787)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 884d830c-ca59-4a7b-b2da-4d4d31b25136)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp d0819bdd-4af1-418c-8411-e4b250bba4a6)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp de47a4c6-51b4-407e-aef1-492580e0fc53)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 97 "/V0") (pintype "passive") (tstamp 7132e61d-1e05-4876-a6c5-60247137f71a)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 109 "Net-(R17-Pad2)") (pintype "passive") (tstamp e50576e5-d4a4-4211-a4c5-537110cf776d)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fef3b54) + (at 146.025 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006fe39957") + (attr smd) + (fp_text reference "R19" (at 6.142082 -3.95534 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp c36cb907-6adc-438f-a627-4e96c7938214) + ) + (fp_text value "200" (at -2.9 -0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 20b20953-ec53-4b74-b42d-7e40f5dd18e1) + ) + (fp_text user "${VALUE}" (at 7.342082 -3.95534 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp cbd2bc32-04b0-48d2-b75a-4d1e7ec678ac) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp a7f43bc3-1f48-4d58-8888-f371542f77b3) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 9bf70b3a-5789-411f-beec-72dc43c984a2)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp e5285a95-eb29-44d7-b7e4-f2b14049d691)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 57f7b94f-1d0f-4e6b-9b90-0f421c76cff0)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5f5aa7cc-bfe8-435b-b60c-cdd5e2201424)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp b4730d1e-724c-4ad7-ad3b-c34c28414b55)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp bbaf1b43-37c8-4d74-8d9d-fe2f9c1e04dc)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 0a426478-f0ea-499b-80ef-45a2cf509911)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 135f8558-d673-45de-9234-7e9709530972)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 582d8085-f3a3-4909-a329-da46df5b22fb)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 78c34763-f874-42db-bd79-9b5739347d72)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (tstamp 70f64de5-b2c1-46bb-ba9b-9db5b433bf36)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 109 "Net-(R17-Pad2)") (tstamp fea57933-5035-4230-89a8-b9678ce8385c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005fef3b84) + (at 137.5 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005efe7375") + (attr smd) + (fp_text reference "R6" (at -5.042082 0.1 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp b955951f-9d72-41f6-b406-a59bcb721536) + ) + (fp_text value "200" (at -2.9 -0.05 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 8e558b71-94f5-4995-9b5a-9fad3f4c4e49) + ) + (fp_text user "${VALUE}" (at -6.242082 0.1 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 378c2d6b-1886-4ab7-91e5-b4829381bc54) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 740f9bbc-f089-4150-b9d3-8c01b8c8d2b9) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 1c26609f-8cdf-4531-8f90-93fd1e436069)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 83942dfd-cd3d-44d0-8919-b15fb9b65529)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 17d24ea6-5784-4d03-80bb-7b7a8c71f8ca)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 99ba2f7e-75a1-4619-a6cd-3b2b93e17868)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp a79eb95f-15ab-444a-a133-1b6f5ee925bb)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp cd13ea57-60d9-42cb-a008-46db521c0ae1)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 6eba41bb-5956-4650-82b7-c6ad54d2bdc0)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp a186106a-de0b-492b-9244-af4f51da820d)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp efe83088-b18d-454a-8e03-8076a0c84872)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp f83d1aa1-6aa0-4a43-bb7f-a69c4a985197)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 89 "/V5") (pintype "passive") (tstamp 32b35b8d-cab7-41d6-a71e-af7fe5e44def)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 121 "Net-(R21-Pad1)") (pintype "passive") (tstamp 3881e1dd-c2d5-426b-83d4-750cda69b5f0)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff37af6) + (at 140.9 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f51e5a0") + (attr smd) + (fp_text reference "R10" (at -5.042082 1.521573 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp ebf883da-9f1c-45de-a6f8-55ccc826ba1b) + ) + (fp_text value "200" (at -2.9 -0.05 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 0c8f4c84-be06-4fdd-851d-91d795d5b1c3) + ) + (fp_text user "${VALUE}" (at -6.242082 1.521573 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp ba92bd6b-1d8a-4743-b94b-208eac139599) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp fc4df01d-f549-45ec-bc87-fca214ad0ef7) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 9bc0b032-b877-4bd0-9178-0ec63ce8f60a)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp f42a149f-8894-4dac-83ce-10992514e6d2)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2677e5ff-c8f8-4f62-a9a3-024266911342)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 74470003-7650-4456-ab4e-53bcf3c2c83a)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a7bc7cad-14e7-4a40-987e-a6de521e588f)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ba94dc80-9bd9-409c-b2a4-afddb1592522)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 82f34b67-4771-4798-9af2-46f422167e2b)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp b914f978-4561-417e-8127-f2c5d9cf9d3e)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp e1f718ef-92cb-4b4d-afbb-a79fcdca39fa)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp ea78f042-8ee2-407f-ab2f-5658d93f8632)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 92 "/V3") (pintype "passive") (tstamp fcf591f5-7f5a-445f-98b7-f9be47088f4c)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 84 "Net-(R10-Pad2)") (pintype "passive") (tstamp 2c31aae0-cf27-4320-b76b-e261e92f9f4c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff37b26) + (at 139.2 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f37cea0") + (attr smd) + (fp_text reference "R8" (at -5.042082 0.8 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 16fb6b28-78b7-40e7-ba8a-dbdb51041c05) + ) + (fp_text value "200" (at -2.9 -0.05 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 66755d88-13bf-4118-9b3e-b2b3e0074a50) + ) + (fp_text user "${VALUE}" (at -6.242082 0.8 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 48c78206-841d-4604-add9-38d9df1260e0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 3607a6a5-c4db-4748-9e06-f433de74000e) + ) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp 6b0e0c51-a8e3-497a-b0dc-704622075424)) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp cfe89078-a06e-4290-9d8a-60bcbe8e8fcf)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 66a27f0c-7ee2-4224-bb7b-3d02687adc26)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 6eea3291-b0fb-4d72-b205-71fce14d24f2)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp af5d69a0-bc0d-4a2b-9df1-85a8e7545e58)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp c09356ba-555b-41c9-92f0-99347dd9a19d)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 3d9a0990-31fd-4cb1-8e61-719ff15e5440)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp afaed02c-b59b-4419-838b-f6a0c167e287)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp b5e8d2ef-8ec8-4003-be20-09d889390fa8)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp c7e0d4cf-d3c0-4480-a1c7-810aef1df45e)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 91 "/V4") (pintype "passive") (tstamp 10bd1749-c70a-4bb7-8a1d-563dff89f63a)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 90 "Net-(R11-Pad2)") (pintype "passive") (tstamp a1a1d203-b9b5-4c1e-9f8a-375b1163ab9c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 00000000-0000-0000-0000-00005ff37b56) + (at 142.625 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006f55addc") + (attr smd) + (fp_text reference "R12" (at -5.042082 2.337194 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp a95f8e7a-fb35-4529-aaa5-4efc9be7a791) + ) + (fp_text value "200" (at -2.9 -0.05 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 60dd32ac-f9fe-4a3d-a7d5-504851393917) + ) + (fp_text user "${VALUE}" (at -6.242082 2.337194 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 4a318195-ba74-42bf-acac-2f0eb1889187) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp fecbc8df-3f0e-445b-9afc-286676305ef2) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 6067343a-ff29-4d53-aa44-89660f19c796)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp c2006ea8-a079-4a00-b13e-6c8f58b58a99)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2d8feed5-17eb-4ee5-9410-f081ddada6ab)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3eca4353-4512-429e-831e-5f1c4e416ea9)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 4ea3c246-86d9-4de0-89f4-34f8496ce681)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e73c8998-18a3-477d-ad81-61e997a45dd6)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 05093405-59a9-4418-9a6b-22e47bef9e1b)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 5348df29-2f01-41a5-ac57-e64e3171929c)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 55f9901e-4854-4c7e-b119-81ddcd123db8)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp c3d76177-163b-49a3-b5dc-9afa6034e51b)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 94 "/V2") (pintype "passive") (tstamp 1c6d716a-9258-4d23-b97c-f282bb8ffe7e)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 108 "Net-(R12-Pad2)") (pintype "passive") (tstamp a8c999b7-f272-492f-9304-93319193ef61)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "mygraphic:black-mage-9.6x6.5" (layer "B.Cu") + (tedit 0) (tstamp 00000000-0000-0000-0000-00005ff4fa15) + (at 164.1 99.2 180) + (property "Desc" "Logo") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005e51c621") + (fp_text reference "LOGO1" (at 0 0) (layer "B.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + (tstamp eeec6c34-766c-44dd-b6e3-05754d49d6eb) + ) + (fp_text value "graphic" (at 0.75 0) (layer "B.SilkS") hide + (effects (font (size 1.524 1.524) (thickness 0.3)) (justify mirror)) + (tstamp c7d424ba-df56-4339-9f45-00612b2bf1d1) + ) + (fp_poly (pts (xy -1.111508 -2.96402) + (xy -1.111508 -2.593517) + (xy -1.48201 -2.593517) + (xy -1.48201 -1.852513) + (xy -1.111508 -1.852513) + (xy -1.111508 -2.593517) + (xy -0.370503 -2.593517) + (xy -0.370503 -1.852513) + (xy -1.111508 -1.852513) + (xy -1.111508 -1.48201) + (xy -0.741005 -1.48201) + (xy -0.741005 -1.111508) + (xy 0 -1.111508) + (xy 0 -2.96402) + (xy -1.111508 -2.96402)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 0833e956-85cc-474d-b5de-3ed6aee7c6f4)) + (fp_poly (pts (xy 0 -3.705025) + (xy 0 -2.96402) + (xy 0.370502 -2.96402) + (xy 0.370502 -3.705025) + (xy 0 -3.705025)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 22542af9-9cce-4c0c-b322-fec05f5a5534)) + (fp_poly (pts (xy -2.223015 -2.96402) + (xy -2.223015 -2.223015) + (xy -1.852512 -2.223015) + (xy -1.852512 -2.96402) + (xy -2.223015 -2.96402)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 3324a5dc-8e7f-41b6-8c1b-aca127433982)) + (fp_poly (pts (xy -0.370503 -3.705025) + (xy -0.370503 -4.075527) + (xy -1.111508 -4.075527) + (xy -1.111508 -3.705025) + (xy -0.370503 -3.705025)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 3dab8977-65d2-42c6-aca9-256a62020d34)) + (fp_poly (pts (xy -1.48201 -3.705025) + (xy -1.48201 -3.334522) + (xy -1.111508 -3.334522) + (xy -1.111508 -3.705025) + (xy -1.48201 -3.705025)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 4d3ea977-c2e4-408a-b474-a4886d099990)) + (fp_poly (pts (xy -1.852512 -3.334522) + (xy -1.852512 -2.96402) + (xy -1.48201 -2.96402) + (xy -1.48201 -3.334522) + (xy -1.852512 -3.334522)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 994a65fe-ee7e-4a49-84fe-3f71744ea0a8)) + (fp_poly (pts (xy -1.852512 -1.852513) + (xy -2.223015 -1.852513) + (xy -2.223015 -1.111508) + (xy -1.852512 -1.111508) + (xy -1.852512 -1.852513)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp 9c8bfd90-213e-4ef1-ba3c-6b702eeaec3e)) + (fp_poly (pts (xy 2.223014 0) + (xy 1.852512 0) + (xy 1.852512 0.370502) + (xy 2.223014 0.370502) + (xy 2.223014 0)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp ccc782a1-16ab-4c9f-a0ce-549dc62f4d79)) + (fp_poly (pts (xy 0 -0.741005) + (xy 1.111507 -0.741005) + (xy 1.111507 -1.111508) + (xy 0 -1.111508) + (xy 0 -0.741005)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp cf0ad639-79d8-41f1-8caa-55c2b6ee1128)) + (fp_poly (pts (xy 1.852512 -4.075527) + (xy 1.482009 -4.075527) + (xy 1.482009 -3.705025) + (xy 1.852512 -3.705025) + (xy 1.852512 -4.075527)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp e4357fb2-c9fa-4190-8694-a4d8b1d4eb7a)) + (fp_poly (pts (xy 2.964019 3.334522) + (xy 2.593517 3.334522) + (xy 2.593517 2.593517) + (xy 2.223014 2.593517) + (xy 2.223014 1.482009) + (xy 2.593517 1.482009) + (xy 2.593517 1.111507) + (xy 2.964019 1.111507) + (xy 2.964019 0.741005) + (xy 3.334522 0.741005) + (xy 3.334522 -0.370503) + (xy 2.593517 -0.370503) + (xy 2.593517 -3.705025) + (xy 2.964019 -3.705025) + (xy 2.964019 -4.816532) + (xy -3.334522 -4.816532) + (xy -3.334522 -3.705025) + (xy -2.96402 -3.705025) + (xy -2.96402 -1.852513) + (xy -3.334522 -1.852513) + (xy -3.334522 -1.111508) + (xy -2.96402 -1.111508) + (xy -2.96402 -1.852513) + (xy -2.223015 -1.852513) + (xy -2.223015 -2.223015) + (xy -2.593517 -2.223015) + (xy -2.593517 -4.075527) + (xy -2.96402 -4.075527) + (xy -2.96402 -4.44603) + (xy 0.741005 -4.44603) + (xy 0.741005 -4.075527) + (xy 1.482009 -4.075527) + (xy 1.482009 -4.44603) + (xy 2.593517 -4.44603) + (xy 2.593517 -4.075527) + (xy 2.223014 -4.075527) + (xy 2.223014 -3.705025) + (xy 1.852512 -3.705025) + (xy 1.852512 -2.96402) + (xy 2.223014 -2.96402) + (xy 2.223014 -1.111508) + (xy 1.852512 -1.111508) + (xy 1.852512 -0.741005) + (xy 2.223014 -0.741005) + (xy 2.223014 0) + (xy 2.964019 0) + (xy 2.964019 0.370502) + (xy 2.593517 0.370502) + (xy 2.593517 0.741005) + (xy 2.223014 0.741005) + (xy 2.223014 1.111507) + (xy 1.852512 1.111507) + (xy 1.852512 1.482009) + (xy 1.482009 1.482009) + (xy 1.482009 1.852512) + (xy 1.852512 1.852512) + (xy 1.852512 2.964019) + (xy 2.223014 2.964019) + (xy 2.223014 3.705024) + (xy 2.593517 3.705024) + (xy 2.593517 4.446029) + (xy 1.852512 4.446029) + (xy 1.852512 4.075526) + (xy 0.741005 4.075526) + (xy 0.741005 3.705024) + (xy 0.370502 3.705024) + (xy 0.370502 3.334522) + (xy -0.370503 3.334522) + (xy -0.370503 2.964019) + (xy -1.111508 2.964019) + (xy -1.111508 2.593517) + (xy -2.96402 2.593517) + (xy -2.96402 2.223014) + (xy -2.593517 2.223014) + (xy -2.593517 1.852512) + (xy -1.48201 1.852512) + (xy -1.48201 1.482009) + (xy -0.370503 1.482009) + (xy -0.370503 1.111507) + (xy 0.741005 1.111507) + (xy 0.741005 0.741005) + (xy 1.482009 0.741005) + (xy 1.482009 0) + (xy 1.852512 0) + (xy 1.852512 -0.370503) + (xy -0.370503 -0.370503) + (xy -0.370503 -0.741005) + (xy -1.48201 -0.741005) + (xy -1.48201 -0.370503) + (xy -2.223015 -0.370503) + (xy -2.223015 0) + (xy -2.593517 0) + (xy -2.593517 -0.741005) + (xy -2.223015 -0.741005) + (xy -2.223015 -1.111508) + (xy -2.96402 -1.111508) + (xy -2.96402 0) + (xy -2.593517 0) + (xy -2.593517 0.370502) + (xy -2.223015 0.370502) + (xy -2.223015 0) + (xy -1.852512 0) + (xy -1.852512 0.370502) + (xy -2.223015 0.370502) + (xy -2.223015 1.111507) + (xy -1.48201 1.111507) + (xy -1.48201 0.370502) + (xy -1.111508 0.370502) + (xy -1.111508 0.741005) + (xy -0.370503 0.741005) + (xy -0.370503 0) + (xy 0 0) + (xy 0 0.741005) + (xy -0.370503 0.741005) + (xy -1.111508 0.741005) + (xy -1.111508 1.111507) + (xy -1.48201 1.111507) + (xy -2.223015 1.111507) + (xy -2.223015 1.482009) + (xy -2.96402 1.482009) + (xy -2.96402 1.852512) + (xy -3.334522 1.852512) + (xy -3.334522 2.964019) + (xy -1.48201 2.964019) + (xy -1.48201 3.334522) + (xy -0.741005 3.334522) + (xy -0.741005 3.705024) + (xy 0 3.705024) + (xy 0 4.075526) + (xy 0.370502 4.075526) + (xy 0.370502 4.446029) + (xy 1.482009 4.446029) + (xy 1.482009 4.816531) + (xy 2.964019 4.816531) + (xy 2.964019 3.334522)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp e66b73e4-7a91-4440-9cbe-196582c7fd40)) + (fp_poly (pts (xy 0.741005 -3.705025) + (xy 0.741005 -4.075527) + (xy 0.370502 -4.075527) + (xy 0.370502 -3.705025) + (xy 0.741005 -3.705025)) (layer "B.SilkS") (width 0.01) (fill solid) (tstamp e682f6bf-4c32-43b9-9531-84819635430e)) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tedit 5A0F774F) (tstamp 322f477f-8409-410a-a4da-713d92b7732b) + (at 148.4 102) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/19c32349-4854-473f-af3d-e27d549a9a3d") + (attr exclude_from_pos_files) + (fp_text reference "TP2" (at 0 1.448 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 33144bc3-d4dd-4008-af74-bb50af1b2401) + ) + (fp_text value "TestPoint" (at 0 -1.55 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 421460b4-8ade-41c7-bc4b-3d5c1fcf9a3b) + ) + (fp_text user "${REFERENCE}" (at -0.6 -1.6 180) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 6834643b-ca1f-41ab-bf78-f04db43bb45c) + ) + (fp_circle (center 0 0) (end 0 -0.7) (layer "B.SilkS") (width 0.12) (fill none) (tstamp bfc06925-8e9d-407b-88ed-ad9878546b87)) + (fp_circle (center 0 0) (end 1 0) (layer "B.CrtYd") (width 0.05) (fill none) (tstamp b0d12faa-7458-4b35-8ed2-dfa0f52f9fbb)) + (pad "1" smd circle locked (at 0 0) (size 1 1) (layers "B.Cu" "B.Mask") + (net 123 "Net-(TP2-Pad1)") (pinfunction "1") (pintype "passive") (tstamp 6871a5c3-f9b1-4f29-b3eb-2b8a26aa17a5)) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "B.Cu") + (tedit 5A0F774F) (tstamp 85cb8de0-99f1-4252-94d7-edb04d2b8f1a) + (at 150.4 102) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/0ec5c23b-4e61-4be7-932e-26c122bc1573") + (attr exclude_from_pos_files) + (fp_text reference "TP1" (at 0 1.448 180) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2af51abe-7a42-43a4-8306-76b30ce97fb6) + ) + (fp_text value "TestPoint" (at 0 -1.55 180) (layer "B.Fab") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 121b3945-e19a-460f-8ffb-57b1a04d4399) + ) + (fp_text user "${REFERENCE}" (at 0.6 -1.6 180) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp b62fb461-b48d-4a49-b3a1-520015d68c5c) + ) + (fp_circle (center 0 0) (end 0 -0.7) (layer "B.SilkS") (width 0.12) (fill none) (tstamp 0df1b769-24a8-4940-85a3-78a85906648e)) + (fp_circle (center 0 0) (end 1 0) (layer "B.CrtYd") (width 0.05) (fill none) (tstamp 276f12bc-e7ed-43ed-8a98-1caa3c014ec2)) + (pad "1" smd circle locked (at 0 0) (size 1 1) (layers "B.Cu" "B.Mask") + (net 122 "Net-(TP1-Pad1)") (pinfunction "1") (tstamp cb20a882-c2a0-4b4f-95ac-ec38f838940c)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp 8b06478a-f5b4-4a38-9112-0f0ee747cdcd) + (at 129.8 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/1bd8e64c-5e98-4144-ab65-ea19371167fa") + (attr smd) + (fp_text reference "R20" (at -0.6 5.2) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 2602bfb1-ae85-4db6-a804-2c46790bf1eb) + ) + (fp_text value "100" (at -2.9 0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 77915ff6-b597-4537-9a8d-a634707ed0bb) + ) + (fp_text user "${VALUE}" (at 0.6 5.180952 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 24aeafbc-2443-4d77-ae70-67de515e3a7e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 3cd752e7-a5ce-4eae-9b68-96604227fb26) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp 8376b611-79dc-4dc7-b296-0e68e4dae12a)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp f69aedae-926e-4335-91c1-84dd203d1c19)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 3fec44f1-4d35-4493-bd4b-477d31a9798a)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 6f2928b2-be05-490e-b0b0-345a047df461)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ab032885-391a-4824-81b1-04ee552a1bcb)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp d1a84dbd-3b87-47da-9653-f132d54c522b)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 8aee0782-ffe2-4f9f-8980-8571d46a3dfd)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 90586e62-2350-4923-96bf-9589d27ec96e)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp ba07a4eb-facc-4137-8331-3f045bfcac60)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp c079fb3d-6f7f-4287-bd36-306f306f70a0)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 120 "Net-(R20-Pad1)") (pintype "passive") (tstamp bd59d216-3f0d-4f1c-bb16-1181993723a5)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 83 "/Video") (pintype "passive") (tstamp def74262-7b66-46c9-a93e-1d7e7b8beaca)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp afb10ad5-b672-4450-829c-62a83beb58fe) + (at 129.8 93.85 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/72deaa49-2680-4558-b7aa-ab5749d990a2") + (attr smd) + (fp_text reference "R14" (at 0.542082 -5.2 180) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp e538f9af-c20f-4e28-aa10-6d3e2f8896c6) + ) + (fp_text value "200" (at -2.9 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 7415136f-3a2a-440d-8451-7733e35bc166) + ) + (fp_text user "${VALUE}" (at -0.657918 -5.180952 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 094cef05-bbde-465b-a02b-b760e10933e7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 6014493a-dc31-40cf-a89c-db70a53cc659) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp e2e5e835-2ea7-408c-bc10-13f45835f217)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp efd75183-225e-45e1-a158-6175c5aed1a2)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp 5baf8446-5468-4f4b-bf23-900e26608420)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 782fab73-e164-41db-ad57-c1db19f37d33)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp e1dbacc5-a4b7-4b7b-be5b-af4014814c1f)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp ec9d4d5c-7041-4a7c-838c-bf4c80583bc2)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 1c2cec5b-2909-4c44-8a05-8a6b080df625)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 1ff4d2d5-b5ec-4484-b75a-5a7869d344e3)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 352d8b89-5274-4747-990a-65d5cc53c335)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp f6990cd0-3cc0-41c1-b088-a40a77b76180)) + (pad "1" smd roundrect locked (at -0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 107 "/V7") (pintype "passive") (tstamp bc8e0c61-5a72-4b22-a21d-1cdd3958f409)) + (pad "2" smd roundrect locked (at 0.9125 0 90) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 83 "/Video") (pintype "passive") (tstamp 2b73cdf3-4ffd-4ad1-a293-33916892b2ba)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEF) (tstamp c7aab42c-410c-4a9f-a4d2-fd24fdb6e6ce) + (at 153 96) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/486cf9a7-c1f5-4b42-8207-e60d623bff8e") + (attr smd) + (fp_text reference "C4" (at 0 -2.5 180) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 007dd3b3-7acf-43f3-a00d-339a6951dfb0) + ) + (fp_text value "100n" (at 0 -1.43 180) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp c908ccf7-bb1d-4284-88f2-580b429f3e61) + ) + (fp_text user "${VALUE}" (at 0 -1.4 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 99a5009f-f8ab-419f-b8d6-b16338bf2cdc) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 6c2bed14-4cb8-4a6b-8cd6-87e252580dbe) + ) + (fp_line (start -0.146267 0.51) (end 0.146267 0.51) (layer "B.SilkS") (width 0.12) (tstamp 4d248331-7d86-4774-9922-29dae7e82cd6)) + (fp_line (start -0.146267 -0.51) (end 0.146267 -0.51) (layer "B.SilkS") (width 0.12) (tstamp a103cc57-ef6e-4cdb-be62-3dbd97e1d405)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 2bd53c49-a1df-43ac-a87c-a4c2edc4c8eb)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp b19cf365-46c5-4e7e-b826-d4367d36f304)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp bf4e4458-c063-43be-9120-042d826887f8)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp da3016bb-091f-4313-a512-2ea56277b105)) + (fp_line (start -0.8 0.4) (end 0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 21797483-b332-4e53-a375-0d2ec20bfd4a)) + (fp_line (start 0.8 -0.4) (end -0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4c5c81c0-a462-4bf7-b724-41bf9154d0ac)) + (fp_line (start 0.8 0.4) (end 0.8 -0.4) (layer "B.Fab") (width 0.1) (tstamp 4cecf49d-b027-4ef8-9b89-dcfe5b2a2ade)) + (fp_line (start -0.8 -0.4) (end -0.8 0.4) (layer "B.Fab") (width 0.1) (tstamp 90418db6-cb04-4592-9807-15fb10396b9f)) + (pad "1" smd roundrect locked (at -0.8625 0) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 1 "GND") (pintype "passive") (tstamp d2dda16c-0177-4e0d-bd8d-cc353d018597)) + (pad "2" smd roundrect locked (at 0.8625 0) (size 1.075 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 3 "+3V3") (pintype "passive") (tstamp f8215b72-41a5-4abb-a4d0-674622857b9d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (layer "B.Cu") + (tedit 5F68FEEE) (tstamp d4b4ede0-e86b-4cd8-a09c-5b5cf9d25025) + (at 131.5 90.15 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor handsolder") + (property "Sheetfile" "sizif-xxs.kicad_sch") + (property "Sheetname" "") + (path "/2b7ce27b-6799-42da-b836-abe494034884") + (attr smd) + (fp_text reference "R21" (at -0.6 4.1) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp aaf2fe72-8125-47b5-8fca-0f5894e361bc) + ) + (fp_text value "100" (at -2.9 0.1 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 2b0e97dd-ce2d-4928-9206-eb52a83c2ae7) + ) + (fp_text user "${VALUE}" (at 0.6 4.080952 unlocked) (layer "B.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror)) + (tstamp 337fb60e-6957-433f-af78-51db8225ca94) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06)) (justify mirror)) + (tstamp 8cfea132-84c1-4454-bf02-b077da66b573) + ) + (fp_line (start -0.254724 0.5225) (end 0.254724 0.5225) (layer "B.SilkS") (width 0.12) (tstamp a6165c0f-af4e-4b01-a1f3-88fce7fce712)) + (fp_line (start -0.254724 -0.5225) (end 0.254724 -0.5225) (layer "B.SilkS") (width 0.12) (tstamp fdf1b219-7b84-40a9-aa69-44aff2013506)) + (fp_line (start -1.65 -0.73) (end -1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp 403532ee-432e-4b3c-ad74-511697925775)) + (fp_line (start 1.65 -0.73) (end -1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp a8124f15-ab0b-4d21-bcce-d0f9d2679733)) + (fp_line (start 1.65 0.73) (end 1.65 -0.73) (layer "B.CrtYd") (width 0.05) (tstamp da3416d3-1108-4810-a468-011b059c9e9d)) + (fp_line (start -1.65 0.73) (end 1.65 0.73) (layer "B.CrtYd") (width 0.05) (tstamp de71b30b-7a55-41b7-9d2d-86a13628ac75)) + (fp_line (start -0.8 -0.4125) (end -0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp 484cfecb-76a3-49f7-aedc-a9a7bce98cb3)) + (fp_line (start 0.8 0.4125) (end 0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 76f3e1b4-6294-4f22-84bc-41d805a33f69)) + (fp_line (start 0.8 -0.4125) (end -0.8 -0.4125) (layer "B.Fab") (width 0.1) (tstamp 8f1f018e-dfe0-4e9c-806c-58223c0c2ca0)) + (fp_line (start -0.8 0.4125) (end 0.8 0.4125) (layer "B.Fab") (width 0.1) (tstamp c5ec4dea-74f4-40f0-9d2d-154b2f6fa626)) + (pad "1" smd roundrect locked (at -0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 121 "Net-(R21-Pad1)") (pintype "passive") (tstamp bced9016-5e97-476c-b6a7-70c9616afed3)) + (pad "2" smd roundrect locked (at 0.9125 0 270) (size 0.975 0.95) (layers "B.Cu" "B.Paste" "B.Mask") (roundrect_rratio 0.25) + (net 120 "Net-(R20-Pad1)") (pintype "passive") (tstamp b3d07e0d-8cba-42e1-b6a6-1250721b404a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_line (start 124.196573 91.992082) (end 124.396573 91.992082) (layer "F.SilkS") (width 0.15) (tstamp 0f1b8c2b-b97d-4601-bb44-1f6b3d5b3dfc)) + (gr_line (start 135.146573 100.692082) (end 130.046573 100.692082) (layer "F.SilkS") (width 0.15) (tstamp 1a8caa68-ed4b-4872-9e33-83fdb740e87d)) + (gr_line (start 124.546573 96.092082) (end 124.746573 96.292082) (layer "F.SilkS") (width 0.15) (tstamp 2d7a6982-bd84-4639-bdb1-ba1c19203a74)) + (gr_line (start 124.196573 92.192082) (end 124.396573 91.992082) (layer "F.SilkS") (width 0.15) (tstamp 447a0d20-0eb3-48fa-8c5d-0a595f50a557)) + (gr_line (start 128.746573 100.692082) (end 125.346573 100.692082) (layer "F.SilkS") (width 0.15) (tstamp 4afb75a3-e110-4728-8937-e651bb9face5)) + (gr_line (start 123.446573 100.692082) (end 119.046573 100.692082) (layer "F.SilkS") (width 0.15) (tstamp 512e881b-de14-444c-b2d7-1510442021f0)) + (gr_line (start 124.546573 96.292082) (end 124.546573 99.892082) (layer "F.SilkS") (width 0.15) (tstamp 519319c1-59ed-4dfd-8c65-400db3b398dc)) + (gr_line (start 124.546573 96.292082) (end 124.746573 96.092082) (layer "F.SilkS") (width 0.15) (tstamp 55bf80ff-54b3-47bc-8ddd-02a76bd4c155)) + (gr_line (start 128.646573 100.092082) (end 130.046573 100.692082) (layer "F.SilkS") (width 0.15) (tstamp 79f7d370-d180-4df7-8d4b-79a7424291b3)) + (gr_line (start 124.396573 91.992082) (end 124.396573 92.192082) (layer "F.SilkS") (width 0.15) (tstamp 9c473b96-202d-4b6f-aedb-eb7adf06af7b)) + (gr_line (start 124.746573 96.092082) (end 124.546573 96.092082) (layer "F.SilkS") (width 0.15) (tstamp b9d340ff-016a-451a-9742-1588f9d8481b)) + (gr_line (start 124.196573 99.942082) (end 123.446573 100.692082) (layer "F.SilkS") (width 0.15) (tstamp bf0a5010-23a4-4676-aaa9-a6a1f957800b)) + (gr_line (start 128.196573 99.642082) (end 128.646573 100.092082) (layer "F.SilkS") (width 0.15) (tstamp db762380-9c4d-4940-a3b9-33cb3ba3ef6e)) + (gr_line (start 124.396573 92.192082) (end 124.196573 91.992082) (layer "F.SilkS") (width 0.15) (tstamp dc8c99f8-3952-457e-93ef-ebe8ba17c579)) + (gr_line (start 128.196573 99.942082) (end 128.496573 99.642082) (layer "F.SilkS") (width 0.15) (tstamp e10dde6f-4c4b-4ba2-a64f-52d878eac3b2)) + (gr_line (start 128.496573 99.642082) (end 128.196573 99.642082) (layer "F.SilkS") (width 0.15) (tstamp eb79b640-1670-4190-b81b-9006f77e7626)) + (gr_line (start 128.196573 99.642082) (end 128.196573 99.942082) (layer "F.SilkS") (width 0.15) (tstamp efbf5752-3fad-4c94-aae7-21f4460a5048)) + (gr_line (start 124.646573 96.192082) (end 124.746573 96.092082) (layer "F.SilkS") (width 0.15) (tstamp f17322ce-37a9-4285-9fc0-8726a111ce07)) + (gr_line (start 124.546573 99.892082) (end 125.346573 100.692082) (layer "F.SilkS") (width 0.15) (tstamp f1b838d1-e308-42c9-b4dd-42b2e34e05e8)) + (gr_line (start 124.746573 96.292082) (end 124.746573 96.092082) (layer "F.SilkS") (width 0.15) (tstamp f62409ca-4e7c-4752-ba9f-d614dfab4e61)) + (gr_line (start 124.196573 99.942082) (end 124.196573 92.192082) (layer "F.SilkS") (width 0.15) (tstamp fabc6520-2874-48ce-9574-447d9f4feec5)) + (gr_line (start 99.35 87.47) (end 199.35 87.47) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005d0105b5)) + (gr_line (start 99.35 87.47) (end 99.35 111.95) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005fdb11da)) + (gr_line (start 99.35 111.95) (end 199.35 111.95) (layer "Edge.Cuts") (width 0.1) (tstamp 00000000-0000-0000-0000-00005fedb96a)) + (gr_line (start 199.35 111.95) (end 199.35 87.47) (layer "Edge.Cuts") (width 0.1) (tstamp c15f87c2-49ca-4062-a0a3-1c47d5272c0e)) + (gr_circle (center 196.002611 108.55) (end 197.7 108.55) (layer "B.Fab") (width 0.15) (fill none) (tstamp 00000000-0000-0000-0000-00005f137aa7)) + (gr_circle (center 149.375 90.9) (end 151.072389 90.9) (layer "B.Fab") (width 0.15) (fill none) (tstamp 363cdbfb-e9ed-4dd3-ab6c-c3805cc34cc2)) + (gr_circle (center 102.752611 108.55) (end 104.45 108.55) (layer "B.Fab") (width 0.15) (fill none) (tstamp b6a07da3-5e89-439b-a234-0a2172743701)) + (gr_circle (center 102.752611 108.55) (end 104.45 108.55) (layer "F.Fab") (width 0.15) (fill none) (tstamp 00000000-0000-0000-0000-00005f137cc6)) + (gr_circle (center 149.375 90.9) (end 151.072389 90.9) (layer "F.Fab") (width 0.15) (fill none) (tstamp 00000000-0000-0000-0000-00005fc35d59)) + (gr_circle (center 196.002611 108.55) (end 197.7 108.55) (layer "F.Fab") (width 0.15) (fill none) (tstamp 83c2970a-db2e-448c-a485-085c14882a85)) + (gr_text "Rev.B" (at 181.4 110.6) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005f54fa2d) + (effects (font (size 1.3 1.1) (thickness 0.23)) (justify mirror)) + ) + (gr_text "Eugene Lozovoy 2021" (at 181.4 108.7) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-00005f90318f) + (effects (font (size 1.3 1.1) (thickness 0.22)) (justify mirror)) + ) + (gr_text "Sizif-XXS" (at 181.4 106.3) (layer "B.SilkS") (tstamp 63e8ad81-7109-4e5a-9fd0-808a32213eb9) + (effects (font (size 2.4 1.8) (thickness 0.35)) (justify mirror)) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005eb2ff3d) + (pts (xy 99.35 87.47) (xy 99.35 111.95)) + (height 5.10098) + (gr_text "24.4800 mm" (at 93.09902 99.71 90) (layer "Dwgs.User") (tstamp 00000000-0000-0000-0000-00005eb2ff3d) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + (dimension (type aligned) (layer "Dwgs.User") (tstamp 3d74a1f9-44ce-49cc-9823-7122cf99c2dc) + (pts (xy 99.35 87.47) (xy 199.35 87.47)) + (height -5.32) + (gr_text "100.0000 mm" (at 149.35 81) (layer "Dwgs.User") (tstamp 3d74a1f9-44ce-49cc-9823-7122cf99c2dc) + (effects (font (size 1 1) (thickness 0.15))) + ) + (format (units 2) (units_format 1) (precision 4)) + (style (thickness 0.15) (arrow_length 1.27) (text_position_mode 0) (extension_height 0.58642) (extension_offset 0) keep_text_aligned) + ) + + (segment (start 134.05 100.75) (end 132.25 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e18)) + (segment (start 135.95 100.75) (end 134.05 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e1a)) + (segment (start 137.85 100.75) (end 136.85 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e1c)) + (segment (start 139.65 100.75) (end 137.85 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e1e)) + (segment (start 143.55 100.75) (end 141.65 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e27)) + (segment (start 145.55 100.75) (end 143.55 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e29)) + (segment (start 147.45 100.75) (end 145.55 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e2b)) + (segment (start 149.35 100.75) (end 148.15 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc45e2d)) + (segment (start 128.25 100.75) (end 130.3 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc46043)) + (segment (start 126.35 100.75) (end 128.25 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc46045)) + (segment (start 124.35 100.75) (end 122.35 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005fc46047)) + (segment (start 120.2 105.1) (end 120.4 105.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ffa2786)) + (segment (start 120.2 106.2) (end 120.2 105.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005ffa2788)) + (segment (start 163.999889 93.750107) (end 163.849999 93.899997) (width 0.5) (layer "F.Cu") (net 1) (tstamp 00d8d333-1f48-4072-975f-abb4b40c0dd7)) + (segment (start 161.15 94.375705) (end 161.15 97.588655) (width 0.5) (layer "F.Cu") (net 1) (tstamp 01f8a413-75ef-4110-92c9-1c19ec6f4b2d)) + (segment (start 120.2 108.465094) (end 119.620094 109.045) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0392597c-2a48-4c05-b40f-3c45b549f04b)) + (segment (start 157.15 105.75) (end 157.05 105.75) (width 0.2) (layer "F.Cu") (net 1) (tstamp 03f2f649-d346-46b8-824b-19cebdf7bd26)) + (segment (start 122.35 100.75) (end 110.65 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 065db28d-3a57-4339-9256-082fb4291c3c)) + (segment (start 151.475 100.75) (end 149.35 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 07d750c5-6e9e-410a-96e1-9cf3b5f1bef9)) + (segment (start 168.4875 101.1) (end 168.4875 99.011639) (width 0.5) (layer "F.Cu") (net 1) (tstamp 0973ff08-b66b-46c6-8682-e3565fe6904b)) + (segment (start 161.152287 98.997713) (end 157.852287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp 11ed0f91-757c-4770-8189-39155aa4122b)) + (segment (start 166.136963 105.481725) (end 167.593275 105.481725) (width 0.5) (layer "F.Cu") (net 1) (tstamp 14e50f3c-cbf7-4b06-8415-fee6fb76225f)) + (segment (start 167.1 101.1) (end 165.554491 101.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp 155e8f70-6273-423c-b87e-67004853c500)) + (segment (start 108.2 100.2) (end 106.238655 100.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1584aba4-8a55-49b3-aece-7468228bc7dd)) + (segment (start 161.3125 99.157926) (end 161.152287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp 15bd73e3-8750-4e0a-9a8d-66a8998820a8)) + (segment (start 115.2 95.1) (end 117.025 95.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 15faadb3-285c-4b0f-b427-1ff969676af9)) + (segment (start 108.2 100.2) (end 108.2 98.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 170b3625-8023-46e9-8cf9-d4cb96e4dc8c)) + (segment (start 153.425 91.825) (end 153.775 91.475) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1ab64d9a-091c-43b7-b9d4-2d0cef6e8ed9)) + (segment (start 176.66 88.7) (end 177.467918 87.892082) (width 0.2) (layer "F.Cu") (net 1) (tstamp 1b46dc38-23ba-4d50-b3ff-81f9e89affaa)) + (segment (start 157 105.8) (end 155.90099 105.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1e1af6a8-4646-4949-ba87-8402d80e5bab)) + (segment (start 102.5 91.4625) (end 102.5 90.437196) (width 0.5) (layer "F.Cu") (net 1) (tstamp 1fc75d5a-c919-4dce-b56a-caadd2d07f88)) + (segment (start 197.6875 90.4875) (end 198.2 91) (width 0.5) (layer "F.Cu") (net 1) (tstamp 21692d6d-29a6-4f25-8a5f-f29cc0cd4c42)) + (segment (start 165.375 95.075) (end 165.375 96.325) (width 0.5) (layer "F.Cu") (net 1) (tstamp 218d1d2b-7d49-43ba-97eb-55c27ba74870)) + (segment (start 105.9 90.25) (end 107.312804 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 229bcc9c-4ced-466f-9443-b66f66a8b67c)) + (segment (start 157.1375 107.25) (end 157.1375 107.8625) (width 0.5) (layer "F.Cu") (net 1) (tstamp 23069f8e-64e5-43d1-95bc-4a3ead8ebb79)) + (segment (start 122.55 90.475) (end 122.55 90.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp 234ce55d-6fb7-4436-8d35-a5e574612c58)) + (segment (start 198.2 92.4) (end 198 92.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 235d612f-534b-4b8b-9117-860e7dff1f87)) + (segment (start 120.4 105.1) (end 121.9 105.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2368aa56-dd7a-4842-926b-8626fcaa7eb9)) + (segment (start 106 90.2125) (end 105.9625 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 25f943e7-fd17-4826-b1fa-c26d5c986985)) + (segment (start 197.6 93) (end 197 93) (width 0.5) (layer "F.Cu") (net 1) (tstamp 26435c04-2223-498f-a1b6-44cf5415b7c4)) + (segment (start 161.3125 102.15) (end 161.3125 99.157926) (width 0.5) (layer "F.Cu") (net 1) (tstamp 267dde55-0322-4e41-bc5d-db201ca5b555)) + (segment (start 111.6 101.7) (end 110.65 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 28685743-6be9-440c-a4f0-61b7b450169e)) + (segment (start 141.65 100.75) (end 139.65 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2ac60a48-a5fd-4b70-80bf-73ad48fa8db6)) + (segment (start 194.6 101.3) (end 192.352287 99.052287) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2d2b3c26-3cf5-4ffe-81b7-510bf2b4477e)) + (segment (start 153.825 98.525) (end 153.775 98.525) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2dbb19c5-a1cb-4107-b015-e6cab9a33fe2)) + (segment (start 163.849999 93.899997) (end 163.45 94.299996) (width 0.5) (layer "F.Cu") (net 1) (tstamp 2f3f0324-4c58-4da6-96ca-79febe1f7bc4)) + (segment (start 132.5 100.5) (end 132.25 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 329e92fc-e659-4a42-b1aa-048009de995d)) + (segment (start 111.6 108.645509) (end 111.6 101.7) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3336b450-9299-456f-af66-b2f8d9bf029d)) + (segment (start 117.846573 111.246573) (end 117.850001 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3545c129-9926-4c06-9ddf-6ab48571c6f7)) + (segment (start 166.750099 98.945525) (end 166.801898 98.997324) (width 0.5) (layer "F.Cu") (net 1) (tstamp 36324886-026f-4851-8f05-da6af4ffb5c4)) + (segment (start 178.740506 98.997713) (end 172.597713 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp 390e102f-b2ef-4567-9515-f4ba6733bb9b)) + (segment (start 156.447713 98.997713) (end 155.227287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp 39149d66-2c9b-4676-924f-cdbcdb27c8e5)) + (segment (start 164.050107 93.750107) (end 164.975 94.675) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3937d2c5-f461-4f93-a181-563c47166103)) + (segment (start 165.125 89.839154) (end 164.050107 90.914047) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3a0a678d-4661-41d5-8782-db50b6a7f3d0)) + (segment (start 131.349999 111.250001) (end 131.3 111.200002) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3a583be6-a94f-496c-ae1c-1f195f3cf48d)) + (segment (start 184.189525 100.499732) (end 180.242525 100.499732) (width 0.5) (layer "F.Cu") (net 1) (tstamp 3e90dcf4-eded-47e6-a957-68d8447f2a1e)) + (segment (start 161.725 93.800705) (end 161.4 94.125705) (width 0.5) (layer "F.Cu") (net 1) (tstamp 42493a51-a0b4-42f4-813f-def7741f1e07)) + (segment (start 109.6 94.8) (end 108.6 93.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 42bb4284-20b1-45cf-997b-3c068fb94839)) + (segment (start 172.5 94) (end 173.25 93.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp 456ede84-e11d-45a4-b246-3d0a9cc16ed9)) + (segment (start 176.66 89.122497) (end 176.66 88.7) (width 0.2) (layer "F.Cu") (net 1) (tstamp 4623d722-61c2-481a-a27c-67318fa3e66b)) + (segment (start 185.636971 99.052287) (end 184.189525 100.499732) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4711d968-7d2e-4c16-b79f-2932ca69e4cb)) + (segment (start 102.5 90.437196) (end 102.687196 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4a215cdd-ecbf-461c-be05-1733753e245e)) + (segment (start 108.2 98.25) (end 109.6 96.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4a6ecd19-41db-4c3f-9117-60fbe0efd5bc)) + (segment (start 157.294377 100.834315) (end 157.294377 101.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4a886745-9de7-46ca-a06a-a6ab5e7aae03)) + (segment (start 136.4 90.475) (end 135.5 90.475) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4c14a954-47a6-468d-817b-0c4724ab3100)) + (segment (start 161.15 98.995426) (end 161.152287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4d835ae6-c4c8-4f30-8391-663cc3d4e823)) + (segment (start 153.425 98.175) (end 153.425 96.975) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4df2bf04-e10d-4019-ab10-9832aae30c0e)) + (segment (start 107.312804 90.25) (end 107.5 90.437196) (width 0.5) (layer "F.Cu") (net 1) (tstamp 4e8236e2-4804-4f74-bb62-22af0a120d71)) + (segment (start 170.7875 93.5375) (end 171.25 94) (width 0.2) (layer "F.Cu") (net 1) (tstamp 50289940-5f34-4a14-ac02-2925c7439add)) + (segment (start 157 105.8) (end 157.3 105.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5209b35b-3a8b-438e-9780-92c36fccb759)) + (segment (start 120.2 107.3) (end 120.2 106.2) (width 0.5) (layer "F.Cu") (net 1) (tstamp 522c2984-b46b-4318-a157-61a16618c5bd)) + (segment (start 105.9625 90.25) (end 105.9 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 52acc421-b176-4988-b8a1-a11883d35e6a)) + (segment (start 153.049999 111.250001) (end 155.549999 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp 53ce989a-4348-49d8-8f62-0109359a13cc)) + (segment (start 153.775 98.525) (end 153.425 98.175) (width 0.5) (layer "F.Cu") (net 1) (tstamp 53ceed74-b96a-4788-86e3-270328a57063)) + (segment (start 193 90.8) (end 194.2 90.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 55fbfa03-7220-4d61-87f5-13a69381383a)) + (segment (start 153.775 88.725) (end 153.775 91.475) (width 0.5) (layer "F.Cu") (net 1) (tstamp 57cf7bd9-1e7d-41ba-8e8b-eacee770f2f1)) + (segment (start 165.655238 105) (end 166.136963 105.481725) (width 0.5) (layer "F.Cu") (net 1) (tstamp 59346001-bbed-4e81-a3b5-3ba0d94e1560)) + (segment (start 167.55 93.5375) (end 166.4875 93.5375) (width 0.2) (layer "F.Cu") (net 1) (tstamp 594cf5e6-7339-4d78-8fa9-a2edd2c4a936)) + (segment (start 153.05 109.925) (end 153.049999 110.600001) (width 0.5) (layer "F.Cu") (net 1) (tstamp 59f1147d-e867-4ec6-b375-5942707f11e8)) + (segment (start 192.449568 91.350432) (end 193 90.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5b7e977f-9c67-4f29-a9a3-f26ea8035b26)) + (segment (start 161.725 88.6) (end 161.725 93.800705) (width 0.5) (layer "F.Cu") (net 1) (tstamp 5e43b4a0-5e5e-4bd1-9c07-1f01e1e2c9f3)) + (segment (start 106.238655 100.2) (end 105.8625 100.576155) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6220289d-fde9-4bd9-afec-0be6a83e0f52)) + (segment (start 117.850001 111.250001) (end 114.204492 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp 63eb68f9-4b7b-40a4-bcaa-714af487e16f)) + (segment (start 165.125 88.725) (end 165.125 89.839154) (width 0.5) (layer "F.Cu") (net 1) (tstamp 67c936d0-26ac-4793-a81d-6379a9e3bc01)) + (segment (start 173.25 92.532497) (end 176.66 89.122497) (width 0.2) (layer "F.Cu") (net 1) (tstamp 6881b242-27a9-47dd-a5cb-9e9cddb44199)) + (segment (start 191.747713 99.052287) (end 185.636971 99.052287) (width 0.5) (layer "F.Cu") (net 1) (tstamp 68af5e76-9fc4-4957-804b-63aac7c0075b)) + (segment (start 152.825 99.4) (end 151.475 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6b006cbe-bb06-4e8d-958f-04f98b7de667)) + (segment (start 198.2 91) (end 198.2 92.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6b178fb9-a352-4c1e-88ea-9fddcfe0373e)) + (segment (start 153.425 96.975) (end 153.425 91.825) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6bcff77f-01cc-4646-9572-5ea2e49be64f)) + (segment (start 110.1 100.2) (end 108.2 100.2) (width 1) (layer "F.Cu") (net 1) (tstamp 6e3c9cd6-bd64-4fe0-be0c-7b8f3a1e828f)) + (segment (start 104 90.2125) (end 104.0375 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 6f54ef83-e209-4333-9ca4-6a769403e663)) + (segment (start 179.159567 102.277691) (end 180.242525 101.194733) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7094ee08-87f6-43a9-8e56-2a392a2d7bd2)) + (segment (start 117.025 95.1) (end 121.65 90.475) (width 0.5) (layer "F.Cu") (net 1) (tstamp 71f7dbdb-c051-470e-b967-80b817277aa7)) + (segment (start 136.85 100.75) (end 135.95 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 72318ca4-3115-4726-a29b-d9c209eeec8f)) + (segment (start 157.05 105.75) (end 157 105.8) (width 0.2) (layer "F.Cu") (net 1) (tstamp 728e66f0-ab55-48a2-ab55-9653eef5ad3f)) + (segment (start 156.9625 108.0375) (end 156.9625 109) (width 0.5) (layer "F.Cu") (net 1) (tstamp 732e0bd3-0736-4a11-8f7f-ba6c9a2b928c)) + (segment (start 105.8625 100.576155) (end 105.8625 103.492082) (width 0.5) (layer "F.Cu") (net 1) (tstamp 77fb4267-5704-4a03-bf2e-37ea2ab7326a)) + (segment (start 154.825 99.4) (end 153.825 99.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 790a5671-a9f3-4f86-b687-e3f10dd31067)) + (segment (start 114.204492 111.250001) (end 111.6 108.645509) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7adce5c1-74c2-40b7-8d03-51afa6b81391)) + (segment (start 157.1375 106.783009) (end 156.946573 106.592082) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7bf74d24-03f0-43ee-942e-2b7c0ebfb736)) + (segment (start 161.15 97.588655) (end 161.15 97.6495) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7c0cf8f0-f4e9-41db-ae9b-a268e2b4433d)) + (segment (start 132 97.15) (end 132.5 97.65) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7dc422f9-5582-4508-aaa3-87c5d2a0e225)) + (segment (start 107.7 92.9) (end 107.5 92.7) (width 0.5) (layer "F.Cu") (net 1) (tstamp 7ff51233-4034-4b0c-bac1-101c76ceac5e)) + (segment (start 139.25 88.6625) (end 137.1375 88.6625) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8105cc58-10e5-4991-9aa3-e594c0b483a9)) + (segment (start 161.4 94.125705) (end 161.15 94.375705) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8513e797-d4e0-448f-9ffd-4263db56161f)) + (segment (start 164.975 94.675) (end 165.375 95.075) (width 0.5) (layer "F.Cu") (net 1) (tstamp 880501cc-cf98-44b8-ac39-e703fe2df46f)) + (segment (start 120.2 107.3) (end 120.2 108.465094) (width 0.5) (layer "F.Cu") (net 1) (tstamp 88d9e634-914f-4541-be35-f7f4c3e72dd3)) + (segment (start 109.6 96.85) (end 109.6 94.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8a3f78b5-c5fe-4204-99c2-5c3f7902e172)) + (segment (start 163.975 105) (end 165.655238 105) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8bd8ea77-1f2d-469f-9d2d-ee93eea345dd)) + (segment (start 166.136963 105.481725) (end 166.136963 105.998391) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8c23ae49-8704-41cb-b217-0cea8db3dc6c)) + (segment (start 197 93) (end 197 100.6) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8d950288-eaa2-468e-af9d-4aa60fbcc08a)) + (segment (start 197 100.6) (end 196.3 101.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp 8e283f45-b878-4e53-8ddf-f2b174f03a63)) + (segment (start 105.8625 104.708009) (end 106.254491 105.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 90950fbe-0d92-48ea-a7f5-8206bdeaf264)) + (segment (start 146.72 111.220002) (end 146.749999 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp 91ba3469-79d3-4c80-b742-61dfecef46a2)) + (segment (start 164.050107 90.914047) (end 164.050107 93.750107) (width 0.5) (layer "F.Cu") (net 1) (tstamp 921dc047-b687-4e6b-bde0-fa27720734bf)) + (segment (start 115.56588 100.75) (end 110.65 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9259e433-fcd3-4c98-8b1e-7194f83b31d5)) + (segment (start 168.4875 99.011639) (end 168.501815 98.997324) (width 0.5) (layer "F.Cu") (net 1) (tstamp 928f328e-0bc1-46a8-98b2-9a063a5965bd)) + (segment (start 153.049999 111.250001) (end 146.749999 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp 930b8bb7-e5c5-4eec-bfbf-ee58f3bdf2de)) + (segment (start 166.801898 98.997324) (end 168.501815 98.997324) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9355f23b-9045-4bb8-8c11-d1076da12838)) + (segment (start 110.1 100.2) (end 115.2 95.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp 93b93e8b-f469-49f0-a1aa-0ccf3e43c694)) + (segment (start 104.05 90.25) (end 105.9 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9768abf7-69f9-45c5-ad3d-64af455cfac0)) + (segment (start 155.549999 111.250001) (end 156.9625 109.8375) (width 0.5) (layer "F.Cu") (net 1) (tstamp 97c01b04-931b-4c01-b572-5ced89c8f62c)) + (segment (start 180.242525 101.065417) (end 180.242525 100.499732) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9bc6e8fa-d65f-44f2-974d-b218e7652d62)) + (segment (start 165.554491 101.1) (end 165.546573 101.092082) (width 0.2) (layer "F.Cu") (net 1) (tstamp 9bcdc5d0-1f10-4be4-9be6-ecc26d2a3544)) + (segment (start 137.1375 88.6625) (end 136.4 89.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9be76313-2865-4ef4-8e4d-7591666cad09)) + (segment (start 136.4 89.4) (end 136.4 90.475) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9e21b987-7302-42f1-834c-344f5ef34e42)) + (segment (start 131.3 109.045) (end 131.3 110.9) (width 1) (layer "F.Cu") (net 1) (tstamp 9e243dae-3b3f-4f8d-98b0-88e7395f0213)) + (segment (start 157.147713 98.997713) (end 156.447713 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp 9f2eb918-0691-421c-be92-bfa36cbe2e68)) + (segment (start 103.7 91.4625) (end 103.7 90.5875) (width 0.2) (layer "F.Cu") (net 1) (tstamp a0ae6f35-d2d4-4e8f-8250-6df29af4cc06)) + (segment (start 111.475 93.8) (end 108.6 93.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp a0f5553a-dc15-49c3-9d96-96229352985d)) + (segment (start 166.750099 96.750099) (end 166.750099 98.945525) (width 0.5) (layer "F.Cu") (net 1) (tstamp a1774abc-85dd-4147-8360-586c3fc19c05)) + (segment (start 105.8625 103.492082) (end 105.8625 104.708009) (width 0.5) (layer "F.Cu") (net 1) (tstamp a2d7aa49-d25e-4f05-b4f2-6c3bea67abfe)) + (segment (start 192.007918 91.792082) (end 191.7405 91.792082) (width 0.2) (layer "F.Cu") (net 1) (tstamp a3829560-05d3-40b5-8626-c1d142e9d8a0)) + (segment (start 157.1375 107.25) (end 157.1375 106.783009) (width 0.5) (layer "F.Cu") (net 1) (tstamp a528e32b-047e-41a2-b1fe-fc6673325074)) + (segment (start 192.352287 99.052287) (end 191.747713 99.052287) (width 0.5) (layer "F.Cu") (net 1) (tstamp a6a8c29b-0f5e-47a5-a73d-16b014746d8c)) + (segment (start 153.825 99.4) (end 152.825 99.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp a87c07af-db24-456f-963e-37d32d38c663)) + (segment (start 156.9625 109.8375) (end 156.9625 109) (width 0.5) (layer "F.Cu") (net 1) (tstamp a8f88d1e-c52a-4a05-8072-830eec484eb0)) + (segment (start 166.325 93.375) (end 166.3 93.35) (width 0.2) (layer "F.Cu") (net 1) (tstamp a99b5597-93d1-48d9-8dca-6ed93770b98f)) + (segment (start 146.72 109.95) (end 146.72 111.220002) (width 0.5) (layer "F.Cu") (net 1) (tstamp aa35eef3-cc35-4284-bb30-871c160ae622)) + (segment (start 170.507854 103.45) (end 168.4125 103.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp aa7aaaeb-b9fe-409b-a91a-8d66c2dafbb8)) + (segment (start 180.242525 100.499732) (end 178.740506 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp abead326-96bd-4ee8-8f4c-3cb006746690)) + (segment (start 107.5 92.7) (end 107.5 91.4625) (width 0.5) (layer "F.Cu") (net 1) (tstamp ac357a85-27cf-4f4b-aacd-91bbce9a0117)) + (segment (start 157.828331 97.537921) (end 157.828331 98.973757) (width 0.5) (layer "F.Cu") (net 1) (tstamp ac84c28e-9c52-4abe-b7d6-6266683e0f85)) + (segment (start 117.846573 109.045) (end 117.846573 110.953427) (width 1) (layer "F.Cu") (net 1) (tstamp ae46febc-bf9d-42e7-887e-4657b00055b7)) + (segment (start 155.90099 105.8) (end 155 104.89901) (width 0.5) (layer "F.Cu") (net 1) (tstamp b0889294-43de-4dae-8ef9-5b80061de0c6)) + (segment (start 155.227287 98.997713) (end 154.825 99.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp b159eefa-2b70-4506-8517-23940d416ebb)) + (segment (start 194.2 90.8) (end 196.4 93) (width 0.5) (layer "F.Cu") (net 1) (tstamp b1c5070a-42e7-4fe6-81d2-8a50f3eeaaa0)) + (segment (start 146.749999 111.250001) (end 131.349999 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp b2e60338-9bae-4c9d-99da-cc90e16d6483)) + (segment (start 157.852287 98.997713) (end 157.147713 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp bc22103c-151b-4eb0-8115-d6c637ef8ece)) + (segment (start 102.687196 90.25) (end 104.05 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp bf7357ab-1384-42a4-b1e5-851eea34847d)) + (segment (start 164.050107 93.750107) (end 163.999889 93.750107) (width 0.5) (layer "F.Cu") (net 1) (tstamp bfda3181-1580-4458-9cf0-6bb44f0c95b8)) + (segment (start 126.35 100.75) (end 124.35 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp c2c37ae5-b391-4287-9904-ad34d45195e0)) + (segment (start 156.946573 106.592082) (end 156.946573 105.903427) (width 0.5) (layer "F.Cu") (net 1) (tstamp c565bcb6-1c61-48da-9116-0aa94dffcf44)) + (segment (start 168.4125 104.6625) (end 168.4125 103.45) (width 0.5) (layer "F.Cu") (net 1) (tstamp c5724c15-bb46-48e1-818d-a2580b52eb03)) + (segment (start 198.2 92.4) (end 197.6 93) (width 0.5) (layer "F.Cu") (net 1) (tstamp c599a2c2-468c-433f-9049-3128cae24a51)) + (segment (start 180.242525 101.194733) (end 180.242525 101.065417) (width 0.5) (layer "F.Cu") (net 1) (tstamp c6be2709-3af4-40e7-8509-61354ed3996d)) + (segment (start 161.15 97.588655) (end 161.15 98.995426) (width 0.5) (layer "F.Cu") (net 1) (tstamp c6e9d2fa-6415-48e8-a8f9-2b6f532926f2)) + (segment (start 148.15 100.75) (end 147.45 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp c7eda846-e1fa-4b82-9c99-3b6c39d9aec6)) + (segment (start 156.946573 105.903427) (end 157 105.85) (width 0.5) (layer "F.Cu") (net 1) (tstamp c8117148-7afd-4323-9547-bb2db3fa4a7c)) + (segment (start 157.3 105.8) (end 157.9 106.4) (width 0.5) (layer "F.Cu") (net 1) (tstamp ca542139-6fd6-46db-94c6-bace3a9626b4)) + (segment (start 161.452287 98.997713) (end 161.152287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp cb5603e6-c569-4e53-93dd-d9f790b70348)) + (segment (start 197.6875 89.25) (end 197.6875 90.4875) (width 0.5) (layer "F.Cu") (net 1) (tstamp cb980069-a754-45ec-a191-7dbe5c71dc46)) + (segment (start 106 88.7625) (end 106 90.2125) (width 0.5) (layer "F.Cu") (net 1) (tstamp cc3db4d7-cbfa-4ea7-8e2d-13472b841fd6)) + (segment (start 104.0375 90.25) (end 104.05 90.25) (width 0.5) (layer "F.Cu") (net 1) (tstamp cc8b431b-fbef-45f8-8ee5-7c5d73b548fc)) + (segment (start 167.55 93.5375) (end 170.7875 93.5375) (width 0.2) (layer "F.Cu") (net 1) (tstamp cf3492bc-4e07-47f2-8d83-81a8209534a5)) + (segment (start 196.3 101.3) (end 194.6 101.3) (width 0.5) (layer "F.Cu") (net 1) (tstamp cf9f9e7d-4c05-4eb8-97b4-db3c886895d1)) + (segment (start 167.593275 105.481725) (end 168.4125 104.6625) (width 0.5) (layer "F.Cu") (net 1) (tstamp cfefbf94-9a5f-4ad6-a60f-50f5160c56df)) + (segment (start 157.1375 107.8625) (end 156.9625 108.0375) (width 0.5) (layer "F.Cu") (net 1) (tstamp cff79820-ee79-45a8-8a32-14b6f8a1dac3)) + (segment (start 104 88.7625) (end 104 90.2125) (width 0.5) (layer "F.Cu") (net 1) (tstamp d5beec2f-c96d-48d6-923e-589c6b6459ad)) + (segment (start 108.6 93.8) (end 107.7 92.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp d70c52e7-b698-47e4-9c16-725a12e85577)) + (segment (start 103.7 90.5875) (end 104.0375 90.25) (width 0.2) (layer "F.Cu") (net 1) (tstamp d7916831-40af-40a7-a30f-4996c1ee8e45)) + (segment (start 165.375 96.325) (end 166.325 96.325) (width 0.5) (layer "F.Cu") (net 1) (tstamp d7c45147-518c-4887-9d63-fac568837af1)) + (segment (start 131.250001 111.250001) (end 117.850001 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp d9c140ee-08b0-4ad1-8a70-98d51b53857d)) + (segment (start 157.147713 98.997713) (end 157.294377 99.144377) (width 0.5) (layer "F.Cu") (net 1) (tstamp da03902f-5fad-4071-92c7-77f739268ea1)) + (segment (start 166.340942 98.997713) (end 161.152287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp da1a7915-c655-4a69-91f2-4a4949b62bb4)) + (segment (start 166.325 96.325) (end 166.750099 96.750099) (width 0.5) (layer "F.Cu") (net 1) (tstamp daeef722-3fb7-45de-8e54-dad626fb9d9a)) + (segment (start 173.25 93.25) (end 173.25 92.532497) (width 0.2) (layer "F.Cu") (net 1) (tstamp db96f943-88ff-4ee9-a890-3d5d6faf32dd)) + (segment (start 119.620094 109.045) (end 117.846573 109.045) (width 0.5) (layer "F.Cu") (net 1) (tstamp dbd8fdbb-cf18-47a9-b259-edea71bff5b8)) + (segment (start 167.1 101.1) (end 168.325 101.1) (width 0.2) (layer "F.Cu") (net 1) (tstamp de918b3d-18ab-4c57-b6ed-5d63e144fc62)) + (segment (start 153.825 99.4) (end 153.825 98.525) (width 0.5) (layer "F.Cu") (net 1) (tstamp dea6936b-591e-4245-9e0e-a3207be952ec)) + (segment (start 166.4875 93.5375) (end 166.3 93.35) (width 0.2) (layer "F.Cu") (net 1) (tstamp dea908c4-7364-4441-92b1-2751afcc9f54)) + (segment (start 131.3 111.200002) (end 131.250001 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp e1639e85-9758-4213-887b-7d1dc443c8ad)) + (segment (start 171.25 94) (end 172.5 94) (width 0.2) (layer "F.Cu") (net 1) (tstamp e4c3efb8-7070-4cd2-a434-93276e766e36)) + (segment (start 166.3 93.35) (end 164.975 94.675) (width 0.5) (layer "F.Cu") (net 1) (tstamp e626ca74-7a86-4370-9291-a3c60e9ca8ef)) + (segment (start 131.3 108.8575) (end 131.3 110.9) (width 0.5) (layer "F.Cu") (net 1) (tstamp e71fcb7c-382a-40f0-baac-8f50c301a297)) + (segment (start 157 105.85) (end 157 105.8) (width 0.5) (layer "F.Cu") (net 1) (tstamp e769fe79-baf5-4e3c-b1ba-9c551dfbf663)) + (segment (start 120.2 105.3) (end 120.2 105.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp e85aed2d-4259-414f-9004-532c8dc3b0f0)) + (segment (start 106.254491 105.1) (end 108.296573 105.1) (width 0.5) (layer "F.Cu") (net 1) (tstamp e8628208-ee80-48bd-9bcc-b6c204a8e3c3)) + (segment (start 172.597324 98.997324) (end 172.597713 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp e9373959-8713-460b-850a-3292966f0bc0)) + (segment (start 177.467918 87.892082) (end 180.446573 87.892082) (width 0.2) (layer "F.Cu") (net 1) (tstamp ebd87963-234e-443a-9dc6-4136e1405a86)) + (segment (start 131.3 110.9) (end 131.3 111.200002) (width 0.5) (layer "F.Cu") (net 1) (tstamp ebefe540-6577-4962-bd4a-b5bf985eb483)) + (segment (start 167.988556 96.750099) (end 168.446573 96.292082) (width 0.5) (layer "F.Cu") (net 1) (tstamp ec15388f-ea2e-4fbc-8ac1-2101ed584cf9)) + (segment (start 157.294377 99.144377) (end 157.294377 100.834315) (width 0.5) (layer "F.Cu") (net 1) (tstamp ecebf9d8-5099-48e6-8e7f-808921f94a0b)) + (segment (start 156.946573 105.903427) (end 156.975 105.875) (width 0.5) (layer "F.Cu") (net 1) (tstamp edd24d98-cc28-4ed2-a39c-e943baebc890)) + (segment (start 172.597713 101.360141) (end 172.597713 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp eebfad6a-334e-491b-a29a-018a281ec422)) + (segment (start 166.136963 106.163037) (end 165.3 107) (width 0.5) (layer "F.Cu") (net 1) (tstamp f077576a-3a04-4aff-8a85-da6f6913a12e)) + (segment (start 110.1 100.2) (end 110.65 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp f099d4fb-e4ec-4b9d-82d6-feda8b0b4a35)) + (segment (start 132 93.975) (end 132 97.15) (width 0.5) (layer "F.Cu") (net 1) (tstamp f1c05757-1f15-4c04-a506-8633761285c9)) + (segment (start 192.449568 91.350432) (end 192.007918 91.792082) (width 0.2) (layer "F.Cu") (net 1) (tstamp f2764b35-dab8-469c-ab29-4f46daaa212a)) + (segment (start 166.136963 105.998391) (end 166.136963 106.163037) (width 0.5) (layer "F.Cu") (net 1) (tstamp f396c177-cc02-4b01-b1d5-57994873711f)) + (segment (start 157.828331 98.973757) (end 157.852287 98.997713) (width 0.5) (layer "F.Cu") (net 1) (tstamp f5687c5d-5a62-4711-be90-8c13316fad5a)) + (segment (start 132.25 100.75) (end 130.3 100.75) (width 0.5) (layer "F.Cu") (net 1) (tstamp f5a4421f-74f2-4b01-a68d-030e74f4c0ad)) + (segment (start 117.846573 109.045) (end 117.846573 111.246573) (width 0.5) (layer "F.Cu") (net 1) (tstamp f618ec0e-94ae-4254-a1fc-04d72bdd6964)) + (segment (start 132.5 97.65) (end 132.5 100.5) (width 0.5) (layer "F.Cu") (net 1) (tstamp f9ac95b6-a11e-4fe5-9cf7-63f4cc9ca543)) + (segment (start 170.507854 103.45) (end 172.597713 101.360141) (width 0.5) (layer "F.Cu") (net 1) (tstamp f9b28680-9655-4699-98c0-f551d77f80b9)) + (segment (start 153.049999 110.600001) (end 153.049999 111.250001) (width 0.5) (layer "F.Cu") (net 1) (tstamp fcfa152c-7ebd-476d-9d3d-8dd54095c335)) + (segment (start 107.5 90.437196) (end 107.5 91.4625) (width 0.5) (layer "F.Cu") (net 1) (tstamp fdceee33-abf6-4691-840d-8e31cfb1be10)) + (segment (start 166.750099 96.750099) (end 167.988556 96.750099) (width 0.5) (layer "F.Cu") (net 1) (tstamp fe07b713-de39-4628-ab44-bee8028d3c16)) + (segment (start 168.501815 98.997324) (end 172.597324 98.997324) (width 0.5) (layer "F.Cu") (net 1) (tstamp fe7b4e19-21dc-44a9-bb34-85211b91f11b)) + (segment (start 135.5 90.475) (end 132 93.975) (width 0.5) (layer "F.Cu") (net 1) (tstamp ff9363e9-6be6-4258-84fb-7acecfb67fb2)) + (segment (start 196.4 93) (end 197 93) (width 0.5) (layer "F.Cu") (net 1) (tstamp fff96015-db08-41f6-814e-307e5d8a82a4)) + (via (at 128.25 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 02bcdc58-67f0-4f50-9626-fb9ece0004f4)) + (via (at 198.3 93.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 066bdcbe-d126-45cd-a335-167e75a26114)) + (via (at 134.05 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 08468306-28da-4799-9868-784ee59009c9)) + (via (at 155 104.89901) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 0ce8017f-f907-412f-819e-7bec9e7978c0)) + (via (at 161.152287 98.997713) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 185548bf-e6f4-4d7f-8df0-bf647af792c7)) + (via (at 130.3 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1b978311-0722-41a2-b95f-ac4a25bdb759)) + (via (at 120.2 107.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1e127cb7-b6ac-4b42-938e-8baa31b70c71)) + (via (at 165.4 104.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1e55fa95-6d7f-4cd7-bf17-e19f92913eb5)) + (via (at 137.85 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 1f46dc1f-15e4-4ee0-9f60-d5f7686d5b17)) + (via (at 120.3 100.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 20de3f3c-2878-4f60-8b0f-7d3c9b10122f)) + (via (at 183.8 98.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 22aeca87-b1bf-4bc9-b572-44e9cec011ef)) + (via (at 166.750099 96.750099) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2395d448-27cc-4506-bbb2-858f7ec352bd)) + (via (at 169.888471 102.7999) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 244e2adc-5fac-4d37-a2dc-43113375e805)) + (via (at 157.294377 101.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 24611901-59bd-4092-be7a-caee05ae971e)) + (via (at 191.7405 91.792082) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 296d0090-2ac2-4434-9d20-b14d0ad0d1ac)) + (via (at 166.136963 105.481725) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 29d6ba14-3e5c-423f-909b-b58a22297206)) + (via (at 156.447713 98.997713) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 29daffa8-606b-44e1-9185-897003f59bcf)) + (via (at 163.45 96.288655) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2c0456eb-a61d-4f3d-b7b8-95a994f46a33)) + (via (at 147.2 92.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 2f6875ed-58b9-41c5-9089-a652d1eaef8b)) + (via (at 191.747713 99.052287) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 2fa840a5-f69a-46c8-9098-5ed226adf31a)) + (via (at 131.3 107.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 33153949-e137-417e-a290-218b7275af32)) + (via (at 161.15 97.6495) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 37c0b3b7-1574-4138-adab-6119011ea238)) + (via (at 157.828331 97.537921) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3850f864-3189-454a-9442-995a90b8cece)) + (via (at 116.7 100.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 3d0b9c1b-8843-420d-97ba-1390daa6311a)) + (via (at 118.5 100.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4145844d-5728-4818-9058-a3831f4b4e24)) + (via (at 163.45 98.488655) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 44810488-c952-4ddf-8fff-1a919bed14e9)) + (via (at 153.775 91.475) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 44c0bb51-bebb-4dff-af04-2f0448e31afb)) + (via (at 198.3 106.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 467c8f37-2c94-4464-b6ea-5bf328a91297)) + (via (at 147.3 88.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 469bc00e-47ed-4deb-b260-512eeb04bdbc)) + (via (at 170.441331 98.997324) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 478db553-b5cb-43b0-a9c2-52b6918ae276)) + (via (at 186.9 98.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 495da1ba-4a3e-4f51-8f23-db95114a65ce)) + (via (at 141.65 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 59f65562-b8cc-4521-a41f-5cce1425836b)) + (via (at 132.9 107.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 5a29ab6f-1e2c-4ddc-a3ee-61e5ae2ceb49)) + (via (at 137.75 104.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 5ab6101a-c2f8-493e-9a7d-eb264789758e)) + (via (at 156.4 110.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 65f63eab-90af-43dc-9b50-32e23a51fe1e)) + (via (at 151.75 96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6671241d-f002-451a-a806-9471af60f7b5)) + (via (at 120.2 105.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 69b6970a-7a38-4874-bfa6-adb18e6bd24d)) + (via (at 160.2 110.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6bdbb2ee-d1ee-4dbc-9e5b-6f38a0d9a03c)) + (via (at 135.95 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6c38afb0-d25d-4880-883c-da9b5d3bd43f)) + (via (at 120.2 106.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6ee166eb-1e6c-48be-a737-36a3d612c980)) + (via (at 145.55 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6f0647e1-4f9a-4c35-9bf2-66a9848f4f3f)) + (via (at 168 104.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 6f72441f-cf99-4ea8-bb02-0a4839a6ae78)) + (via (at 159.540942 98.997713) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 76a6f0b4-2bb6-4360-a9a5-f6d6e9869da8)) + (via (at 138.4 96.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 7870c368-2c88-4529-9226-82a27f748125)) + (via (at 163.446573 101.092082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 7a2ec705-81c0-4915-a7be-c46c1497b81f)) + (via (at 147 89.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 7ebd9272-15cd-4113-bba7-bff8825ef955)) + (via (at 150.75 106.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 7ef29582-8cd1-4401-8fa2-05db73c338d9)) + (via (at 168.501815 98.997324) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 84fa9da6-73be-4245-b554-a72110a143b0)) + (via (at 180.242525 100.499732) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 85e299da-d05f-4304-abde-6c0488f63d7e)) + (via (at 198.3 101.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 898c4b06-b66a-4051-bbae-a436d63db9d0)) + (via (at 165.212326 107.007554) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 89f13cbf-3092-4f7a-9c10-08b959d1cee4)) + (via (at 163.45 94.299996) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 8d67cdab-e218-4639-b529-7c3eadf0e5ae)) + (via (at 198.3 97.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 96dd76f7-89c1-45b1-8a64-983593210fab)) + (via (at 126.35 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp a05f2793-0274-427a-8ef3-f10b062bafbb)) + (via (at 147.45 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp a11aec73-060d-412e-a1dc-b63fc0114b84)) + (via (at 165.546573 101.092082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp a319cc7e-00f3-4886-8def-efc3ca61d8d7)) + (via (at 167.1 101.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp a39bc8eb-8598-4d27-9c2b-c10df6003830)) + (via (at 155.05 91.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp a45a9c40-a825-4a39-9c9b-2e9bb17a6bab)) + (via (at 124.35 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp a68368a6-b2c9-4df7-9f2f-ce9dda524ae1)) + (via (at 168.446573 96.292082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp ad5665d8-95c8-45ea-8c0f-14f6abd8d235)) + (via (at 159.246573 90.492082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp ad7706a7-c76d-45d8-b14a-de14bc85ee12)) + (via (at 161.3125 100.558009) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp ae70113f-1a06-4bbd-90f3-b80fad3c4926)) + (via (at 166.3 93.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp affc526e-64e6-422c-a432-cc5c6ae08d4c)) + (via (at 157.9 106.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp b34955d0-9a2b-48de-a15b-52ec34ac5aef)) + (via (at 157 105.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp bfe3cadc-4d64-485a-b66d-83d5106f42d3)) + (via (at 166.340942 98.997713) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp c21d2d25-3e11-4004-88d5-f007e0b9cca8)) + (via (at 143.55 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp d67b27fa-b2c6-4daa-a6b3-0e7189d89025)) + (via (at 132.25 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp d7ed332c-f45c-44ee-827c-2e7c896c94c9)) + (via (at 169.35 100.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp de55d1ce-7e11-43a3-8b38-83885f04dddf)) + (via (at 122.35 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp e07227e1-4490-4fe0-8303-cf9840425144)) + (via (at 139.65 100.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp e5587cb2-a229-43e2-b5f0-82c8307ccf80)) + (via (at 136.3 94.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp e7babfdd-261f-4e37-bdee-4c1bf453e36a)) + (via (at 132.9 109.05) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp ec75844a-e131-4313-8862-f14fb0af0489)) + (via (at 161.4 94.125705) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp fe4d6f8f-f34d-44d3-8749-59823a0c08cf)) + (segment (start 163.45 94.100253) (end 163.45 94.299996) (width 0.2) (layer "B.Cu") (net 1) (tstamp 0168dd53-075b-45c5-8b66-2dfdf219a298)) + (segment (start 159.858146 91.922612) (end 158.384432 91.922612) (width 0.2) (layer "B.Cu") (net 1) (tstamp 0533bf1e-1ed0-44a0-a40a-36a1bd92471e)) + (segment (start 157.8 105.95) (end 157.442893 105.592893) (width 0.2) (layer "B.Cu") (net 1) (tstamp 09198d23-6e2b-4cc7-b770-f7ff2a7e4574)) + (segment (start 167.396885 107.239526) (end 166.536962 106.379603) (width 0.2) (layer "B.Cu") (net 1) (tstamp 0e348a14-6272-4082-aa87-d316649bfc14)) + (segment (start 166.043245 107.272788) (end 165.778011 107.007554) (width 0.2) (layer "B.Cu") (net 1) (tstamp 0ef9c853-5b39-464f-957f-31e62da3a6f8)) + (segment (start 153.775 91.475) (end 154.775 91.475) (width 0.5) (layer "B.Cu") (net 1) (tstamp 0fd2b89c-d323-4917-81ea-24fa2f77cae4)) + (segment (start 166.536962 106.379603) (end 166.536962 105.881724) (width 0.2) (layer "B.Cu") (net 1) (tstamp 10c68a60-b004-4850-96c8-a0ddbd0d285e)) + (segment (start 157.294377 101.965685) (end 157.294377 101.4) (width 0.2) (layer "B.Cu") (net 1) (tstamp 13dddd92-b075-4e6a-bc64-696adc62922c)) + (segment (start 160.449656 91.099909) (end 160.564467 91.21472) (width 0.2) (layer "B.Cu") (net 1) (tstamp 152a8af7-0bc3-4306-9bc8-84e16b1acd87)) + (segment (start 184.945479 88.649521) (end 191.330962 88.649521) (width 0.2) (layer "B.Cu") (net 1) (tstamp 1d5ac022-e4b7-4b33-aa11-9b46cf0a1cf0)) + (segment (start 158.7967 106.417513) (end 159.388901 105.825312) (width 0.2) (layer "B.Cu") (net 1) (tstamp 283b56f2-e118-4517-91db-c82e0ccfbf37)) + (segment (start 172.58528 103.235533) (end 172.58528 103.518251) (width 0.2) (layer "B.Cu") (net 1) (tstamp 29c302e2-a0e7-4a1b-b876-71a848be3a89)) + (segment (start 127.999901 104.250099) (end 131.500099 104.250099) (width 0.5) (layer "B.Cu") (net 1) (tstamp 2d381203-c3ae-4e7c-83ba-ad3d87f8d8b0)) + (segment (start 159.194543 90.544112) (end 159.246573 90.492082) (width 0.2) (layer "B.Cu") (net 1) (tstamp 362e96df-da13-4446-a54c-b5291a409b69)) + (segment (start 157.5 105.592893) (end 157.5 105.65) (width 0.2) (layer "B.Cu") (net 1) (tstamp 368c037f-77b5-4eb2-a11c-00f2f03e08d6)) + (segment (start 172.210245 103.893286) (end 171.82882 103.893286) (width 0.2) (layer "B.Cu") (net 1) (tstamp 379b3340-d8d2-4450-a9bf-361768be3463)) + (segment (start 163.45 94.299996) (end 163.45 101.088655) (width 0.2) (layer "B.Cu") (net 1) (tstamp 3c96e685-b33f-41e8-869f-659ea4ebbad1)) + (segment (start 158.675216 90.124784) (end 158.109532 89.5591) (width 0.5) (layer "B.Cu") (net 1) (tstamp 3eb0ee90-5426-4956-9d41-68e36960440f)) + (segment (start 152.1375 96) (end 151.75 96) (width 0.5) (layer "B.Cu") (net 1) (tstamp 43b53dfe-7c3f-4fe4-aedb-a02e007adb71)) + (segment (start 157.15 105.3) (end 157.35 105.5) (width 0.2) (layer "B.Cu") (net 1) (tstamp 4692880c-bcb5-4773-b870-d20a614c2a75)) + (segment (start 161.041762 93.767467) (end 161.4 94.125705) (width 0.2) (layer "B.Cu") (net 1) (tstamp 4aa16852-8b7b-4db6-94ac-05514779ed04)) + (segment (start 157.05 105.8) (end 157 105.8) (width 0.2) (layer "B.Cu") (net 1) (tstamp 526d2dea-5dca-483e-ac2b-06fbae29fadd)) + (segment (start 165.778011 107.007554) (end 165.212326 107.007554) (width 0.2) (layer "B.Cu") (net 1) (tstamp 53953d20-37b4-4bf2-bf2f-45fa2607f7e8)) + (segment (start 179.500268 100.499732) (end 180.242525 100.499732) (width 0.5) (layer "B.Cu") (net 1) (tstamp 53e20c83-fa1e-42db-a250-c3d1cdd9a71d)) + (segment (start 149.125 106.74) (end 150.31932 106.74) (width 0.2) (layer "B.Cu") (net 1) (tstamp 54a86882-7e75-4a81-9159-d90734f7e540)) + (segment (start 157.294377 102.262982) (end 157.294377 101.965685) (width 0.2) (layer "B.Cu") (net 1) (tstamp 62510d1b-355c-4d35-8212-c348b6bbff7a)) + (segment (start 157.442893 105.592893) (end 157.35 105.5) (width 0.2) (layer "B.Cu") (net 1) (tstamp 648faf3e-cf32-4daf-940f-711566756c58)) + (segment (start 170.449747 101.1) (end 167.1 101.1) (width 0.2) (layer "B.Cu") (net 1) (tstamp 65292575-9b86-438e-82af-b49771ba881f)) + (segment (start 158.328241 104.764652) (end 159.142893 103.95) (width 0.2) (layer "B.Cu") (net 1) (tstamp 66f320f5-c569-4921-ab8d-44ff4e3b6989)) + (segment (start 160.564467 91.21472) (end 161.156668 91.806921) (width 0.2) (layer "B.Cu") (net 1) (tstamp 68b44f8b-796e-46d0-a7f6-b9a221ac77b2)) + (segment (start 157.69528 97.537921) (end 157.828331 97.537921) (width 0.2) (layer "B.Cu") (net 1) (tstamp 68f97380-9f60-45b3-b9c6-e91ea444d7ca)) + (segment (start 161.041762 93.106228) (end 161.041762 93.767467) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6ad20d59-2a4b-43a9-8fca-da1628ad0071)) + (segment (start 157.2409 89.5591) (end 155.449999 91.350001) (width 0.5) (layer "B.Cu") (net 1) (tstamp 6c6baa6f-5499-4adc-928d-2819e9124099)) + (segment (start 158.675216 90.124784) (end 159.194543 90.644112) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6db64caf-ba14-47f9-a672-221dc3affa64)) + (segment (start 156.906402 92.774784) (end 156.025216 92.774784) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6e4163fc-b265-401e-884a-ef8d72c207d4)) + (segment (start 171.285973 103.350439) (end 170.735434 102.7999) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6eed764b-7e4c-4bfc-8b43-c601e83ecce5)) + (segment (start 184.67 90.05) (end 184.67 88.925) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6effdd08-17fd-49c6-8bc2-67839962ef3b)) + (segment (start 155.853367 97.110221) (end 156.445568 97.702422) (width 0.2) (layer "B.Cu") (net 1) (tstamp 6f970678-f77a-412d-b2f7-a6f939f4e946)) + (segment (start 126.75 106.8375) (end 126.75 105.5) (width 0.5) (layer "B.Cu") (net 1) (tstamp 73a3fcb9-3069-4034-b54e-d6964cd1c125)) + (segment (start 166.914466 93.35) (end 167.750439 92.514027) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7874b69e-814e-4457-b9d4-a90f73055941)) + (segment (start 157.53226 92.774784) (end 156.906402 92.774784) (width 0.2) (layer "B.Cu") (net 1) (tstamp 787e3e97-2bca-4b77-af1b-ee20f71481af)) + (segment (start 178.32 101.68) (end 179.500268 100.499732) (width 0.5) (layer "B.Cu") (net 1) (tstamp 7ad39c7c-12b1-4580-8fcb-c421412e7a21)) + (segment (start 156.445568 98.995568) (end 156.447713 98.997713) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7c4d3df5-90e5-4b63-aa21-fb660b03ac5e)) + (segment (start 157.35 105.5) (end 157.05 105.8) (width 0.2) (layer "B.Cu") (net 1) (tstamp 7f7eb292-0c56-446b-8346-dbf226c727eb)) + (segment (start 191.65 88.968559) (end 191.65 91.701582) (width 0.2) (layer "B.Cu") (net 1) (tstamp 80cf03ea-7a21-4844-9616-d45d0a0610f7)) + (segment (start 155 104.89901) (end 155 104.557359) (width 0.2) (layer "B.Cu") (net 1) (tstamp 80ec82d0-3069-4e3c-a792-cc791dcfe13f)) + (segment (start 157.85 105.95) (end 157.8 105.95) (width 0.2) (layer "B.Cu") (net 1) (tstamp 8690f220-ba25-4b17-ba52-c5f372a6e73f)) + (segment (start 155.05 91.799568) (end 156.025216 92.774784) (width 0.5) (layer "B.Cu") (net 1) (tstamp 87a00ca0-fd2f-4f9a-b0c2-fa192e4f0263)) + (segment (start 156.560474 96.403115) (end 157.69528 97.537921) (width 0.2) (layer "B.Cu") (net 1) (tstamp 87b51ba3-5f32-442c-a08f-8f26b8c438ef)) + (segment (start 156.445568 97.702422) (end 156.445568 98.995568) (width 0.2) (layer "B.Cu") (net 1) (tstamp 882c94bd-7eef-4f58-9618-40ebbffe8fe6)) + (segment (start 170.735434 102.7999) (end 169.888471 102.7999) (width 0.2) (layer "B.Cu") (net 1) (tstamp 8b0bd73b-3f17-48f7-ac12-b0137d2b9714)) + (segment (start 158.45 106.6) (end 158.614213 106.6) (width 0.2) (layer "B.Cu") (net 1) (tstamp 9432d2fd-7525-4249-9814-3d5aee3bc72b)) + (segment (start 155.05 91.75) (end 155.05 91.799568) (width 0.5) (layer "B.Cu") (net 1) (tstamp 952057c4-46d1-48bd-90e0-7751b8a502f7)) + (segment (start 158.614213 106.6) (end 158.7967 106.417513) (width 0.2) (layer "B.Cu") (net 1) (tstamp 95809665-899b-4886-a040-f05af968cf70)) + (segment (start 171.82882 103.893286) (end 171.285973 103.350439) (width 0.2) (layer "B.Cu") (net 1) (tstamp 984aad73-c79f-466e-952d-353ba6df45e0)) + (segment (start 124.404646 106.8375) (end 126.75 106.8375) (width 0.5) (layer "B.Cu") (net 1) (tstamp 9a2be7d3-5818-4a57-b969-b56476098be0)) + (segment (start 178.32 103.15) (end 178.32 101.68) (width 0.5) (layer "B.Cu") (net 1) (tstamp 9adb1a2a-4db2-4948-b053-94d0dc7d5432)) + (segment (start 191.65 91.701582) (end 191.7405 91.792082) (width 0.2) (layer "B.Cu") (net 1) (tstamp a01c6060-68a6-481a-8e0c-be1c1c9f3b51)) + (segment (start 157.974688 104.411099) (end 157.15 105.235787) (width 0.2) (layer "B.Cu") (net 1) (tstamp a07eef21-b33b-4378-a4d3-679a448bb3e0)) + (segment (start 166.536962 105.881724) (end 166.136963 105.481725) (width 0.2) (layer "B.Cu") (net 1) (tstamp a106bab6-da05-4dc8-866f-5daf6c1e2f49)) + (segment (start 131.500099 104.250099) (end 132.95 105.7) (width 0.5) (layer "B.Cu") (net 1) (tstamp a26bd8a8-b286-4204-b13f-07d013835f1d)) + (segment (start 158.681794 105.118206) (end 157.85 105.95) (width 0.2) (layer "B.Cu") (net 1) (tstamp a3720244-17ca-4e6f-a20e-bb4dc313599e)) + (segment (start 171.993079 102.643332) (end 172.58528 103.235533) (width 0.2) (layer "B.Cu") (net 1) (tstamp a5d64ed4-80c7-44b1-af4c-259e70a6692e)) + (segment (start 184.67 88.925) (end 184.945479 88.649521) (width 0.2) (layer "B.Cu") (net 1) (tstamp a8023e4c-e9b6-4858-82d7-2ae9416994f8)) + (segment (start 166.689779 107.946633) (end 166.043245 107.300099) (width 0.2) (layer "B.Cu") (net 1) (tstamp aba27c5e-3c57-47b8-aa6a-e60052725ca9)) + (segment (start 166.3 93.35) (end 166.914466 93.35) (width 0.2) (layer "B.Cu") (net 1) (tstamp b16f669c-f7f5-48dd-9293-c6311ac7de3e)) + (segment (start 123.942146 107.3) (end 124.404646 106.8375) (width 0.5) (layer "B.Cu") (net 1) (tstamp b91e1dcc-cacf-489c-8a20-699da4a7fab8)) + (segment (start 159.650341 91.099909) (end 160.449656 91.099909) (width 0.2) (layer "B.Cu") (net 1) (tstamp c0b0c02a-f9f9-429c-bb4d-d9ce0ec4f866)) + (segment (start 158.384432 91.922612) (end 157.53226 92.774784) (width 0.2) (layer "B.Cu") (net 1) (tstamp c4607bca-aa37-4a5b-90c1-737e7ab1d971)) + (segment (start 172.58528 103.518251) (end 172.210245 103.893286) (width 0.2) (layer "B.Cu") (net 1) (tstamp c5520d26-36c9-4ef6-9694-348b9bd2ee0c)) + (segment (start 159.194543 90.644112) (end 159.650341 91.099909) (width 0.2) (layer "B.Cu") (net 1) (tstamp c6aad04b-b50b-4865-9722-afafc1cde56e)) + (segment (start 158.109532 89.5591) (end 157.2409 89.5591) (width 0.5) (layer "B.Cu") (net 1) (tstamp c70fdc27-f5b8-4718-b2fd-0947404c5c6c)) + (segment (start 157.15 105.235787) (end 157.15 105.3) (width 0.2) (layer "B.Cu") (net 1) (tstamp c87ee0b8-c066-45aa-a258-f34381e3cdb2)) + (segment (start 163.45 101.088655) (end 163.446573 101.092082) (width 0.2) (layer "B.Cu") (net 1) (tstamp cb776698-e349-4ec4-a287-d81109c109e9)) + (segment (start 191.330962 88.649521) (end 191.65 88.968559) (width 0.2) (layer "B.Cu") (net 1) (tstamp cd91dc89-7fb5-421f-8a88-ab94ea4376e1)) + (segment (start 155 104.557359) (end 156.560474 102.996885) (width 0.2) (layer "B.Cu") (net 1) (tstamp d43d2129-51af-47b5-9e3a-4c3ff790239a)) + (segment (start 120.2 107.3) (end 123.942146 107.3) (width 0.5) (layer "B.Cu") (net 1) (tstamp dbf8b3a9-293b-486c-8aac-80b29563625a)) + (segment (start 157.5 105.592893) (end 157.442893 105.592893) (width 0.2) (layer "B.Cu") (net 1) (tstamp dcdd9467-ea47-494e-902b-06e5e8b74c96)) + (segment (start 160.449561 92.514027) (end 159.858146 91.922612) (width 0.2) (layer "B.Cu") (net 1) (tstamp dcec27dc-d081-4b08-8a5d-79249dd2df44)) + (segment (start 154.775 91.475) (end 155.05 91.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp dd6293de-0983-48ef-933d-aba866aa0d4a)) + (segment (start 171.993079 102.643332) (end 170.449747 101.1) (width 0.2) (layer "B.Cu") (net 1) (tstamp e25612ed-2368-42ca-bfde-dd1753b31ef0)) + (segment (start 160.449561 92.514027) (end 161.041762 93.106228) (width 0.2) (layer "B.Cu") (net 1) (tstamp e933a095-3b1a-44c2-98f0-5d584c793799)) + (segment (start 155.449999 91.350001) (end 155.05 91.75) (width 0.5) (layer "B.Cu") (net 1) (tstamp ebf96a74-d4b6-4865-a793-368f341d9b1f)) + (segment (start 161.156668 91.806921) (end 163.45 94.100253) (width 0.2) (layer "B.Cu") (net 1) (tstamp f152cfa9-3b6d-46d0-a5ff-4a0203780142)) + (segment (start 159.194543 90.644112) (end 159.194543 90.544112) (width 0.2) (layer "B.Cu") (net 1) (tstamp f2237540-8290-424e-9493-1ae8b8e08e9c)) + (segment (start 132.95 105.7) (end 134.49 105.7) (width 0.5) (layer "B.Cu") (net 1) (tstamp f302eae4-0475-42b5-8bf3-052d204f0b91)) + (segment (start 126.75 105.5) (end 127.999901 104.250099) (width 0.5) (layer "B.Cu") (net 1) (tstamp f4390062-36ec-40e0-a193-b8b36f527068)) + (segment (start 158.328241 104.764652) (end 157.5 105.592893) (width 0.2) (layer "B.Cu") (net 1) (tstamp f45137f4-efb3-4efb-85d3-fc1ae24f3e8f)) + (segment (start 157.5 105.65) (end 158.45 106.6) (width 0.2) (layer "B.Cu") (net 1) (tstamp f52de1d9-0f4f-4ac0-b64d-5fff6422b582)) + (segment (start 166.043245 107.300099) (end 166.043245 107.272788) (width 0.2) (layer "B.Cu") (net 1) (tstamp f80b54bb-bf94-41d5-ad4f-55a73fbbcd2f)) + (segment (start 156.560474 102.996885) (end 157.294377 102.262982) (width 0.2) (layer "B.Cu") (net 1) (tstamp f9c5e8fa-f70c-4510-986d-92c482f6660c)) + (segment (start 150.31932 106.74) (end 150.479141 106.899821) (width 0.2) (layer "B.Cu") (net 1) (tstamp fb5783dd-7d55-40b4-84a5-75623dbbb02d)) + (segment (start 108.304491 109.692082) (end 108.296573 109.7) (width 1) (layer "F.Cu") (net 2) (tstamp 14981a8e-6838-47ad-9ac6-787fad9993ea)) + (segment (start 140.6 107.2) (end 140.5875 107.1875) (width 0.2) (layer "F.Cu") (net 2) (tstamp 1b8c8f84-72c5-4875-a246-56b765bb8d52)) + (segment (start 108.296573 109.7) (end 106.754491 109.7) (width 1) (layer "F.Cu") (net 2) (tstamp 2d8f7e97-c138-4172-b5e7-f3be7c8e2940)) + (segment (start 104.1375 103.492082) (end 104.1375 105.5375) (width 1) (layer "F.Cu") (net 2) (tstamp 30748f6f-eec5-4648-a65b-88053d2991ae)) + (segment (start 140.5875 107.1875) (end 140.5875 106.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp 36536e02-83a9-482a-be6a-86696140a67a)) + (segment (start 102.692082 103.492082) (end 104.1375 103.492082) (width 1) (layer "F.Cu") (net 2) (tstamp 390cf8db-6b3a-4c3b-9a86-e97976e12d9e)) + (segment (start 106.754491 109.7) (end 106.746573 109.692082) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4d4ec7c2-309f-428e-b254-eea04ee2f86a)) + (segment (start 120.307918 109.7) (end 120.3 109.692082) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5c295ff1-b827-4c28-b222-f334ef0729cd)) + (segment (start 101.8 100.2) (end 105.086573 96.913427) (width 1) (layer "F.Cu") (net 2) (tstamp 642fbc5c-1e88-46ba-a517-f2c696cdc5ec)) + (segment (start 105.8 108.745509) (end 106.746573 109.692082) (width 1) (layer "F.Cu") (net 2) (tstamp 795a6b68-2a6f-4029-8949-00ac569f0373)) + (segment (start 104.1375 105.5375) (end 105.8 107.2) (width 1) (layer "F.Cu") (net 2) (tstamp c1827644-f71d-4eb0-a7d1-680cf258f501)) + (segment (start 121.9 109.7) (end 120.307918 109.7) (width 1) (layer "F.Cu") (net 2) (tstamp cac9ae75-dedc-4fd3-82a1-0326f4224784)) + (segment (start 105.8 107.2) (end 105.8 108.745509) (width 1) (layer "F.Cu") (net 2) (tstamp dc1dac29-83b5-413a-bd7b-1eab7d4a6427)) + (segment (start 101.8 102.6) (end 102.692082 103.492082) (width 1) (layer "F.Cu") (net 2) (tstamp e3fe3742-84bb-4769-b334-0e32205e489c)) + (segment (start 105.086573 96.913427) (end 105.086573 95.492082) (width 1) (layer "F.Cu") (net 2) (tstamp e41d7626-4a18-4abd-8798-702a1fa824ea)) + (segment (start 108.95 109.8) (end 108.942082 109.792082) (width 0.5) (layer "F.Cu") (net 2) (tstamp e82c0c42-f919-44d3-81ed-200cf9fb5ee6)) + (segment (start 101.8 100.2) (end 101.8 102.6) (width 1) (layer "F.Cu") (net 2) (tstamp eb730712-7b9b-45d8-8108-74a7bb872a04)) + (segment (start 109.942082 109.692082) (end 108.304491 109.692082) (width 1) (layer "F.Cu") (net 2) (tstamp f017ee64-6eaf-453e-a3a2-eb0511c9ac6a)) + (segment (start 145.975 107.2) (end 145.975 106.25) (width 0.2) (layer "F.Cu") (net 2) (tstamp fd6703a1-d1b0-4700-80ab-3705ee383efa)) + (via (at 120.3 109.692082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0f90259a-2dc6-49d1-a993-7459964be602)) + (via (at 109.942082 109.692082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6ad5294f-bdfc-45f8-a0bb-590c679728ca)) + (via (at 145.975 107.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b60d08d5-d1e7-4532-8e54-74fbd6448363)) + (via (at 121.9 109.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp c1ec6764-3e4e-43a0-a4aa-f16f7a8161f1)) + (via (at 106.746573 109.692082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e4cb93de-efad-47f4-b61e-cb5e55eb0449)) + (via (at 140.6 107.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp feffa57a-ba83-461d-b124-d98b3b406213)) + (segment (start 140.6 108.45) (end 139.1 109.95) (width 0.2) (layer "B.Cu") (net 2) (tstamp 1016b9ed-b05d-494c-8a29-dc56477b9954)) + (segment (start 110.15 93.7) (end 112.39 91.46) (width 0.5) (layer "B.Cu") (net 2) (tstamp 1309d795-a765-4f67-b7df-0b0c3b64dbe0)) + (segment (start 106.878655 93.7) (end 110.15 93.7) (width 0.5) (layer "B.Cu") (net 2) (tstamp 3f125e8f-6cc4-48e6-b0c9-193d80ba99ba)) + (segment (start 120.3 109.692082) (end 121.892082 109.692082) (width 1) (layer "B.Cu") (net 2) (tstamp 44c5e3e1-931b-4b31-81b2-af15fec0e7ca)) + (segment (start 105.086573 95.492082) (end 106.878655 93.7) (width 0.5) (layer "B.Cu") (net 2) (tstamp 6e42cade-1f54-4e65-88c0-fd54a93eca6f)) + (segment (start 106.746573 109.692082) (end 120.3 109.692082) (width 1) (layer "B.Cu") (net 2) (tstamp 78be4342-9b3c-4ab6-a2c2-de6f1566cb79)) + (segment (start 122.058008 111.45009) (end 137.59991 111.45009) (width 0.5) (layer "B.Cu") (net 2) (tstamp 87db577b-d4d7-46e5-849c-0c056095757a)) + (segment (start 137.59991 111.45009) (end 139.1 109.95) (width 0.5) (layer "B.Cu") (net 2) (tstamp 8bb911bc-71f6-4b72-804a-33d13ec1eba1)) + (segment (start 121.892082 109.692082) (end 121.9 109.7) (width 1) (layer "B.Cu") (net 2) (tstamp b1dbb35a-86fb-4e54-add4-44989cc76d74)) + (segment (start 140.6 107.2) (end 140.6 108.45) (width 0.2) (layer "B.Cu") (net 2) (tstamp e2b4db85-7120-44d4-81c6-69a990a8c609)) + (segment (start 120.3 109.692082) (end 122.058008 111.45009) (width 0.5) (layer "B.Cu") (net 2) (tstamp f6583263-39a2-442d-8fad-75e300f14b2d)) + (segment (start 145.975 107.2) (end 140.6 107.2) (width 0.2) (layer "B.Cu") (net 2) (tstamp fbf98225-5beb-4ad7-9097-bd894198f5b6)) + (segment (start 161.9125 105.9125) (end 161.9 105.9) (width 0.5) (layer "F.Cu") (net 3) (tstamp 02e66e39-8e3a-4306-8ae4-0fa07eebab63)) + (segment (start 169.182918 102.292082) (end 163.946573 102.292082) (width 0.5) (layer "F.Cu") (net 3) (tstamp 030dbc69-7508-46bc-ad3d-a7ce70e0eb2b)) + (segment (start 176.5 91.4) (end 176.086147 91.4) (width 0.2) (layer "F.Cu") (net 3) (tstamp 04ecfaa5-01d4-456c-896b-a5ae5b311b6d)) + (segment (start 131.3 105.795) (end 129.805 105.795) (width 1) (layer "F.Cu") (net 3) (tstamp 05c596f4-fdbc-4637-9d04-0781618b02b0)) + (segment (start 159.4 102.125) (end 159.425 102.15) (width 0.5) (layer "F.Cu") (net 3) (tstamp 0662f75b-cc76-4401-962e-4e584bec1c8c)) + (segment (start 191 91.3) (end 191 92.8) (width 0.5) (layer "F.Cu") (net 3) (tstamp 0a2afd66-a9c2-48f3-966c-913d05cbf443)) + (segment (start 170.75 88.8325) (end 169 88.8325) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0c982a07-1778-419b-8811-89147d397704)) + (segment (start 151.25 106.85) (end 151.6375 106.4625) (width 0.2) (layer "F.Cu") (net 3) (tstamp 0ca9664c-01c6-42ce-a617-77652e6200de)) + (segment (start 167.55 95.4) (end 166.6 94.45) (width 0.5) (layer "F.Cu") (net 3) (tstamp 1679ec00-4e28-473d-990a-ac25dd958543)) + (segment (start 161.925 105.875) (end 161.9 105.9) (width 0.5) (layer "F.Cu") (net 3) (tstamp 16f736b5-ab99-46c6-9b57-8c0cfcca1b84)) + (segment (start 171.6 101.1) (end 170.375 101.1) (width 0.5) (layer "F.Cu") (net 3) (tstamp 21a56dfa-dcc6-40d9-890b-d82dd8be5755)) + (segment (start 159.5875 102.15) (end 159.5875 100.7375) (width 0.5) (layer "F.Cu") (net 3) (tstamp 227751cf-df5a-4528-ada9-8c36d8b66a55)) + (segment (start 135.556364 106.25) (end 135.101364 105.795) (width 0.2) (layer "F.Cu") (net 3) (tstamp 25460aed-46d4-4f61-9f2d-7306bf264a4f)) + (segment (start 161.957854 107.3) (end 161.925 107.3) (width 0.5) (layer "F.Cu") (net 3) (tstamp 2967de3d-aa4f-4dfa-bb03-f1fad2575530)) + (segment (start 137.0875 106.25) (end 135.556364 106.25) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2ca8c8c4-433a-49db-b2dc-2a2842e823c9)) + (segment (start 150.634994 93.6) (end 146.3125 93.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp 2dfaf32f-9792-41b9-aaab-7873c066c2a2)) + (segment (start 157.892822 105.049998) (end 156.642334 103.79951) (width 1) (layer "F.Cu") (net 3) (tstamp 2e1c86a0-9f89-49bb-b2d4-1ef04cd63309)) + (segment (start 162.838745 103.29991) (end 163.846573 102.292082) (width 0.5) (layer "F.Cu") (net 3) (tstamp 2faf70a2-0e72-4fb6-8e3a-474a63c40733)) + (segment (start 159.525 88.6) (end 159.525 89.438772) (width 0.5) (layer "F.Cu") (net 3) (tstamp 34352d5d-e14b-4f12-9cf1-1416b7933f65)) + (segment (start 137.096854 103.79951) (end 135.101364 105.795) (width 1) (layer "F.Cu") (net 3) (tstamp 34c6de0f-f0b8-4446-909c-9ffde69c88e2)) + (segment (start 170.375 101.1) (end 169.182918 102.292082) (width 0.5) (layer "F.Cu") (net 3) (tstamp 3d8f31e9-f0b1-40eb-b205-2b7a71a89596)) + (segment (start 173.52377 96.9625) (end 174.030635 97.469365) (width 0.5) (layer "F.Cu") (net 3) (tstamp 406c339f-71bf-48a5-a984-a2cb64d06325)) + (segment (start 151.6375 103.8375) (end 151.59951 103.79951) (width 0.5) (layer "F.Cu") (net 3) (tstamp 407b1d50-bb32-4704-bc8d-70b73faf4c9f)) + (segment (start 135.101364 105.795) (end 131.3 105.795) (width 1) (layer "F.Cu") (net 3) (tstamp 407c9911-8c5f-4fcc-af11-6f22a4b7d4d3)) + (segment (start 151.600489 92.634505) (end 150.634994 93.6) (width 0.2) (layer "F.Cu") (net 3) (tstamp 438226e5-e663-4cd3-bc9c-f337f80d97b5)) + (segment (start 177.462511 97.469365) (end 175.669365 97.469365) (width 0.5) (layer "F.Cu") (net 3) (tstamp 43a3a304-7b46-40cb-ba71-2ba2112d66e0)) + (segment (start 132.595 105.795) (end 131.3 105.795) (width 0.5) (layer "F.Cu") (net 3) (tstamp 45f8e8e6-1835-40cf-b8d8-0cc79c8a8753)) + (segment (start 123.846573 108.592082) (end 123.846573 108.046573) (width 0.5) (layer "F.Cu") (net 3) (tstamp 4942ac55-9c48-426f-9df9-c1e59f89ad81)) + (segment (start 128.2 109.6) (end 128.2 107.4) (width 0.5) (layer "F.Cu") (net 3) (tstamp 49e3e1b3-40f6-413f-adb2-59c1ff9203fb)) + (segment (start 152.426628 107.251628) (end 153.05 107.875) (width 0.5) (layer "F.Cu") (net 3) (tstamp 4a13576e-f80b-43ab-bda7-8006784588e2)) + (segment (start 179.2 88.7) (end 176.5 91.4) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4bceecfd-a63c-44fb-b9c9-71b49225f4f0)) + (segment (start 149.55 106.25) (end 151.25 107.95) (width 0.2) (layer "F.Cu") (net 3) (tstamp 4f7f9c60-075a-4234-b1d8-93e726e5499d)) + (segment (start 161.715685 105.049998) (end 161.15 105.049998) (width 0.5) (layer "F.Cu") (net 3) (tstamp 50758c0b-5687-401f-a3a8-96c534faaaf0)) + (segment (start 159.375 102.1) (end 159.425 102.15) (width 0.5) (layer "F.Cu") (net 3) (tstamp 518d26ce-98a3-4e4d-a95b-f4e304532451)) + (segment (start 191 92.8) (end 190.9 92.8) (width 0.5) (layer "F.Cu") (net 3) (tstamp 53b9ebc3-6bfb-45d2-912e-aa8fd30d4c57)) + (segment (start 152.5 91.4) (end 151.600489 92.299511) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6a49e334-f518-495b-8991-2a3e2db6bee9)) + (segment (start 180.424516 99.3) (end 178.028196 96.90368) (width 0.5) (layer "F.Cu") (net 3) (tstamp 6c4adac8-20a1-4d4c-9b34-6f64c83c40ab)) + (segment (start 190.9 92.8) (end 189.059062 94.640938) (width 0.5) (layer "F.Cu") (net 3) (tstamp 6c8532b8-b21f-437d-94c4-c908cbc27c8a)) + (segment (start 166.736628 89.455872) (end 167.36 88.8325) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6e3b1473-0190-4a65-8472-5b0c74e72223)) + (segment (start 137.0875 107.3625) (end 136.5 107.95) (width 0.2) (layer "F.Cu") (net 3) (tstamp 6e57da1c-1367-4a16-851a-4dbff965c79d)) + (segment (start 159.525 89.438772) (end 160.149343 90.063115) (width 0.5) (layer "F.Cu") (net 3) (tstamp 722e7d90-14f6-4392-86c1-c2c6adbd533b)) + (segment (start 123.2 107.4) (end 121.9 107.4) (width 0.5) (layer "F.Cu") (net 3) (tstamp 75fedce6-5a69-4c0d-8d30-ac01570a5570)) + (segment (start 178.028196 96.90368) (end 177.462511 97.469365) (width 0.5) (layer "F.Cu") (net 3) (tstamp 77862693-a28a-4b16-972d-a035e9e201b8)) + (segment (start 158.25 102.15) (end 158.2 102.1) (width 0.2) (layer "F.Cu") (net 3) (tstamp 78d53775-76df-43e5-a97b-8c31f6321d24)) + (segment (start 195.65 99.1) (end 195.675 99.075) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7ca9faa7-d6d3-4c0d-aacb-e4b1a4405be5)) + (segment (start 161.9125 105) (end 161.9125 103.326155) (width 1) (layer "F.Cu") (net 3) (tstamp 7cdb032f-d06b-4389-b16f-48b55c772210)) + (segment (start 176.086147 91.4) (end 175.667008 91.819139) (width 0.2) (layer "F.Cu") (net 3) (tstamp 7f636fdd-ebf5-4fa5-9023-c2dfecb5d099)) + (segment (start 166.736628 89.84068) (end 166.736628 89.455872) (width 0.2) (layer "F.Cu") (net 3) (tstamp 80e02284-bab1-46cd-8528-0276fef4ddcc)) + (segment (start 151.6375 106.4625) (end 152.426628 107.251628) (width 0.5) (layer "F.Cu") (net 3) (tstamp 82f041fe-fa12-4204-a5e0-a5f153fa1d43)) + (segment (start 158.2 102.1) (end 159.375 102.1) (width 0.5) (layer "F.Cu") (net 3) (tstamp 83edcb65-ef94-4be8-802c-0f5d3338a7ca)) + (segment (start 195.9625 89.25) (end 193.05 89.25) (width 0.5) (layer "F.Cu") (net 3) (tstamp 85920e61-eeab-4311-a1e6-5f55063720de)) + (segment (start 175.667008 91.819139) (end 175.667008 97.467008) (width 0.2) (layer "F.Cu") (net 3) (tstamp 881f0314-e320-4cf1-9720-837a9bdbf01a)) + (segment (start 161.9125 105) (end 161.862502 105.049998) (width 1) (layer "F.Cu") (net 3) (tstamp 8da63640-0732-49b2-b2ef-2e53d6b8758a)) + (segment (start 129.805 105.795) (end 128.2 107.4) (width 1) (layer "F.Cu") (net 3) (tstamp 8f642d4f-2478-4945-a164-53d5018f0d5f)) + (segment (start 151.25 107.95) (end 151.25 106.85) (width 0.2) (layer "F.Cu") (net 3) (tstamp 9239f9e0-a499-4450-ae87-0a148891c92f)) + (segment (start 161.938745 103.29991) (end 159.954401 103.29991) (width 0.5) (layer "F.Cu") (net 3) (tstamp 92b57558-8e66-4f0c-b44d-e968950faf94)) + (segment (start 123.846573 108.046573) (end 123.2 107.4) (width 0.5) (layer "F.Cu") (net 3) (tstamp 99549116-a387-4a9c-93a2-3d1fc49cd8e8)) + (segment (start 167.6 98.147813) (end 168.278448 97.469365) (width 0.5) (layer "F.Cu") (net 3) (tstamp 9a4f8786-2124-4e5e-8ec7-4a5f3798cf12)) + (segment (start 128 109.8) (end 128.2 109.6) (width 0.5) (layer "F.Cu") (net 3) (tstamp a3b40136-1342-4b1d-9f98-aa2d755614d4)) + (segment (start 151.59951 103.79951) (end 137.096854 103.79951) (width 1) (layer "F.Cu") (net 3) (tstamp a4f5ab6e-9c70-444d-9d58-dff5a819a850)) + (segment (start 161.925 105.2) (end 161.774998 105.049998) (width 0.5) (layer "F.Cu") (net 3) (tstamp a785dde7-39cd-4292-a23b-1fa47f091ab8)) + (segment (start 165.436047 91.582026) (end 164.95 92.068073) (width 0.2) (layer "F.Cu") (net 3) (tstamp acdddf3c-374c-43ef-ae78-42af8c1706fe)) + (segment (start 175.669365 97.469365) (end 174.030635 97.469365) (width 0.5) (layer "F.Cu") (net 3) (tstamp ad4b2322-18ad-41f3-b8c9-1d28196755eb)) + (segment (start 167.55 95.425) (end 167.55 95.4) (width 0.5) (layer "F.Cu") (net 3) (tstamp b0a85368-06ec-404e-b6a2-a14a866a875b)) + (segment (start 167.36 88.8325) (end 169 88.8325) (width 0.2) (layer "F.Cu") (net 3) (tstamp b15410aa-db02-4af2-954f-9fb5f75092cc)) + (segment (start 184.4 99.3) (end 180.424516 99.3) (width 0.5) (layer "F.Cu") (net 3) (tstamp b5bdbb5d-2e07-4a45-a9d1-b257dbb433f9)) + (segment (start 161.774998 105.049998) (end 161.715685 105.049998) (width 0.5) (layer "F.Cu") (net 3) (tstamp b6533273-9ef4-4e02-bd11-9c6225a427a8)) + (segment (start 166.736628 89.84068) (end 165.436047 91.141261) (width 0.2) (layer "F.Cu") (net 3) (tstamp b6d1b668-49ae-4ffc-9d40-f42cbcde2498)) + (segment (start 189.059062 94.640938) (end 184.4 99.3) (width 0.5) (layer "F.Cu") (net 3) (tstamp b6e9c3e1-13d6-4b6a-821f-b05084b4762c)) + (segment (start 159.954401 103.29991) (end 159.5875 102.933009) (width 0.5) (layer "F.Cu") (net 3) (tstamp b9959a45-c874-4900-bb18-f21cedded2c3)) + (segment (start 156.642334 103.79951) (end 151.59951 103.79951) (width 1) (layer "F.Cu") (net 3) (tstamp ba4933ca-45d1-480e-aa34-a59b34b3f525)) + (segment (start 175.667008 97.467008) (end 175.669365 97.469365) (width 0.2) (layer "F.Cu") (net 3) (tstamp bf2df4c4-97e5-4f9d-be3a-860538eadf18)) + (segment (start 195.65 100.075) (end 195.65 99.1) (width 0.2) (layer "F.Cu") (net 3) (tstamp bf2ee9bc-3f21-4188-8ba8-000781bdf9e8)) + (segment (start 163.846573 102.292082) (end 163.946573 102.292082) (width 0.5) (layer "F.Cu") (net 3) (tstamp c6242f41-3519-4462-83e1-c91e54b09416)) + (segment (start 149.4125 106.25) (end 149.55 106.25) (width 0.2) (layer "F.Cu") (net 3) (tstamp c678ec30-4c66-438f-96f5-e07a77835ab6)) + (segment (start 195.9625 89.25) (end 195.9625 90.7625) (width 0.5) (layer "F.Cu") (net 3) (tstamp c72f0b2c-fc67-4045-bfe4-8d6fccffb3fb)) + (segment (start 137.0875 106.25) (end 137.0875 107.3625) (width 0.2) (layer "F.Cu") (net 3) (tstamp cfe8e85d-0571-4e80-9a90-41cdf2303d5b)) + (segment (start 161.9125 105) (end 161.9125 107) (width 1) (layer "F.Cu") (net 3) (tstamp d1dd05ff-0fc7-491c-a3d5-3987750aa721)) + (segment (start 164.95 92.068073) (end 164.95 93.448058) (width 0.2) (layer "F.Cu") (net 3) (tstamp d909bf81-5ee7-449b-991d-0f36d7d70ec9)) + (segment (start 161.938745 103.29991) (end 162.838745 103.29991) (width 0.5) (layer "F.Cu") (net 3) (tstamp da577f4a-cd9b-4b6d-863a-ac1ce6b17db2)) + (segment (start 172.6 96.9625) (end 173.52377 96.9625) (width 0.5) (layer "F.Cu") (net 3) (tstamp dae95380-dfdb-476b-8e69-96df891026af)) + (segment (start 195.9625 90.7625) (end 196.8 91.6) (width 0.5) (layer "F.Cu") (net 3) (tstamp db05ea4f-dcfc-4383-bc96-7cda29bc6286)) + (segment (start 171.030635 97.469365) (end 171.5375 96.9625) (width 0.5) (layer "F.Cu") (net 3) (tstamp dd688dd7-43a2-442a-9dce-cd8041e86319)) + (segment (start 193.05 89.25) (end 191 91.3) (width 0.5) (layer "F.Cu") (net 3) (tstamp e198d966-88af-49a5-99cc-120c942bd5df)) + (segment (start 165.436047 91.141261) (end 165.436047 91.582026) (width 0.2) (layer "F.Cu") (net 3) (tstamp e47d9e75-9e9b-402c-9d1e-5b72e2ae7aa9)) + (segment (start 151.600489 92.299511) (end 151.600489 92.634505) (width 0.2) (layer "F.Cu") (net 3) (tstamp e8d68bcc-2fdf-43a7-b6b1-dc676700f75b)) + (segment (start 171.5375 96.9625) (end 172.6 96.9625) (width 0.5) (layer "F.Cu") (net 3) (tstamp ea0d8b2e-bc96-4601-8ffd-61f8003fcfa2)) + (segment (start 146.3125 93.6) (end 145.25 92.5375) (width 0.2) (layer "F.Cu") (net 3) (tstamp ea24f4c7-1222-48f0-a82b-c9eb7a0f9ba9)) + (segment (start 151.6375 106.4625) (end 151.6375 103.8375) (width 0.5) (layer "F.Cu") (net 3) (tstamp ee965f27-5c00-4ec4-bc59-2e5391d5e8d7)) + (segment (start 159.5875 100.7375) (end 159.4 100.55) (width 0.5) (layer "F.Cu") (net 3) (tstamp f01f9250-6d31-4883-a8d3-d285c68e7c5d)) + (segment (start 161.925 105) (end 161.925 105.875) (width 0.5) (layer "F.Cu") (net 3) (tstamp f1d92e90-96aa-4297-814e-ca222320824d)) + (segment (start 159.5875 102.933009) (end 159.5875 102.15) (width 0.5) (layer "F.Cu") (net 3) (tstamp fd1ce84a-2f6d-42ea-b0d4-7cde0e593d80)) + (segment (start 168.278448 97.469365) (end 171.030635 97.469365) (width 0.5) (layer "F.Cu") (net 3) (tstamp fd393d3d-25be-4405-83dc-ba34689aa2ec)) + (segment (start 161.862502 105.049998) (end 157.892822 105.049998) (width 1) (layer "F.Cu") (net 3) (tstamp ffecd677-8857-4305-ad07-a7757b81cde3)) + (via (at 195.675 99.075) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 00000000-0000-0000-0000-00005fe04bd4)) + (via (at 196.8 91.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 232e3727-89e5-4577-abf5-7f8cf3608d03)) + (via (at 171.6 101.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 368de9f5-886f-4498-ad37-7f132a63c040)) + (via (at 151.6375 106.4625) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 4cf532a1-7079-4587-8711-5437cf3090a0)) + (via (at 160.149343 90.063115) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 57f25a9e-8dac-4b7a-8503-803224a5bff9)) + (via (at 166.6 94.45) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 5cc2035c-ce28-481d-b04c-d433d2760c91)) + (via (at 164.95 93.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 3) (tstamp 610b8398-c66b-468b-8086-7e338284bdc1)) + (via (at 159.4 100.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp 96f13981-0264-4374-86ff-6b1c57c91322)) + (via (at 123.846573 108.592082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp b3329ce9-abfc-45a0-850b-b531877f576e)) + (via (at 128 109.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp dd6f43e3-6df6-411e-aa47-59ecc41045bf)) + (via (at 161.15 105.049998) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp df205b36-19b4-4626-adaa-6c3bf4dd502e)) + (via (at 152.5 91.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp e65bbb91-b3c4-4fcd-8c38-e5052ad75abf)) + (via (at 167.6 98.147813) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp ec786ddb-d4c8-4890-8da1-5cb663073133)) + (via (at 163.946573 102.292082) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp f015f3c4-a3d0-4642-a65f-2123703a181b)) + (via (at 161.9 105.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp f893006c-b738-4f01-8ea2-881123a6c098)) + (via (at 158.2 102.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 3) (tstamp fab4b664-9708-484e-80c2-deed71cd849e)) + (segment (start 164.3 94.45) (end 164.3 93.85) (width 0.5) (layer "B.Cu") (net 3) (tstamp 00bc4926-a906-450f-bcba-778456343664)) + (segment (start 195.675 99.075) (end 197.37 97.38) (width 0.2) (layer "B.Cu") (net 3) (tstamp 036ae7a7-5ce9-4610-9e78-0180fbce3790)) + (segment (start 152.25 110.55) (end 154.075 110.55) (width 0.5) (layer "B.Cu") (net 3) (tstamp 03b3627b-2213-416b-b5ef-c08cb35f9d90)) + (segment (start 150.72 109.02) (end 151.85 110.15) (width 0.5) (layer "B.Cu") (net 3) (tstamp 0df6078f-5463-45af-8e9c-4a42a480667f)) + (segment (start 167.043332 107.593079) (end 165.750253 106.3) (width 0.2) (layer "B.Cu") (net 3) (tstamp 268b717d-2471-49a7-9cd3-a4088ce21514)) + (segment (start 164.3 98.1) (end 164.3 101.938655) (width 0.5) (layer "B.Cu") (net 3) (tstamp 2778be53-84da-4feb-8718-ae297c300863)) + (segment (start 163.970444 93.520444) (end 162.6 92.15) (width 0.5) (layer "B.Cu") (net 3) (tstamp 2982cf3f-7d14-4d34-bb7b-fdaabd96143a)) + (segment (start 157 97.549747) (end 157 97.95) (width 0.2) (layer "B.Cu") (net 3) (tstamp 2b900049-354d-435c-aa5d-8f0742cc1768)) + (segment (start 171.6 101.543146) (end 171.6 101.1) (width 0.2) (layer "B.Cu") (net 3) (tstamp 2beb771f-fae6-45a7-a73b-62e192b3d87f)) + (segment (start 165.750253 106.3) (end 165.350253 105.9) (width 0.5) (layer "B.Cu") (net 3) (tstamp 31d121a3-fd55-4868-9b31-2f47a47a4b1e)) + (segment (start 197.37 90.05) (end 197.37 91.03) (width 0.5) (layer "B.Cu") (net 3) (tstamp 3d9b231e-8575-487e-9ad4-07f497708f11)) + (segment (start 128.1 109.9) (end 126.7625 108.5625) (width 0.5) (layer "B.Cu") (net 3) (tstamp 3f7c1359-0e02-461d-8cc0-f2c2bf595256)) + (segment (start 129.41 105.9025) (end 129.41 105.7) (width 0.2) (layer "B.Cu") (net 3) (tstamp 43cb87e9-a4e2-4220-9336-d18158eb9c8d)) + (segment (start 197.37 97.38) (end 197.37 92.17) (width 0.2) (layer "B.Cu") (net 3) (tstamp 4592537c-65ad-4594-9c69-081d0ffb043c)) + (segment (start 157.4 98.35) (end 159.4 100.35) (width 0.5) (layer "B.Cu") (net 3) (tstamp 479cb89d-d780-4939-8706-305002ea0d28)) + (segment (start 155.4 96) (end 155.450253 96) (width 0.2) (layer "B.Cu") (net 3) (tstamp 48aea11e-5d61-4e02-b86f-33f386b05311)) + (segment (start 156.914027 103.350439) (end 158.164466 102.1) (width 0.2) (layer "B.Cu") (net 3) (tstamp 49322cf1-6064-4ee7-ba66-58deb37d26ca)) + (segment (start 123.846573 108.592082) (end 123.876155 108.5625) (width 0.5) (layer "B.Cu") (net 3) (tstamp 4ac7629c-60d3-497a-9011-5e2d41c1d1ce)) + (segment (start 153.279549 90.620451) (end 153.279549 90.020451) (width 0.2) (layer "B.Cu") (net 3) (tstamp 4f3777ce-618c-48f9-aaec-c8ffa19b6a6e)) + (segment (start 151.7 106.525) (end 151.6375 106.4625) (width 0.5) (layer "B.Cu") (net 3) (tstamp 5281deed-ae5b-4fc2-874e-52849bbec03e)) + (segment (start 156.063439 88.675216) (end 154.718204 90.020451) (width 0.2) (layer "B.Cu") (net 3) (tstamp 5507f535-6b11-44c9-a4af-2a24792788a7)) + (segment (start 152.82 109.28) (end 151.95 110.15) (width 0.5) (layer "B.Cu") (net 3) (tstamp 5650baf8-2f1a-4101-b63d-8050d983911d)) + (segment (start 164.3 98.1) (end 164.3 94.45) (width 0.5) (layer "B.Cu") (net 3) (tstamp 574d50f9-d219-41ea-8afd-98bc34ed55d4)) + (segment (start 160.124784 88.675216) (end 160.124784 90.038556) (width 0.5) (layer "B.Cu") (net 3) (tstamp 5842c710-7cf9-474e-83ee-1f0eeb8740bb)) + (segment (start 151.85 110.15) (end 152.25 110.55) (width 0.5) (layer "B.Cu") (net 3) (tstamp 5dd0fc53-bb5b-4666-8af3-761a7cce0578)) + (segment (start 160.6 90.55) (end 160.149343 90.099343) (width 0.5) (layer "B.Cu") (net 3) (tstamp 646085ee-cfba-4ae9-9374-c7544cc7231d)) + (segment (start 160.449561 106.885973) (end 161.15 106.185534) (width 0.2) (layer "B.Cu") (net 3) (tstamp 66741ef0-bfd1-476e-bbc9-ff3508e15840)) + (segment (start 159.4 100.35) (end 159.4 100.55) (width 0.5) (layer "B.Cu") (net 3) (tstamp 6d66ee20-9a21-4e73-ba22-1d65decf42ae)) + (segment (start 151.95 110.15) (end 151.85 110.15) (width 0.5) (layer "B.Cu") (net 3) (tstamp 6dfac49f-d4bc-4a06-ab62-c5aad09b00bd)) + (segment (start 197.37 91.03) (end 196.8 91.6) (width 0.5) (layer "B.Cu") (net 3) (tstamp 6e6fe444-7c39-49ca-877b-83810e9061dc)) + (segment (start 152.5 91.4) (end 153.279549 90.620451) (width 0.2) (layer "B.Cu") (net 3) (tstamp 72b97a45-0f74-4973-9524-658986b382c8)) + (segment (start 125.7 108.5625) (end 126.75 108.5625) (width 0.5) (layer "B.Cu") (net 3) (tstamp 768203ee-82f4-4b52-9b6c-18ee51488455)) + (segment (start 161.15 106.185534) (end 161.15 105.615683) (width 0.2) (layer "B.Cu") (net 3) (tstamp 7b41f2c3-7445-4b85-8399-c41d31cb1d59)) + (segment (start 160.124784 88.675216) (end 156.063439 88.675216) (width 0.2) (layer "B.Cu") (net 3) (tstamp 7bd49662-fd47-41c2-8605-0af8ccd0790f)) + (segment (start 167.511791 93.459782) (end 168.103992 92.867581) (width 0.2) (layer "B.Cu") (net 3) (tstamp 7da2688e-63ea-427e-a2b7-ff9b65848e50)) + (segment (start 158.164466 102.1) (end 158.2 102.1) (width 0.2) (layer "B.Cu") (net 3) (tstamp 824bdf09-8911-4db7-bc97-d5751549721a)) + (segment (start 126.7625 108.5625) (end 126.75 108.5625) (width 0.5) (layer "B.Cu") (net 3) (tstamp 830fa046-447a-4b27-ab27-52956ee9b578)) + (segment (start 126.75 108.5625) (end 129.41 105.9025) (width 0.2) (layer "B.Cu") (net 3) (tstamp 8dbb8dfc-8671-464c-84a3-17a8801e9f06)) + (segment (start 160.606854 90.55) (end 160.6 90.55) (width 0.2) (layer "B.Cu") (net 3) (tstamp 94a4274c-c398-411e-9e4f-bd27e4b5b02e)) + (segment (start 155.450253 96) (end 156.206921 96.756668) (width 0.2) (layer "B.Cu") (net 3) (tstamp 9560d6c4-3012-44e3-923a-c18dbedce399)) + (segment (start 150.72 108.01) (end 150.72 109.02) (width 0.5) (layer "B.Cu") (net 3) (tstamp 99ef40f5-d649-450b-9735-e8775e02d10e)) + (segment (start 165.350253 105.9) (end 162.465685 105.9) (width 0.5) (layer "B.Cu") (net 3) (tstamp 9c47729d-4c2f-4efa-b906-e82236bc7ca9)) + (segment (start 172.346633 102.289779) (end 171.6 101.543146) (width 0.2) (layer "B.Cu") (net 3) (tstamp a2fba47a-bcab-4294-8c86-4ee4762f0ffd)) + (segment (start 163.990888 93.5) (end 164.596447 93.5) (width 0.2) (layer "B.Cu") (net 3) (tstamp a5c77636-58c9-4505-b169-fe74bda0ea6a)) + (segment (start 161.510221 91.453367) (end 160.606854 90.55) (width 0.2) (layer "B.Cu") (net 3) (tstamp a7abb9fe-472d-485c-a290-dc556be0c580)) + (segment (start 164.347813 98.147813) (end 164.3 98.1) (width 0.5) (layer "B.Cu") (net 3) (tstamp ab6538cd-563a-4835-86ba-ff393a7cd685)) + (segment (start 151.7 107.03) (end 151.7 106.525) (width 0.5) (layer "B.Cu") (net 3) (tstamp ad9ecea6-34dd-4027-9baf-c40faa14009d)) + (segment (start 157 97.95) (end 157.4 98.35) (width 0.2) (layer "B.Cu") (net 3) (tstamp af65133c-906d-44f0-93d7-7fcc58d50cba)) + (segment (start 197.37 92.17) (end 196.8 91.6) (width 0.2) (layer "B.Cu") (net 3) (tstamp b10da008-5d0c-4f3d-af30-b3f72954dd30)) + (segment (start 161.510221 91.453367) (end 162.206854 92.15) (width 0.2) (layer "B.Cu") (net 3) (tstamp b13e3ace-e061-4821-8513-a4a8c4412f02)) + (segment (start 164.596447 93.5) (end 164.95 93.5) (width 0.2) (layer "B.Cu") (net 3) (tstamp b81a0a46-4c6d-4ce4-b6e7-17732e77b130)) + (segment (start 123.876155 108.5625) (end 126.75 108.5625) (width 0.5) (layer "B.Cu") (net 3) (tstamp b854e680-4f39-45f6-a531-31712e9a9fec)) + (segment (start 163.970444 93.520444) (end 163.990888 93.5) (width 0.2) (layer "B.Cu") (net 3) (tstamp b8fcd29d-77c7-4b74-84a3-1eba1d5f1c33)) + (segment (start 154.075 109.28) (end 152.82 109.28) (width 0.5) (layer "B.Cu") (net 3) (tstamp bd0ded6e-8fcd-4156-ac3b-8f5d7a4fdca6)) + (segment (start 167.6 98.147813) (end 164.347813 98.147813) (width 0.5) (layer "B.Cu") (net 3) (tstamp bdd8302b-4a4a-47a1-ad3f-cd6c6cf0e3a8)) + (segment (start 164.3 93.85) (end 163.970444 93.520444) (width 0.5) (layer "B.Cu") (net 3) (tstamp bf1b5df8-8c7e-41b3-ac35-14eca0fb9de0)) + (segment (start 129.41 109.9) (end 128.1 109.9) (width 0.5) (layer "B.Cu") (net 3) (tstamp c5081987-4e6f-4890-ab07-4c753caece60)) + (segment (start 150.72 108.01) (end 149.125 108.01) (width 0.5) (layer "B.Cu") (net 3) (tstamp c549fc2c-8b51-46f9-b6e1-302bc49bb075)) + (segment (start 162.465685 105.9) (end 161.9 105.9) (width 0.5) (layer "B.Cu") (net 3) (tstamp c5ed88db-2e4a-415a-8e82-6a977715d61d)) + (segment (start 156.206921 96.756668) (end 157 97.549747) (width 0.2) (layer "B.Cu") (net 3) (tstamp c7c191d9-5829-4229-ad5a-5ef33d43be42)) + (segment (start 153.2 90.1) (end 153.175 90.1) (width 0.2) (layer "B.Cu") (net 3) (tstamp c87d936c-b676-4ae9-afdf-d12eeae9b25f)) + (segment (start 166.6 94.45) (end 164.3 94.45) (width 0.5) (layer "B.Cu") (net 3) (tstamp c97370fc-7926-4dde-bffd-0ba73aad5a40)) + (segment (start 166.999999 93.971574) (end 167.511791 93.459782) (width 0.2) (layer "B.Cu") (net 3) (tstamp c9cb533d-2335-4233-abe9-8751366760cd)) + (segment (start 151.225 107.505) (end 150.72 108.01) (width 0.5) (layer "B.Cu") (net 3) (tstamp d5bc8c90-307a-4f91-b7d4-195e424cbdb6)) + (segment (start 160.149343 90.099343) (end 160.149343 90.063115) (width 0.5) (layer "B.Cu") (net 3) (tstamp d6b1453c-4943-4288-8e4a-27b637a36d79)) + (segment (start 161.510221 91.453367) (end 161.581058 91.453367) (width 0.2) (layer "B.Cu") (net 3) (tstamp d6f0ccbf-d700-42b0-b147-ec0ef3199b98)) + (segment (start 154.718204 90.020451) (end 153.279549 90.020451) (width 0.2) (layer "B.Cu") (net 3) (tstamp e6d0770c-2d74-4894-95ea-4d162af08a5e)) + (segment (start 166.999999 94.050001) (end 166.999999 93.971574) (width 0.2) (layer "B.Cu") (net 3) (tstamp ea513263-dacb-449a-b673-fa27336ebe57)) + (segment (start 164.3 101.938655) (end 163.946573 102.292082) (width 0.5) (layer "B.Cu") (net 3) (tstamp ee38ceb5-b840-4d2d-bedd-e24d249f690a)) + (segment (start 160.124784 90.038556) (end 160.149343 90.063115) (width 0.5) (layer "B.Cu") (net 3) (tstamp efa7d32a-6fea-4360-95c4-6716bd307f72)) + (segment (start 153.8625 96) (end 155.4 96) (width 0.5) (layer "B.Cu") (net 3) (tstamp f0b02afe-2696-4405-b9e9-22072fab0c6b)) + (segment (start 166.6 94.45) (end 166.999999 94.050001) (width 0.2) (layer "B.Cu") (net 3) (tstamp f0f9562d-4379-4b36-96c2-0bc0714c5996)) + (segment (start 162.206854 92.15) (end 162.6 92.15) (width 0.2) (layer "B.Cu") (net 3) (tstamp f273412d-030d-4820-9ba4-acd706a8a824)) + (segment (start 151.225 107.505) (end 151.7 107.03) (width 0.5) (layer "B.Cu") (net 3) (tstamp f7449c03-0a02-4116-989a-f213699e1247)) + (segment (start 161.15 105.615683) (end 161.15 105.049998) (width 0.2) (layer "B.Cu") (net 3) (tstamp fd128339-d3e2-48ae-a8d7-931d48e993dc)) + (segment (start 124.146573 91.313421) (end 123.359994 92.1) (width 0.2) (layer "F.Cu") (net 4) (tstamp 2149a4d8-b44b-48c5-a94c-0c936dd497fd)) + (segment (start 120.5 96.325) (end 121.65 97.475) (width 0.2) (layer "F.Cu") (net 4) (tstamp 250127a5-0a62-44d6-8ae7-ad21b8f630c5)) + (segment (start 124.146573 90.903427) (end 124.146573 91.313421) (width 0.2) (layer "F.Cu") (net 4) (tstamp 56cdf63b-e149-4b1f-a13d-54a961a7024f)) + (segment (start 123.359994 92.1) (end 121.46794 92.1) (width 0.2) (layer "F.Cu") (net 4) (tstamp 61dc2528-a728-43e4-a1b1-2d695024c35f)) + (segment (start 121.46794 92.1) (end 120.5 93.06794) (width 0.2) (layer "F.Cu") (net 4) (tstamp 76145fd4-8ac3-496c-a6c9-c9369620ef22)) + (segment (start 123.2019 97.4981) (end 122.54866 97.4981) (width 0.2) (layer "F.Cu") (net 4) (tstamp 79687df3-55b7-4df9-a41b-70e0ec2e934f)) + (segment (start 125.546573 89.503427) (end 124.146573 90.903427) (width 0.2) (layer "F.Cu") (net 4) (tstamp a07c7b74-7192-4f4a-8f33-4baa00cac451)) + (segment (start 125.546573 88.954582) (end 125.546573 89.503427) (width 0.2) (layer "F.Cu") (net 4) (tstamp d47cbf0c-5df9-405d-9f4b-145284c185fc)) + (segment (start 120.5 93.06794) (end 120.5 96.325) (width 0.2) (layer "F.Cu") (net 4) (tstamp f1dfa641-8c3e-4e8d-955f-2b65fd30360c)) + (segment (start 191.887489 100.580635) (end 190.932896 99.626042) (width 0.2) (layer "F.Cu") (net 5) (tstamp 1ad71cf6-36ba-44a8-a531-1df2526c558c)) + (segment (start 186.873958 99.626042) (end 186.849999 99.650001) (width 0.2) (layer "F.Cu") (net 5) (tstamp 567b5911-c3f5-49d5-8701-afa86d062b63)) + (segment (start 186.849999 99.650001) (end 186.6 99.9) (width 0.2) (layer "F.Cu") (net 5) (tstamp 94000775-66fc-42d8-b0e0-52e66a73b706)) + (segment (start 190.932896 99.626042) (end 186.873958 99.626042) (width 0.2) (layer "F.Cu") (net 5) (tstamp c84a8841-de58-436e-8136-d00c20980516)) + (via (at 186.6 99.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp a77e7f5b-6476-4df0-904a-4dd7f5a5d521)) + (segment (start 173.407293 101.229118) (end 172.815092 100.636917) (width 0.2) (layer "B.Cu") (net 5) (tstamp 35849cb2-ce99-42cd-bcbd-1615b24bac08)) + (segment (start 172.815092 100.354199) (end 174.569291 98.6) (width 0.2) (layer "B.Cu") (net 5) (tstamp 4a9643bb-72c9-4838-9e92-09c2759755da)) + (segment (start 172.815092 100.636917) (end 172.815092 100.354199) (width 0.2) (layer "B.Cu") (net 5) (tstamp 53a0b290-e66c-4539-837a-109ca6b1628d)) + (segment (start 174.569291 98.6) (end 181.120587 98.6) (width 0.2) (layer "B.Cu") (net 5) (tstamp 6fae8001-1b4e-45e3-bcd2-d67f84d90410)) + (segment (start 185.649812 98.949812) (end 186.6 99.9) (width 0.2) (layer "B.Cu") (net 5) (tstamp bd25196f-b2aa-42db-9e27-411a8011ad99)) + (segment (start 181.120587 98.6) (end 181.470399 98.949812) (width 0.2) (layer "B.Cu") (net 5) (tstamp d827120b-102a-47bf-b705-deb6fedbaf79)) + (segment (start 181.470399 98.949812) (end 185.649812 98.949812) (width 0.2) (layer "B.Cu") (net 5) (tstamp f56e80d2-ae59-4934-889e-090d0e6a96fe)) + (segment (start 185.274346 100.412827) (end 185.711332 100.849813) (width 0.2) (layer "F.Cu") (net 6) (tstamp 59479a37-8fe2-4b4d-8ac0-9352a1ce0394)) + (segment (start 189.893925 100.849813) (end 190.756118 101.712006) (width 0.2) (layer "F.Cu") (net 6) (tstamp bdd730db-156d-4938-89b2-9bdf1bdf3004)) + (segment (start 185.711332 100.849813) (end 189.893925 100.849813) (width 0.2) (layer "F.Cu") (net 6) (tstamp d9c0a854-f8ab-4e21-8756-149453e9c83f)) + (via (at 185.274346 100.412827) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 6) (tstamp 254d97d1-d041-45dc-a65f-9ad3ba978859)) + (segment (start 184.661519 99.8) (end 181.144206 99.8) (width 0.2) (layer "B.Cu") (net 6) (tstamp 410cc4a3-81e7-48fc-ba26-e015cc56bfbc)) + (segment (start 173.645941 102.174873) (end 173.05374 101.582672) (width 0.2) (layer "B.Cu") (net 6) (tstamp 80eb07fd-bca6-4f23-b6b0-164d45dc6529)) + (segment (start 181.144206 99.8) (end 180.744028 99.399822) (width 0.2) (layer "B.Cu") (net 6) (tstamp 99b09e7e-6cbd-4e34-a768-252898c18d65)) + (segment (start 176.703708 99.399822) (end 173.928657 102.174873) (width 0.2) (layer "B.Cu") (net 6) (tstamp 9cae4e20-994d-42a3-894a-32024a0c4c1c)) + (segment (start 180.744028 99.399822) (end 176.703708 99.399822) (width 0.2) (layer "B.Cu") (net 6) (tstamp 9f8c9cd2-bd37-4bff-8b35-e7d894c0c5f7)) + (segment (start 173.928657 102.174873) (end 173.645941 102.174873) (width 0.2) (layer "B.Cu") (net 6) (tstamp cc6dce77-5d4b-4f6c-8d90-7362811e0a46)) + (segment (start 185.274346 100.412827) (end 184.661519 99.8) (width 0.2) (layer "B.Cu") (net 6) (tstamp de884f0f-b832-4ac6-adfe-d5bb3763b128)) + (segment (start 188.946447 91.019239) (end 188.752503 91.213183) (width 0.2) (layer "F.Cu") (net 7) (tstamp 9a9735ec-f0f6-4b42-a800-f7e7903575c9)) + (segment (start 188.752503 91.213183) (end 188.752503 92.684755) (width 0.2) (layer "F.Cu") (net 7) (tstamp f2158d43-2886-4547-9374-536695b3441f)) + (segment (start 188.752503 92.684755) (end 187.927691 93.509567) (width 0.2) (layer "F.Cu") (net 7) (tstamp f51698af-77f0-4c7e-8e76-4f0dddddd427)) + (segment (start 188.174668 92.13122) (end 187.362006 92.943882) (width 0.2) (layer "F.Cu") (net 8) (tstamp 302ed48e-ce1d-4927-8c32-ef44a3e62fe3)) + (segment (start 188.346447 90.453553) (end 188.174668 90.625332) (width 0.2) (layer "F.Cu") (net 8) (tstamp 9f347084-4ed8-4123-8bf2-c49d624476cf)) + (segment (start 188.380761 90.453553) (end 188.346447 90.453553) (width 0.2) (layer "F.Cu") (net 8) (tstamp af099a9a-a6c4-4337-9adf-945b14c45949)) + (segment (start 188.174668 90.625332) (end 188.174668 92.13122) (width 0.2) (layer "F.Cu") (net 8) (tstamp ea624d8e-eb6c-4f53-b7ba-00710a9ddfbe)) + (segment (start 187.656487 90.046457) (end 187.656487 91.518029) (width 0.2) (layer "F.Cu") (net 9) (tstamp 1c9d886a-d99e-435b-be0a-04036eabadd5)) + (segment (start 187.815076 89.887868) (end 187.656487 90.046457) (width 0.2) (layer "F.Cu") (net 9) (tstamp 80fbdade-75e0-425b-99cd-e2390f32083d)) + (segment (start 187.656487 91.518029) (end 186.79632 92.378196) (width 0.2) (layer "F.Cu") (net 9) (tstamp ab4c1f19-b3f6-4855-bb2f-e3c03a556c07)) + (segment (start 189.35 91.747056) (end 189.35 93.21863) (width 0.2) (layer "F.Cu") (net 10) (tstamp 5c33c035-60ed-4db6-b49a-c681a32bb1db)) + (segment (start 189.512132 91.584924) (end 189.35 91.747056) (width 0.2) (layer "F.Cu") (net 10) (tstamp d1b4ca47-0198-4078-b860-3b78e8e2bf21)) + (segment (start 189.35 93.21863) (end 188.493377 94.075253) (width 0.2) (layer "F.Cu") (net 10) (tstamp d44f2426-d86c-4404-b30c-c1b196b43718)) + (segment (start 192.002944 94.2) (end 192.115076 94.087868) (width 0.2) (layer "F.Cu") (net 11) (tstamp 067b5e24-b55c-47a2-bc10-488eb3b43672)) + (segment (start 190.63137 94.2) (end 192.002944 94.2) (width 0.2) (layer "F.Cu") (net 11) (tstamp bba2a422-0c17-4944-b41d-9d3a78560fcc)) + (segment (start 189.624747 95.206623) (end 190.63137 94.2) (width 0.2) (layer "F.Cu") (net 11) (tstamp f75031c8-91f4-415d-8dfb-6f4ada0875b3)) + (segment (start 192.680761 94.653553) (end 192.486817 94.847497) (width 0.2) (layer "F.Cu") (net 12) (tstamp 141a2384-83fe-4d93-9ad5-a2f794ab3d69)) + (segment (start 191.115245 94.847497) (end 190.190433 95.772309) (width 0.2) (layer "F.Cu") (net 12) (tstamp 4fe5a387-b876-48b4-8063-134c818d46d1)) + (segment (start 192.486817 94.847497) (end 191.115245 94.847497) (width 0.2) (layer "F.Cu") (net 12) (tstamp a499f4c9-f91e-488e-ad88-3e3c4ab96db5)) + (segment (start 191.710711 95.383401) (end 190.756118 96.337994) (width 0.2) (layer "F.Cu") (net 13) (tstamp 602911aa-b740-4cda-be3e-58b8c0fa90e5)) + (segment (start 193.082285 95.383401) (end 191.710711 95.383401) (width 0.2) (layer "F.Cu") (net 13) (tstamp 673d7292-c338-4de5-b251-fe34c177b011)) + (segment (start 193.246447 95.219239) (end 193.082285 95.383401) (width 0.2) (layer "F.Cu") (net 13) (tstamp d8330591-8f69-4249-b224-74b28395b4ee)) + (segment (start 191.321804 96.90368) (end 192.276397 95.949087) (width 0.2) (layer "F.Cu") (net 14) (tstamp 765edee1-40e6-467c-9ddb-151ecaf6fecf)) + (segment (start 192.276397 95.949087) (end 193.647969 95.949087) (width 0.2) (layer "F.Cu") (net 14) (tstamp 89c66b81-a79e-4682-8513-54ac5615030c)) + (segment (start 193.647969 95.949087) (end 193.812132 95.784924) (width 0.2) (layer "F.Cu") (net 14) (tstamp ac0a29ed-8e73-4656-9a6f-0647f3b7dad8)) + (segment (start 184.7 93.393146) (end 184.7 93.6) (width 0.2) (layer "F.Cu") (net 15) (tstamp c096fbc1-38d5-44a0-b37d-07adbdc68b97)) + (segment (start 183.119365 91.812511) (end 184.7 93.393146) (width 0.2) (layer "F.Cu") (net 15) (tstamp d500d78e-ea09-41b8-8540-b1bd0d43786b)) + (via (at 184.7 93.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp c4710c5d-b71f-40e1-b062-ad78548e06b7)) + (segment (start 166.336225 91.099814) (end 167.186009 90.25003) (width 0.2) (layer "B.Cu") (net 15) (tstamp 5fa9e15c-2ec1-4b22-b097-420968f92f9b)) + (segment (start 167.186009 90.25003) (end 173.031148 90.25003) (width 0.2) (layer "B.Cu") (net 15) (tstamp 60af5d2f-7f52-4c53-b178-d019f0b8c466)) + (segment (start 173.031148 90.25003) (end 175.977808 93.19669) (width 0.2) (layer "B.Cu") (net 15) (tstamp 676e93be-9c04-4db0-869c-810025276bce)) + (segment (start 183.6443 93.040236) (end 184.140236 93.040236) (width 0.2) (layer "B.Cu") (net 15) (tstamp 6ec3f58d-1bf2-4d00-8ded-137665c29fa4)) + (segment (start 183.487846 93.19669) (end 183.6443 93.040236) (width 0.2) (layer "B.Cu") (net 15) (tstamp 87b212a9-7373-4020-b5b9-eab912948cc2)) + (segment (start 184.140236 93.040236) (end 184.450001 93.350001) (width 0.2) (layer "B.Cu") (net 15) (tstamp a232e8e5-f6d5-48f3-908f-983375d7f9d2)) + (segment (start 175.977808 93.19669) (end 183.487846 93.19669) (width 0.2) (layer "B.Cu") (net 15) (tstamp a82dc1fa-089a-40b3-950a-63d6952f3b29)) + (segment (start 184.450001 93.350001) (end 184.7 93.6) (width 0.2) (layer "B.Cu") (net 15) (tstamp f5ec8072-6fe6-4074-8c59-7f60e384238b)) + (segment (start 181.422309 93.509567) (end 179.995834 92.083092) (width 0.2) (layer "F.Cu") (net 16) (tstamp 5998c03d-a015-48f6-a1b2-9006e6f67ef3)) + (segment (start 179.995834 92.083092) (end 176.216909 92.083092) (width 0.2) (layer "F.Cu") (net 16) (tstamp c0d65d75-b5bd-4f26-a5b9-f1ba5f37f30f)) + (via (at 176.216909 92.083092) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp 74cd0cf4-5621-44be-a994-04f2ede19e3e)) + (segment (start 165.629118 90.392707) (end 166.571815 89.45001) (width 0.2) (layer "B.Cu") (net 16) (tstamp cc95655e-976b-4f08-bf42-c42e4c6e0db1)) + (segment (start 176 91.866183) (end 176.216909 92.083092) (width 0.2) (layer "B.Cu") (net 16) (tstamp d1b4bb3e-2e9e-4484-afef-8312eddf5010)) + (segment (start 166.571815 89.45001) (end 173.583827 89.45001) (width 0.2) (layer "B.Cu") (net 16) (tstamp efaecdfe-609d-4e25-b13f-25267e429bf9)) + (segment (start 173.583827 89.45001) (end 176 91.866183) (width 0.2) (layer "B.Cu") (net 16) (tstamp fb5af29a-6db0-4cb1-950d-1e6399387ba0)) + (segment (start 180.856623 94.075253) (end 179.414362 92.632992) (width 0.2) (layer "F.Cu") (net 17) (tstamp 61f67648-4bcf-484f-844c-3ecb0bb7e68e)) + (segment (start 179.414362 92.632992) (end 176.756201 92.632992) (width 0.2) (layer "F.Cu") (net 17) (tstamp 9bec334a-07c5-43f7-bd77-d08658e06fd3)) + (via (at 176.756201 92.632992) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp 056c27cc-caa1-423b-8065-49506d4a31f8)) + (segment (start 169.615689 89.85002) (end 173.196694 89.85002) (width 0.2) (layer "B.Cu") (net 17) (tstamp 1d14b653-d5b6-4f57-ba03-713642eda000)) + (segment (start 166.878912 89.85002) (end 169.615689 89.85002) (width 0.2) (layer "B.Cu") (net 17) (tstamp 77a4aadc-205b-44be-aac8-7a23609ccb83)) + (segment (start 176.402648 92.632992) (end 176.756201 92.632992) (width 0.2) (layer "B.Cu") (net 17) (tstamp a567fa9d-d8f7-4e50-8c8c-1855539d5fe0)) + (segment (start 165.982672 90.74626) (end 166.878912 89.85002) (width 0.2) (layer "B.Cu") (net 17) (tstamp b12d957a-daf4-4d45-8490-45d15cf483d0)) + (segment (start 173.196694 89.85002) (end 175.979666 92.632992) (width 0.2) (layer "B.Cu") (net 17) (tstamp d1843388-f043-40ad-a0eb-033381b51ce3)) + (segment (start 175.979666 92.632992) (end 176.402648 92.632992) (width 0.2) (layer "B.Cu") (net 17) (tstamp e151fe64-ce35-41cf-aaad-41bd1f94cefd)) + (segment (start 169.615689 89.85002) (end 169.615709 89.85) (width 0.2) (layer "B.Cu") (net 17) (tstamp eac330d1-aa68-451f-9400-8a0605c70204)) + (segment (start 179.725253 95.206623) (end 181.91863 97.4) (width 0.2) (layer "F.Cu") (net 18) (tstamp 11271bbf-8511-4f71-b1cb-b739e45a9049)) + (segment (start 182.150004 97.4) (end 182.7 97.949996) (width 0.2) (layer "F.Cu") (net 18) (tstamp 23a06c38-f913-4950-8fad-16b6b44a410f)) + (segment (start 181.91863 97.4) (end 182.150004 97.4) (width 0.2) (layer "F.Cu") (net 18) (tstamp 90192af8-0c83-4f98-b8c3-cd81b9bc5fd6)) + (via (at 182.7 97.949996) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp f8cbfb32-c41c-4af8-afb2-1b57d1c03c27)) + (segment (start 173.760846 98.524435) (end 173.775565 98.524435) (width 0.2) (layer "B.Cu") (net 18) (tstamp 34aa7dee-7e5e-4f6f-9eda-78c895a03293)) + (segment (start 182.149996 98.5) (end 182.7 97.949996) (width 0.2) (layer "B.Cu") (net 18) (tstamp 5b0ba0f3-ad71-465f-a109-67ef34443e68)) + (segment (start 181.586146 98.5) (end 182.149996 98.5) (width 0.2) (layer "B.Cu") (net 18) (tstamp 78ce85bd-11fc-455e-97fd-d49b4e49cb04)) + (segment (start 173.775565 98.524435) (end 174.3 98) (width 0.2) (layer "B.Cu") (net 18) (tstamp 89fee09d-b357-4ca7-9d28-08f6d0dbe8e7)) + (segment (start 181.086146 98) (end 181.586146 98.5) (width 0.2) (layer "B.Cu") (net 18) (tstamp 9b4533e4-412a-4c08-8490-adf543ac1921)) + (segment (start 174.3 98) (end 181.086146 98) (width 0.2) (layer "B.Cu") (net 18) (tstamp ff4ffc16-d91d-43a8-9aed-245a7fb4f20e)) + (segment (start 186.863954 100.449902) (end 187.122129 100.191727) (width 0.2) (layer "F.Cu") (net 19) (tstamp 8a2b8143-d845-4405-b088-c7a89c8289f0)) + (segment (start 187.122129 100.191727) (end 190.367211 100.191727) (width 0.2) (layer "F.Cu") (net 19) (tstamp a2f46170-da35-420e-8cde-6a1fea6de934)) + (segment (start 186.249902 100.449902) (end 186.863954 100.449902) (width 0.2) (layer "F.Cu") (net 19) (tstamp d2af9ff9-7cbf-4b5a-a8df-c48efc46986e)) + (segment (start 185.9 100.1) (end 186.249902 100.449902) (width 0.2) (layer "F.Cu") (net 19) (tstamp e36ed7de-f358-4eb4-9038-f959a9f265dc)) + (segment (start 190.367211 100.191727) (end 191.321804 101.14632) (width 0.2) (layer "F.Cu") (net 19) (tstamp f1e543e6-2ac2-476f-ae11-21c45dc90142)) + (via (at 185.9 100.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp 4f5d7991-05ee-436b-ab01-b38ce56e42b9)) + (segment (start 180.95494 98.999911) (end 175.6365 98.999911) (width 0.2) (layer "B.Cu") (net 19) (tstamp 096b42dc-69dd-4f9e-a5bd-721bbf8d098a)) + (segment (start 185.9 100.1) (end 185.149723 99.349723) (width 0.2) (layer "B.Cu") (net 19) (tstamp 0ad6f9d9-8b18-4e6c-bebb-ea5bda6b12ac)) + (segment (start 175.6365 98.999911) (end 173.760846 100.875565) (width 0.2) (layer "B.Cu") (net 19) (tstamp 1634165e-be1a-4db5-8424-2d9e9fe9af60)) + (segment (start 185.149723 99.349723) (end 181.304751 99.349723) (width 0.2) (layer "B.Cu") (net 19) (tstamp 6cf11e2d-0266-40cf-9e37-56425775f720)) + (segment (start 181.304751 99.349723) (end 180.95494 98.999911) (width 0.2) (layer "B.Cu") (net 19) (tstamp 8951908a-89d7-467b-a9f8-4a3d3292949a)) + (segment (start 193.147768 102.786524) (end 193.43358 102.786524) (width 0.2) (layer "F.Cu") (net 20) (tstamp 1776b539-5d4a-4a7e-9aa2-e250c02996e4)) + (segment (start 190.190433 102.277691) (end 191.030617 103.117875) (width 0.2) (layer "F.Cu") (net 20) (tstamp 1b703e16-20c5-4a1c-b37d-789b4d647299)) + (segment (start 193.43358 102.786524) (end 193.787132 103.140076) (width 0.2) (layer "F.Cu") (net 20) (tstamp 4b3cc516-6a98-4b93-88a4-71bc5832e84d)) + (segment (start 191.030617 103.117875) (end 192.816417 103.117875) (width 0.2) (layer "F.Cu") (net 20) (tstamp 9ea84a93-5d1a-4381-b3b6-95531ed78fef)) + (segment (start 192.816417 103.117875) (end 193.147768 102.786524) (width 0.2) (layer "F.Cu") (net 20) (tstamp dee52bf7-da64-4b62-a3c8-e270e82a2859)) + (segment (start 178.732143 103.836487) (end 178.77066 103.79797) (width 0.2) (layer "F.Cu") (net 21) (tstamp 3c78b04b-689f-4fd0-ab05-6590262fe710)) + (segment (start 177.061457 103.836487) (end 178.732143 103.836487) (width 0.2) (layer "F.Cu") (net 21) (tstamp 3d2ce258-d54b-4d3b-bc33-ec1cb228bce1)) + (segment (start 176.902868 103.995076) (end 177.061457 103.836487) (width 0.2) (layer "F.Cu") (net 21) (tstamp b7f0b455-c90d-49ac-b382-b8e92ea1a461)) + (segment (start 178.77066 103.79797) (end 179.725253 102.843377) (width 0.2) (layer "F.Cu") (net 21) (tstamp e39bf02d-9211-4f27-b002-85245f843edb)) + (segment (start 177.667423 104.363655) (end 179.336345 104.363655) (width 0.2) (layer "F.Cu") (net 22) (tstamp 1f868103-cfb3-470e-ad21-5716bad458ee)) + (segment (start 177.468553 104.560761) (end 177.470317 104.560761) (width 0.2) (layer "F.Cu") (net 22) (tstamp 61a0a4aa-fc9d-476d-8a2e-6ba03bf1813a)) + (segment (start 177.470317 104.560761) (end 177.667423 104.363655) (width 0.2) (layer "F.Cu") (net 22) (tstamp 95f10574-2f85-47e6-8ebc-04f080fa2f7c)) + (segment (start 179.336345 104.363655) (end 180.290938 103.409062) (width 0.2) (layer "F.Cu") (net 22) (tstamp c2dec9c1-a5aa-4085-ba10-38e398849475)) + (segment (start 180.856623 103.974747) (end 179.93137 104.9) (width 0.2) (layer "F.Cu") (net 23) (tstamp 66bd1dac-4bf3-4a01-bd3c-594eb46215af)) + (segment (start 179.93137 104.9) (end 178.260686 104.9) (width 0.2) (layer "F.Cu") (net 23) (tstamp aa96c8ba-8ed3-4523-8794-4eefaed6101e)) + (segment (start 178.260686 104.9) (end 178.034239 105.126447) (width 0.2) (layer "F.Cu") (net 23) (tstamp ca9a7c3a-bde9-4c7b-bfa0-1bdbf8304fb2)) + (segment (start 180.462742 105.5) (end 181.422309 104.540433) (width 0.2) (layer "F.Cu") (net 24) (tstamp a829264e-27ee-48c8-9ac4-44b7dc1cdfff)) + (segment (start 178.792056 105.5) (end 180.462742 105.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp a9f21b66-a6d3-4792-8934-4f6ae2bd6e5b)) + (segment (start 178.599924 105.692132) (end 178.792056 105.5) (width 0.2) (layer "F.Cu") (net 24) (tstamp cea19975-15ac-40a7-9a84-8e6f331fcef9)) + (segment (start 181.337258 97.95) (end 181.546447 97.95) (width 0.2) (layer "F.Cu") (net 25) (tstamp 3f05aca4-e1f8-4422-83f4-d729bfd4c895)) + (segment (start 181.546447 97.95) (end 181.9 97.95) (width 0.2) (layer "F.Cu") (net 25) (tstamp 66f7dcb3-6663-419b-a4e7-c6c298002f6b)) + (segment (start 179.159567 95.772309) (end 181.337258 97.95) (width 0.2) (layer "F.Cu") (net 25) (tstamp d6cee568-baec-4487-9c0c-482fbda7f00e)) + (via (at 181.9 97.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp a9c26d48-b009-4145-b9aa-5b13045ecb9e)) + (segment (start 181.650001 97.700001) (end 181.9 97.95) (width 0.2) (layer "B.Cu") (net 25) (tstamp 0f967bad-da41-400a-b186-ac84786b9eac)) + (segment (start 181.550089 97.600089) (end 181.650001 97.700001) (width 0.2) (layer "B.Cu") (net 25) (tstamp 35d94026-f62a-4033-82b7-60d61bbf5261)) + (segment (start 173.407293 98.170882) (end 173.978086 97.600089) (width 0.2) (layer "B.Cu") (net 25) (tstamp a81316ab-3514-4a7a-bf87-ee64464ce433)) + (segment (start 173.978086 97.600089) (end 181.550089 97.600089) (width 0.2) (layer "B.Cu") (net 25) (tstamp ed2fb30e-7f26-4910-a92b-d400a20a1493)) + (segment (start 176.638678 102.535838) (end 176.553553 102.535838) (width 0.2) (layer "F.Cu") (net 26) (tstamp 25ccd150-03c8-477d-a181-37d5d816eda8)) + (segment (start 178.028196 101.14632) (end 176.638678 102.535838) (width 0.2) (layer "F.Cu") (net 26) (tstamp b3fd8c59-6d99-4d05-8fa4-edcf163b1407)) + (segment (start 176.553553 102.535838) (end 176.2 102.535838) (width 0.2) (layer "F.Cu") (net 26) (tstamp da3cb92a-9070-460f-be97-693280c2ddbf)) + (via (at 176.2 102.535838) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 26) (tstamp 8faa3e71-4fee-4a31-a10c-c35d4089175f)) + (segment (start 170.578866 104.057546) (end 171.27132 104.75) (width 0.2) (layer "B.Cu") (net 26) (tstamp 0ef92f8e-91be-4f9f-9adc-f8a24e8dea0c)) + (segment (start 175.846447 102.535838) (end 176.2 102.535838) (width 0.2) (layer "B.Cu") (net 26) (tstamp 809127d0-92b6-4f32-bad7-56af543e14a2)) + (segment (start 173.55 104.75) (end 175.764162 102.535838) (width 0.2) (layer "B.Cu") (net 26) (tstamp 919269c0-e952-4624-baf9-030765feaf04)) + (segment (start 171.27132 104.75) (end 173.55 104.75) (width 0.2) (layer "B.Cu") (net 26) (tstamp de344226-5c3a-4558-9d6b-6b974c132544)) + (segment (start 175.764162 102.535838) (end 175.846447 102.535838) (width 0.2) (layer "B.Cu") (net 26) (tstamp f204b133-7f6a-4e0c-baef-e6c5ce004eb4)) + (segment (start 177.286954 102.666599) (end 176.703553 103.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 05e38b6d-ae41-40da-8fed-a1b9cebbfc4b)) + (segment (start 176.703553 103.25) (end 176.553553 103.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp 35dc3e45-8288-4c7e-9cac-cb6ac6a26159)) + (segment (start 177.639289 102.666599) (end 177.286954 102.666599) (width 0.2) (layer "F.Cu") (net 27) (tstamp 5fc9af7b-fbbc-4dca-a64a-fd11b62e3a54)) + (segment (start 176.553553 103.25) (end 176.2 103.25) (width 0.2) (layer "F.Cu") (net 27) (tstamp baa1978e-18aa-44c6-8c56-c1d1eeb92d0d)) + (segment (start 178.593882 101.712006) (end 177.639289 102.666599) (width 0.2) (layer "F.Cu") (net 27) (tstamp bc89ca39-5481-486d-97a2-050817dede29)) + (via (at 176.2 103.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 27) (tstamp f2482c41-53fe-49f7-afb3-8c23bfbfbdcf)) + (segment (start 176.2 103.25) (end 175.85 103.25) (width 0.2) (layer "B.Cu") (net 27) (tstamp 047f5125-9a44-4806-94c2-fb6c42cb0ade)) + (segment (start 171.014213 105.2) (end 170.817513 105.0033) (width 0.2) (layer "B.Cu") (net 27) (tstamp 06f1fa04-62c7-482e-919f-74ac822d128b)) + (segment (start 175.85 103.25) (end 173.9 105.2) (width 0.2) (layer "B.Cu") (net 27) (tstamp 36ae1855-da72-4a78-818d-82b1d5659f70)) + (segment (start 170.817513 105.0033) (end 170.225312 104.411099) (width 0.2) (layer "B.Cu") (net 27) (tstamp 3e65b04a-dde2-4d30-aa47-9a443b3603eb)) + (segment (start 173.9 105.2) (end 171.014213 105.2) (width 0.2) (layer "B.Cu") (net 27) (tstamp cec95492-f809-4f80-90de-4d2e821f8e02)) + (segment (start 187.362006 105.106118) (end 188.316599 106.060711) (width 0.2) (layer "F.Cu") (net 28) (tstamp 30472f0c-29ea-4c98-9129-9157531efbe4)) + (segment (start 190.319876 105.586524) (end 190.63358 105.586524) (width 0.2) (layer "F.Cu") (net 28) (tstamp 5a27e526-d413-4cf8-a0e6-225b0b912ae6)) + (segment (start 188.690742 106.060711) (end 188.926461 105.824991) (width 0.2) (layer "F.Cu") (net 28) (tstamp 65f521df-f64a-4dc4-9395-89ccee8fa0ef)) + (segment (start 188.926461 105.824991) (end 190.081409 105.824991) (width 0.2) (layer "F.Cu") (net 28) (tstamp 8b857267-9fac-4f5c-9f68-342c16e07a6d)) + (segment (start 190.63358 105.586524) (end 190.987132 105.940076) (width 0.2) (layer "F.Cu") (net 28) (tstamp e38779a2-879f-4055-8a4b-14141fd1734c)) + (segment (start 188.316599 106.060711) (end 188.690742 106.060711) (width 0.2) (layer "F.Cu") (net 28) (tstamp e44104ff-18d4-4055-8dd1-56f50efeb103)) + (segment (start 190.081409 105.824991) (end 190.319876 105.586524) (width 0.2) (layer "F.Cu") (net 28) (tstamp f7027f79-8b4a-48d2-a2d4-da363884fa2f)) + (segment (start 192.302209 103.917895) (end 192.019347 103.917895) (width 0.2) (layer "F.Cu") (net 29) (tstamp 0ad27681-3a5b-4601-a9ad-b1045a24d133)) + (segment (start 191.612242 104.325) (end 190.45 104.325) (width 0.2) (layer "F.Cu") (net 29) (tstamp 269236b4-2a9a-467b-aee1-c544eb428da0)) + (segment (start 189.84566 104.92934) (end 189.44797 104.92934) (width 0.2) (layer "F.Cu") (net 29) (tstamp 53e63faa-15cb-4456-b488-7638e9507208)) + (segment (start 192.655761 104.271447) (end 192.302209 103.917895) (width 0.2) (layer "F.Cu") (net 29) (tstamp 54b89aeb-3482-4b15-a265-ed10a08fb7f5)) + (segment (start 190.45 104.325) (end 189.84566 104.92934) (width 0.2) (layer "F.Cu") (net 29) (tstamp 76d465da-021b-4339-aa64-ce0d47a8ce66)) + (segment (start 192.019347 103.917895) (end 191.612242 104.325) (width 0.2) (layer "F.Cu") (net 29) (tstamp b8917646-fc72-4d2f-b3ab-11e396358301)) + (segment (start 189.44797 104.92934) (end 188.493377 103.974747) (width 0.2) (layer "F.Cu") (net 29) (tstamp dca5e3a1-4983-4d12-aa09-4db6a63a1615)) + (segment (start 176.207236 101.83591) (end 176.178637 101.83591) (width 0.2) (layer "F.Cu") (net 30) (tstamp 289561f9-855e-4552-9a2b-3caa94024fed)) + (segment (start 177.462511 100.580635) (end 176.207236 101.83591) (width 0.2) (layer "F.Cu") (net 30) (tstamp 840234bf-13bc-4472-b662-6765f06b55c6)) + (via (at 176.178637 101.83591) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 30) (tstamp 198bba2b-6a89-4001-a850-94cc7c8c3be3)) + (segment (start 171.528427 104.3) (end 170.932419 103.703992) (width 0.2) (layer "B.Cu") (net 30) (tstamp 5764b4a3-b2b8-405e-99b4-f3ad535632fc)) + (segment (start 176.178637 101.83591) (end 175.56409 101.83591) (width 0.2) (layer "B.Cu") (net 30) (tstamp 9566ba59-4196-4963-b225-03bb48cc1efa)) + (segment (start 175.56409 101.83591) (end 173.1 104.3) (width 0.2) (layer "B.Cu") (net 30) (tstamp cda1262f-5a41-49ed-8e86-fd0e056428e8)) + (segment (start 173.1 104.3) (end 171.528427 104.3) (width 0.2) (layer "B.Cu") (net 30) (tstamp f9dd9357-d7a3-4cff-852a-e614f0c2cd44)) + (segment (start 187.750913 106.626397) (end 188.690754 106.626397) (width 0.2) (layer "F.Cu") (net 31) (tstamp 4fb24c21-bd7b-4404-9025-06636983e76d)) + (segment (start 190.140686 106.225) (end 190.421447 106.505761) (width 0.2) (layer "F.Cu") (net 31) (tstamp 792fdd30-ca56-4171-bba8-e84064087332)) + (segment (start 186.79632 105.671804) (end 187.750913 106.626397) (width 0.2) (layer "F.Cu") (net 31) (tstamp 9ac03d42-91ee-4bb6-b813-5e6af66f1268)) + (segment (start 189.092151 106.225) (end 190.140686 106.225) (width 0.2) (layer "F.Cu") (net 31) (tstamp a22f8501-a616-42de-a643-c488ac2a2943)) + (segment (start 188.690754 106.626397) (end 189.092151 106.225) (width 0.2) (layer "F.Cu") (net 31) (tstamp cb5ee289-ec22-4b97-9655-199e4bb8a643)) + (segment (start 189.855761 107.071447) (end 189.502209 106.717895) (width 0.2) (layer "F.Cu") (net 32) (tstamp 6b7ec558-0d59-4739-9246-7dc4bb6db40a)) + (segment (start 189.182105 106.717895) (end 188.707918 107.192082) (width 0.2) (layer "F.Cu") (net 32) (tstamp a035f342-b864-4f75-bcc4-4e2d61c5534b)) + (segment (start 188.707918 107.192082) (end 187.185228 107.192082) (width 0.2) (layer "F.Cu") (net 32) (tstamp de05f679-5d83-4f5f-accd-e70dfa8adca1)) + (segment (start 187.185228 107.192082) (end 186.230635 106.237489) (width 0.2) (layer "F.Cu") (net 32) (tstamp e506ca9d-bc3e-464d-a6e2-f333d8739396)) + (segment (start 189.502209 106.717895) (end 189.182105 106.717895) (width 0.2) (layer "F.Cu") (net 32) (tstamp ed8b9806-70da-4e38-bc9c-2d0195b527b0)) + (segment (start 182.55368 105.671804) (end 181.599087 106.626397) (width 0.2) (layer "F.Cu") (net 33) (tstamp 168f2e33-c55d-4c7e-b26c-a8070d1c7761)) + (segment (start 188.836523 107.637132) (end 189.290076 107.637132) (width 0.2) (layer "F.Cu") (net 33) (tstamp 2154fa1a-da94-4d08-8fd1-3da1c0271bdb)) + (segment (start 181.599087 106.909257) (end 182.326962 107.637132) (width 0.2) (layer "F.Cu") (net 33) (tstamp 2b8e6355-f811-4e3e-80b6-630a7a4084f4)) + (segment (start 182.326962 107.637132) (end 188.836523 107.637132) (width 0.2) (layer "F.Cu") (net 33) (tstamp 5a7bf199-01ac-4af0-aa4b-18aaf718e952)) + (segment (start 181.599087 106.626397) (end 181.599087 106.909257) (width 0.2) (layer "F.Cu") (net 33) (tstamp 8b158315-3d66-4252-9561-f8d0c23f7a2c)) + (segment (start 181.918882 105.106118) (end 181.987994 105.106118) (width 0.2) (layer "F.Cu") (net 34) (tstamp 0504096b-2c60-4ed5-afb1-42ef94e6cf05)) + (segment (start 179.870235 107.154765) (end 181.918882 105.106118) (width 0.2) (layer "F.Cu") (net 34) (tstamp f3195139-6e62-47f1-885a-941c655a0173)) + (segment (start 191.636523 104.837132) (end 192.090076 104.837132) (width 0.2) (layer "F.Cu") (net 35) (tstamp 2317fbf2-a6e8-4b17-b83d-ff63fb89273b)) + (segment (start 188.812238 105.42498) (end 189.91572 105.42498) (width 0.2) (layer "F.Cu") (net 35) (tstamp 5b5e0a5f-0ccc-4352-b41c-8182ff90e4e8)) + (segment (start 190.503568 104.837132) (end 191.636523 104.837132) (width 0.2) (layer "F.Cu") (net 35) (tstamp 6f1875bd-60fb-4cdb-b615-21ad49dfc34e)) + (segment (start 189.91572 105.42498) (end 190.503568 104.837132) (width 0.2) (layer "F.Cu") (net 35) (tstamp 92e2cfda-3c71-42df-977e-992b1034dfa4)) + (segment (start 187.927691 104.540433) (end 188.812238 105.42498) (width 0.2) (layer "F.Cu") (net 35) (tstamp ffeae8f5-cb24-43a9-b660-034d957c20cc)) + (segment (start 191.671544 103.7) (end 190.48137 103.7) (width 0.2) (layer "F.Cu") (net 36) (tstamp 0fccabe0-2956-4ac1-a6bb-3d335c7be7b1)) + (segment (start 191.853659 103.517885) (end 191.671544 103.7) (width 0.2) (layer "F.Cu") (net 36) (tstamp 490a670e-f351-4c6c-91d4-6175727fc8a6)) + (segment (start 193.205761 103.705761) (end 193.017885 103.517885) (width 0.2) (layer "F.Cu") (net 36) (tstamp 4abfe131-c59f-412b-990a-aa125e5e035b)) + (segment (start 190.48137 103.7) (end 189.624747 102.843377) (width 0.2) (layer "F.Cu") (net 36) (tstamp 511fde5e-b8ab-44a9-9139-3558dbfd6e09)) + (segment (start 193.017885 103.517885) (end 191.853659 103.517885) (width 0.2) (layer "F.Cu") (net 36) (tstamp 554972c7-3dba-4aa7-bebf-c3655086d166)) + (segment (start 193.221447 103.705761) (end 193.205761 103.705761) (width 0.2) (layer "F.Cu") (net 36) (tstamp 5628058e-3a5f-4905-a83b-30b3d90d74ee)) + (segment (start 189.074057 98.423958) (end 188.3 97.649901) (width 0.2) (layer "F.Cu") (net 37) (tstamp 2a98db58-6800-42c1-9ff8-88e0844aa7fb)) + (segment (start 191.887489 97.469365) (end 190.932896 98.423958) (width 0.2) (layer "F.Cu") (net 37) (tstamp 2e14f819-5a0b-4c6c-812c-bebd23372498)) + (segment (start 191.887489 97.469365) (end 192.555624 98.1375) (width 0.2) (layer "F.Cu") (net 37) (tstamp 580e9a59-ec09-4817-8074-8d71c2a5b7fc)) + (segment (start 192.555624 98.1375) (end 195.65 98.1375) (width 0.2) (layer "F.Cu") (net 37) (tstamp d386d0fb-d1c7-4b4a-8d9e-0d1cb371906e)) + (segment (start 190.932896 98.423958) (end 189.074057 98.423958) (width 0.2) (layer "F.Cu") (net 37) (tstamp ee5624f8-c4d0-44da-a5ec-0ef339c40477)) + (via (at 188.3 97.649901) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 37) (tstamp 4ff43af5-cd33-4d18-ae30-47bd1b7dd5bd)) + (segment (start 182.367263 97.349999) (end 188.000099 97.35) (width 0.2) (layer "B.Cu") (net 37) (tstamp 10d69bdd-85c8-4289-bed8-b3a2fb36727c)) + (segment (start 173.05374 97.817328) (end 173.671958 97.19911) (width 0.2) (layer "B.Cu") (net 37) (tstamp 2189f1fb-22bc-4ead-b3a2-a9d632a29247)) + (segment (start 188.000099 97.35) (end 188.050001 97.399902) (width 0.2) (layer "B.Cu") (net 37) (tstamp 2bd4f93a-104c-44ee-8c52-b302a898e376)) + (segment (start 182.216374 97.19911) (end 182.367263 97.349999) (width 0.2) (layer "B.Cu") (net 37) (tstamp 7ae3aced-d204-41d2-8a45-03f9a4017fbc)) + (segment (start 188.050001 97.399902) (end 188.3 97.649901) (width 0.2) (layer "B.Cu") (net 37) (tstamp 9aa93042-9dce-4f6c-be8e-ad62be9fe157)) + (segment (start 173.671958 97.19911) (end 182.216374 97.19911) (width 0.2) (layer "B.Cu") (net 37) (tstamp bb553761-743f-4707-b05d-053cd83c9531)) + (segment (start 182.55368 92.378196) (end 183.765622 93.590138) (width 0.2) (layer "F.Cu") (net 38) (tstamp 8739ad28-3384-445d-9515-a49501359756)) + (segment (start 183.765622 93.590138) (end 183.908254 93.590138) (width 0.2) (layer "F.Cu") (net 38) (tstamp b2887ed8-f98b-41e1-9c25-be2bff69defa)) + (via (at 183.908254 93.590138) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 38) (tstamp 0a2749c9-39cd-4975-a203-b8799d76ad22)) + (segment (start 172.865699 90.650139) (end 175.812161 93.596601) (width 0.2) (layer "B.Cu") (net 38) (tstamp 2699cd5d-a8cb-47b6-a85b-f4f6bf8422cc)) + (segment (start 166.689779 91.453367) (end 167.493007 90.650139) (width 0.2) (layer "B.Cu") (net 38) (tstamp 3f5a6c1d-0b17-4e9b-825e-22b820b1d168)) + (segment (start 183.901791 93.596601) (end 183.908254 93.590138) (width 0.2) (layer "B.Cu") (net 38) (tstamp 63269e7b-a70d-44ee-b668-02ec1c533abe)) + (segment (start 167.493007 90.650139) (end 172.865699 90.650139) (width 0.2) (layer "B.Cu") (net 38) (tstamp 7e3b5638-c654-4289-b12a-8394b986ec85)) + (segment (start 175.812161 93.596601) (end 183.901791 93.596601) (width 0.2) (layer "B.Cu") (net 38) (tstamp c9ad4402-6b09-4c9d-a8a5-2c5a66bd61b8)) + (segment (start 124.546573 91.478427) (end 122.55 93.475) (width 0.2) (layer "F.Cu") (net 39) (tstamp 04d85946-7ce7-4376-9f24-9d0c47cc4955)) + (segment (start 125.337363 90.292082) (end 124.546573 91.082872) (width 0.2) (layer "F.Cu") (net 39) (tstamp 1361d08b-14ee-47fc-9d2d-cdc6158756a2)) + (segment (start 124.546573 91.082872) (end 124.546573 91.478427) (width 0.2) (layer "F.Cu") (net 39) (tstamp 957b77b3-83ea-4aa0-9f91-5d83e7e1a0de)) + (segment (start 127.746573 88.954582) (end 126.409073 90.292082) (width 0.2) (layer "F.Cu") (net 39) (tstamp c479f7d0-2f21-43d8-951e-f672ba08d812)) + (segment (start 126.409073 90.292082) (end 125.337363 90.292082) (width 0.2) (layer "F.Cu") (net 39) (tstamp d472e3d7-cad3-4084-b1fd-e9136bb544c6)) + (segment (start 114.148372 89.578372) (end 114.148372 93.176628) (width 0.2) (layer "F.Cu") (net 40) (tstamp 08823eb1-be71-4f28-bcb3-7634ae72627b)) + (segment (start 113.49 88.92) (end 114.148372 89.578372) (width 0.2) (layer "F.Cu") (net 40) (tstamp 116607ff-6973-4c18-a06a-fb96bc81c40f)) + (segment (start 114.148372 93.176628) (end 113.525 93.8) (width 0.2) (layer "F.Cu") (net 40) (tstamp 50322eae-5286-4a19-a251-81956f76a6da)) + (segment (start 112.39 88.92) (end 113.49 88.92) (width 0.2) (layer "F.Cu") (net 40) (tstamp b21e7180-ffc7-4f36-8c8d-90150577e847)) + (segment (start 106.3 93.045509) (end 107.626573 94.372082) (width 0.5) (layer "F.Cu") (net 41) (tstamp 04937447-436f-4c64-aa50-b5dc8dbca8d7)) + (segment (start 106.3 91.4625) (end 106.3 92.6) (width 0.2) (layer "F.Cu") (net 41) (tstamp 08eb9948-7194-4f06-9904-b9f0a7b963c3)) + (segment (start 107.626573 94.372082) (end 107.626573 95.492082) (width 0.5) (layer "F.Cu") (net 41) (tstamp 1d8aac60-7f54-47a6-9c4c-b6d9aea7b4d7)) + (segment (start 106.3 92.6) (end 106.3 93.045509) (width 0.5) (layer "F.Cu") (net 41) (tstamp cb4bb37e-8189-45c0-8e52-057216dac9a4)) + (segment (start 160.848844 88.823844) (end 160.848844 93.651156) (width 0.2) (layer "F.Cu") (net 42) (tstamp 3b41d26f-798d-4839-b3d8-fc65b6c67a00)) + (segment (start 160.625 88.6) (end 160.848844 88.823844) (width 0.2) (layer "F.Cu") (net 42) (tstamp fbc63f2c-4b05-434b-a27c-96c7fcdc1ef3)) + (segment (start 160.848844 93.651156) (end 160.5 94) (width 0.2) (layer "F.Cu") (net 42) (tstamp fee6b1bb-1148-4401-86db-8e87ca00c859)) + (via (at 160.5 94) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp 4eff8013-c2be-4e2f-a6db-23558570e26e)) + (segment (start 159.742454 93.242454) (end 160.5 94) (width 0.2) (layer "B.Cu") (net 42) (tstamp 11c98c86-ff00-46f9-b9f9-223f5a010314)) + (segment (start 159.742454 93.221134) (end 159.742454 93.242454) (width 0.2) (layer "B.Cu") (net 42) (tstamp d045fcf9-de1e-49cb-88e7-9c38c46515eb)) + (segment (start 127.696023 94.8) (end 126.366991 94.8) (width 0.2) (layer "F.Cu") (net 43) (tstamp 077fb244-08c3-473f-9f8e-b6fce54f9a47)) + (segment (start 126.366991 94.8) (end 125.446573 93.879582) (width 0.2) (layer "F.Cu") (net 43) (tstamp 6390758d-eb0a-4d86-a178-2940e8f895db)) + (segment (start 129.45 96.65884) (end 129.45 96.553977) (width 0.2) (layer "F.Cu") (net 43) (tstamp 675490ce-f4fc-4c53-8b8c-3b4750022c87)) + (segment (start 129.45 96.553977) (end 127.696023 94.8) (width 0.2) (layer "F.Cu") (net 43) (tstamp d6b6811f-064e-4bbc-8f19-d36f49c3a407)) + (via (at 129.45 96.65884) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 9f86830c-cb07-493a-883d-c4ebf58532c7)) + (segment (start 153.676577 101.776577) (end 154.245248 101.776577) (width 0.2) (layer "B.Cu") (net 43) (tstamp 038937ae-9c40-4a50-841b-32b9022be5e1)) + (segment (start 129.45 96.65884) (end 129.991171 97.200011) (width 0.2) (layer "B.Cu") (net 43) (tstamp 1923623f-a25c-48f9-9c0d-4a8f6f8e3257)) + (segment (start 133.5 99) (end 150.9 99) (width 0.2) (layer "B.Cu") (net 43) (tstamp 8024b086-607e-40b2-b2da-cf95dbc86e7f)) + (segment (start 150.9 99) (end 153.676577 101.776577) (width 0.2) (layer "B.Cu") (net 43) (tstamp a188ec30-0c00-4a5f-b042-c966b489bb38)) + (segment (start 154.245248 101.776577) (end 154.792707 101.229118) (width 0.2) (layer "B.Cu") (net 43) (tstamp cee4e9b4-31dd-43f6-b691-80212ab25806)) + (segment (start 131.700011 97.200011) (end 133.5 99) (width 0.2) (layer "B.Cu") (net 43) (tstamp d7b7a614-60b6-41b9-9411-0e2908b7a9e1)) + (segment (start 129.991171 97.200011) (end 131.700011 97.200011) (width 0.2) (layer "B.Cu") (net 43) (tstamp fc5ca6ac-604f-4c16-b918-ed6d5f7904e9)) + (segment (start 130.309931 96.6505) (end 127.539013 93.879582) (width 0.2) (layer "F.Cu") (net 44) (tstamp 29a6bc9f-f860-44af-ac31-bc3ed5c8f102)) + (segment (start 127.539013 93.879582) (end 127.346573 93.879582) (width 0.2) (layer "F.Cu") (net 44) (tstamp 7e7f86df-5499-4b50-afb2-10a775e64de7)) + (via (at 130.309931 96.6505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp 7cb317e9-9f37-4617-b018-1bdcd21e283a)) + (segment (start 153.340571 100.875565) (end 154.439154 100.875565) (width 0.2) (layer "B.Cu") (net 44) (tstamp 029f0da4-a575-4372-9a58-bd172fc3f5dd)) + (segment (start 151.065486 98.60048) (end 153.340571 100.875565) (width 0.2) (layer "B.Cu") (net 44) (tstamp 1484968e-6b31-4780-afb7-40ba56862c2e)) + (segment (start 131.715506 96.6505) (end 133.665486 98.60048) (width 0.2) (layer "B.Cu") (net 44) (tstamp 651793c2-ee46-4216-9496-55d1ef576b10)) + (segment (start 133.665486 98.60048) (end 151.065486 98.60048) (width 0.2) (layer "B.Cu") (net 44) (tstamp 736b8ee8-e740-49ed-82c7-82030e196c18)) + (segment (start 130.309931 96.6505) (end 131.715506 96.6505) (width 0.2) (layer "B.Cu") (net 44) (tstamp d1f61570-483d-4c7e-b552-4dc16c4c2e9c)) + (segment (start 188.615611 96.950089) (end 192.73285 92.83285) (width 0.2) (layer "B.Cu") (net 45) (tstamp 09d29c4f-0acf-470f-87bc-84c60b3734f3)) + (segment (start 172.700186 97.463775) (end 173.364762 96.799199) (width 0.2) (layer "B.Cu") (net 45) (tstamp 1f4f4bb4-e531-45c2-9e32-34cbc9a87317)) + (segment (start 182.382022 96.799199) (end 182.532912 96.950089) (width 0.2) (layer "B.Cu") (net 45) (tstamp 399b2ecc-48ed-426a-89f2-775075eb43db)) + (segment (start 192.899936 92.665764) (end 193.365763 92.665764) (width 0.2) (layer "B.Cu") (net 45) (tstamp 474c8c84-bb4e-4461-99cd-072e70c538b4)) + (segment (start 194.83 91.201527) (end 194.83 90.05) (width 0.2) (layer "B.Cu") (net 45) (tstamp 4afe821d-ecfa-4f95-b49a-43891f80dd95)) + (segment (start 192.73285 92.83285) (end 192.899936 92.665764) (width 0.2) (layer "B.Cu") (net 45) (tstamp 5b2cf032-bdc7-445e-ae91-3859e25a2ab7)) + (segment (start 182.532912 96.950089) (end 188.615611 96.950089) (width 0.2) (layer "B.Cu") (net 45) (tstamp 7750a1b4-3e56-43be-a53b-749a748e8287)) + (segment (start 173.364762 96.799199) (end 182.382022 96.799199) (width 0.2) (layer "B.Cu") (net 45) (tstamp 89c70aa4-5155-40ee-986d-56829d3d2d3c)) + (segment (start 193.365763 92.665764) (end 194.83 91.201527) (width 0.2) (layer "B.Cu") (net 45) (tstamp ed8d162d-6b81-4699-8828-3112d9e1f471)) + (segment (start 194.519239 93.946447) (end 194.519239 93.955761) (width 0.2) (layer "F.Cu") (net 46) (tstamp 638f6c3c-c109-4b85-bedb-be853218c576)) + (segment (start 194.519239 93.955761) (end 193.975 94.5) (width 0.2) (layer "F.Cu") (net 46) (tstamp e0ffa3ef-cb3a-4e1c-b6ce-3da29346ba54)) + (via (at 193.975 94.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 0faf3db2-80b5-4cc7-a5c0-c3e13c7868a2)) + (segment (start 170.46396 105.356853) (end 169.871759 104.764652) (width 0.2) (layer "B.Cu") (net 46) (tstamp 07e4a967-bf6e-443f-956b-55909fe07b3f)) + (segment (start 180.86 102.025) (end 180.86 103.15) (width 0.2) (layer "B.Cu") (net 46) (tstamp 0ec9eee4-1044-4783-9114-c517e642e947)) + (segment (start 177.129514 104.175099) (end 175.824901 104.175099) (width 0.2) (layer "B.Cu") (net 46) (tstamp 1641c740-6fa2-46d0-9ada-6edaac4822c5)) + (segment (start 174.35 105.65) (end 170.757107 105.65) (width 0.2) (layer "B.Cu") (net 46) (tstamp 3da6f6a2-02a2-4fce-b96f-1a414a1d2441)) + (segment (start 187.074989 101.400011) (end 181.484989 101.400011) (width 0.2) (layer "B.Cu") (net 46) (tstamp 54921ab4-92ee-40d7-83e2-d1ea497ffc61)) + (segment (start 180.66009 104.47491) (end 177.429325 104.47491) (width 0.2) (layer "B.Cu") (net 46) (tstamp 7ede1751-6671-46bf-8ffc-86a7e19aa576)) + (segment (start 170.757107 105.65) (end 170.46396 105.356853) (width 0.2) (layer "B.Cu") (net 46) (tstamp 88c3b2c0-29f1-452b-8c7a-76c2fb17d8f2)) + (segment (start 193.975 94.5) (end 187.074989 101.400011) (width 0.2) (layer "B.Cu") (net 46) (tstamp 92328464-2718-43ab-ad2a-12ffd80d6a23)) + (segment (start 177.429325 104.47491) (end 177.129514 104.175099) (width 0.2) (layer "B.Cu") (net 46) (tstamp a1c3f727-a2bf-4c0b-92e2-5f11554d56ac)) + (segment (start 180.86 104.275) (end 180.66009 104.47491) (width 0.2) (layer "B.Cu") (net 46) (tstamp ca0193f6-7147-4f93-8984-1703a7353877)) + (segment (start 181.484989 101.400011) (end 180.86 102.025) (width 0.2) (layer "B.Cu") (net 46) (tstamp d543294f-346f-430d-acb7-f0ef308f2499)) + (segment (start 175.824901 104.175099) (end 174.35 105.65) (width 0.2) (layer "B.Cu") (net 46) (tstamp e498b66d-8cf9-41f4-8edd-dddd967a3809)) + (segment (start 180.86 103.15) (end 180.86 104.275) (width 0.2) (layer "B.Cu") (net 46) (tstamp fea1c08e-fae7-4e9d-a5d9-b7dab4baf9e0)) + (segment (start 188.48 94.17) (end 188.48 91.175) (width 0.2) (layer "B.Cu") (net 47) (tstamp 30aebd2a-c2a8-4933-8582-a88bd82bdc8e)) + (segment (start 187.7 94.95) (end 188.48 94.17) (width 0.2) (layer "B.Cu") (net 47) (tstamp 4ee48bdf-3a8e-48d3-8b61-5e281aa0a03b)) + (segment (start 171.264213 93.95) (end 174.468882 93.95) (width 0.2) (layer "B.Cu") (net 47) (tstamp 5e737bb7-d1b9-4605-aa96-9acf15b0558a)) + (segment (start 183.210262 94.799644) (end 183.360618 94.95) (width 0.2) (layer "B.Cu") (net 47) (tstamp 935b6061-936f-461c-b195-482cbca64043)) + (segment (start 188.48 91.175) (end 188.48 90.05) (width 0.2) (layer "B.Cu") (net 47) (tstamp 94a1ebcf-cfe4-42ac-b8d2-7e2cd7e23148)) + (segment (start 174.468882 93.95) (end 175.318526 94.799644) (width 0.2) (layer "B.Cu") (net 47) (tstamp d5c3159e-1a08-46f3-a734-75aa2cf8824f)) + (segment (start 170.225312 94.988901) (end 171.264213 93.95) (width 0.2) (layer "B.Cu") (net 47) (tstamp dbbbd694-f3b1-44d3-877a-4391a1224641)) + (segment (start 175.318526 94.799644) (end 183.210262 94.799644) (width 0.2) (layer "B.Cu") (net 47) (tstamp e053810c-16e5-49dd-82ff-de85e93d4e4b)) + (segment (start 183.360618 94.95) (end 187.7 94.95) (width 0.2) (layer "B.Cu") (net 47) (tstamp ea88333b-93f9-429c-af75-a0b8d64a45cb)) + (segment (start 165.758236 110.02791) (end 167.7857 108.000446) (width 0.2) (layer "F.Cu") (net 48) (tstamp 226af700-4174-4ccf-a7d4-02a5391f7e24)) + (segment (start 195.084924 94.512132) (end 195.084924 94.515076) (width 0.2) (layer "F.Cu") (net 48) (tstamp 2f7b7053-2685-4ab0-a4b0-c455b45d5ffc)) + (segment (start 195.084924 94.515076) (end 194.525 95.075) (width 0.2) (layer "F.Cu") (net 48) (tstamp b19596a3-87b5-4e1f-9b36-f17d5a4831dc)) + (segment (start 167.7857 108.000446) (end 168.5 108.000446) (width 0.2) (layer "F.Cu") (net 48) (tstamp f43fb1bd-b215-43dc-aaec-8faeff199a32)) + (segment (start 164.694345 110.02791) (end 165.758236 110.02791) (width 0.2) (layer "F.Cu") (net 48) (tstamp ff8a15de-b037-477c-9fe3-4438fcea02ae)) + (via (at 168.5 108.000446) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 01a49064-81f0-4513-80dd-241449ed61ea)) + (via (at 164.694345 110.02791) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 13d4e65f-8071-4102-a2e9-f44639a68626)) + (via (at 194.525 95.075) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp e848dea4-26ae-4a24-abdd-0b39f655662d)) + (segment (start 189.1 104.9) (end 185.849555 108.150445) (width 0.2) (layer "B.Cu") (net 48) (tstamp 00840fe8-1910-4805-96cb-d3e4fb2853b3)) + (segment (start 168.649999 108.150445) (end 168.5 108.000446) (width 0.2) (layer "B.Cu") (net 48) (tstamp 09419866-8ea5-4313-ac8f-f33e9271c7dc)) + (segment (start 187.799978 101.800022) (end 188.850022 101.800022) (width 0.2) (layer "B.Cu") (net 48) (tstamp 1347249e-ef2e-4e6b-80b9-b774eda379ec)) + (segment (start 187.799978 101.800022) (end 194.275001 95.324999) (width 0.2) (layer "B.Cu") (net 48) (tstamp 2f1c2259-2bf5-4693-b8bf-17b6cff1263b)) + (segment (start 194.275001 95.324999) (end 194.525 95.075) (width 0.2) (layer "B.Cu") (net 48) (tstamp 2fa0788e-8d43-4fb5-9dcb-5bea878b648b)) + (segment (start 188.850022 101.800022) (end 189.1 102.05) (width 0.2) (layer "B.Cu") (net 48) (tstamp 378db601-1bbb-479f-8a78-3512fc44381f)) + (segment (start 162.217328 108.65374) (end 162.855885 108.015183) (width 0.2) (layer "B.Cu") (net 48) (tstamp 4d45598f-4a48-40ab-9c56-bd576df2681d)) + (segment (start 182.13 103.15) (end 182.13 102.025) (width 0.2) (layer "B.Cu") (net 48) (tstamp 5380db29-d342-4350-9046-0a8e10d2764e)) + (segment (start 182.354978 101.800022) (end 187.799978 101.800022) (width 0.2) (layer "B.Cu") (net 48) (tstamp 5a9a74cd-748b-446e-bb12-27cd9986b5c0)) + (segment (start 163.892861 108.015183) (end 164.341052 108.463374) (width 0.2) (layer "B.Cu") (net 48) (tstamp 69577491-1634-4139-9b44-cd63fe71a7c1)) + (segment (start 162.855885 108.015183) (end 163.892861 108.015183) (width 0.2) (layer "B.Cu") (net 48) (tstamp 725827e6-0aed-4d7e-93a4-9bcb956c01b2)) + (segment (start 185.849555 108.150445) (end 168.649999 108.150445) (width 0.2) (layer "B.Cu") (net 48) (tstamp 7e15f658-3694-49fb-a987-35f708c70651)) + (segment (start 164.341052 108.463374) (end 164.341052 109.674617) (width 0.2) (layer "B.Cu") (net 48) (tstamp 7f0afb34-b4e7-4c4b-b750-b892b705c38b)) + (segment (start 182.13 102.025) (end 182.354978 101.800022) (width 0.2) (layer "B.Cu") (net 48) (tstamp 9fae46ef-52af-4f36-b629-a18f6566c9dd)) + (segment (start 164.341052 109.674617) (end 164.694345 110.02791) (width 0.2) (layer "B.Cu") (net 48) (tstamp e0d07555-c823-4364-9754-ebf20368b40e)) + (segment (start 189.1 102.05) (end 189.1 104.9) (width 0.2) (layer "B.Cu") (net 48) (tstamp e1428846-5d82-4c29-807b-6047cffb0c73)) + (segment (start 183.542626 94.00089) (end 183.691914 94.150178) (width 0.2) (layer "B.Cu") (net 49) (tstamp 3c15e13d-b9cc-46bb-80ee-205d3184360c)) + (segment (start 167.800203 91.05005) (end 172.700052 91.05005) (width 0.2) (layer "B.Cu") (net 49) (tstamp 4f6adfbb-8899-45dc-8e96-650cd1779f70)) + (segment (start 183.691914 94.150178) (end 184.963676 94.150178) (width 0.2) (layer "B.Cu") (net 49) (tstamp 78060cd5-9d4d-44a7-a96e-740a8063f0eb)) + (segment (start 167.043332 91.806921) (end 167.800203 91.05005) (width 0.2) (layer "B.Cu") (net 49) (tstamp 86663c21-fd30-45d5-98e6-6f537a44ca17)) + (segment (start 185.94 93.173854) (end 185.94 91.175) (width 0.2) (layer "B.Cu") (net 49) (tstamp aacf5483-7387-422c-85bd-a765b00ff72b)) + (segment (start 185.94 91.175) (end 185.94 90.05) (width 0.2) (layer "B.Cu") (net 49) (tstamp b05028f4-5e04-41d4-9d25-e56e18bfc3fc)) + (segment (start 183.490921 94.00089) (end 183.542626 94.00089) (width 0.2) (layer "B.Cu") (net 49) (tstamp c19825b7-2290-4967-bc74-87b5d2ba0530)) + (segment (start 175.649822 93.999822) (end 183.489853 93.999822) (width 0.2) (layer "B.Cu") (net 49) (tstamp dffda0e5-1992-4247-9172-820f84558764)) + (segment (start 183.489853 93.999822) (end 183.490921 94.00089) (width 0.2) (layer "B.Cu") (net 49) (tstamp eff40873-f237-47c3-9e41-ec4eef6121d9)) + (segment (start 172.700052 91.05005) (end 175.649822 93.999822) (width 0.2) (layer "B.Cu") (net 49) (tstamp fa49ff3f-896a-4b0a-abda-05df5cb317eb)) + (segment (start 184.963676 94.150178) (end 185.94 93.173854) (width 0.2) (layer "B.Cu") (net 49) (tstamp fc2af5a4-a20f-487b-977d-e5d6ba739e9e)) + (segment (start 193.953553 93.380761) (end 193.405121 93.929193) (width 0.2) (layer "F.Cu") (net 50) (tstamp 5afa943c-eccc-48b7-88ab-bbc206141f36)) + (segment (start 193.405121 93.929193) (end 193.403985 93.929193) (width 0.2) (layer "F.Cu") (net 50) (tstamp d9066cc8-60f3-42a0-8767-da5eb2581683)) + (via (at 193.403985 93.929193) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 50) (tstamp dd0cd852-88b6-44f2-9b60-b18691ae292d)) + (segment (start 180.778111 101) (end 180.9 101) (width 0.2) (layer "B.Cu") (net 50) (tstamp 2ef4ff5f-39d5-4255-84c3-f915255fcff9)) + (segment (start 180.942426 100.957574) (end 180.942426 100.163779) (width 0.2) (layer "B.Cu") (net 50) (tstamp 35596acc-9038-4301-bce5-1e9fcac486da)) + (segment (start 180.9 101) (end 180.942426 100.957574) (width 0.2) (layer "B.Cu") (net 50) (tstamp 5b3c92b1-ae3e-4a7f-a839-6fc7543b3742)) + (segment (start 176.869356 99.799733) (end 174.094305 102.574784) (width 0.2) (layer "B.Cu") (net 50) (tstamp 6cb9edf4-61e7-41c0-ae55-a07934273266)) + (segment (start 173.338744 102.574783) (end 173.292387 102.528426) (width 0.2) (layer "B.Cu") (net 50) (tstamp 778c1588-445e-4b6c-934f-6fa4027442f9)) + (segment (start 180.57838 99.799733) (end 176.869356 99.799733) (width 0.2) (layer "B.Cu") (net 50) (tstamp 7cb7ffec-553d-4446-873e-afe9e1dd4bdc)) + (segment (start 180.942426 100.163779) (end 180.57838 99.799733) (width 0.2) (layer "B.Cu") (net 50) (tstamp 846eca06-c44a-461a-b407-43be8afedd79)) + (segment (start 179.6 103.14) (end 179.6 102.178111) (width 0.2) (layer "B.Cu") (net 50) (tstamp a0dbcb13-b997-4338-b1e8-2dfd674a8bb2)) + (segment (start 186.333178 101) (end 193.403985 93.929193) (width 0.2) (layer "B.Cu") (net 50) (tstamp a0f5417d-2090-4419-a1ce-a4b04264fe6d)) + (segment (start 173.292387 102.528426) (end 172.700186 101.936225) (width 0.2) (layer "B.Cu") (net 50) (tstamp b7af698d-e327-4563-9052-09bd57452c4a)) + (segment (start 174.094305 102.574784) (end 173.338744 102.574783) (width 0.2) (layer "B.Cu") (net 50) (tstamp c31ae185-2c78-4303-adfb-29c49fd647dc)) + (segment (start 179.59 103.15) (end 179.6 103.14) (width 0.2) (layer "B.Cu") (net 50) (tstamp d6b38953-2f81-44df-9ad5-9855d5428a66)) + (segment (start 180.9 101) (end 186.333178 101) (width 0.2) (layer "B.Cu") (net 50) (tstamp db8542ea-a484-480c-ae75-58341881068b)) + (segment (start 179.6 102.178111) (end 180.778111 101) (width 0.2) (layer "B.Cu") (net 50) (tstamp ff9133c1-bae3-4ea3-a18f-f9dba886dca8)) + (segment (start 195.685972 105.038916) (end 195.688916 105.038916) (width 0.2) (layer "F.Cu") (net 51) (tstamp 497523c8-09f9-4af6-9293-8d642aac3c37)) + (segment (start 195.059924 104.412868) (end 195.685972 105.038916) (width 0.2) (layer "F.Cu") (net 51) (tstamp 4dc6dd25-44a2-4ea7-8523-f7588b4fc91a)) + (via (at 195.688916 105.038916) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 51) (tstamp a64c7d6f-9e75-4481-a646-58d6e77b32d6)) + (segment (start 196.186084 105.038916) (end 196.042469 105.038916) (width 0.2) (layer "B.Cu") (net 51) (tstamp 12a7737e-951d-4668-a2d0-c9b8a54b32d6)) + (segment (start 190.792661 111.19955) (end 163.296019 111.19955) (width 0.2) (layer "B.Cu") (net 51) (tstamp 5a29223f-c4a3-4bca-b785-b1c0be99834a)) + (segment (start 192.89952 107.330492) (end 192.89952 109.092691) (width 0.2) (layer "B.Cu") (net 51) (tstamp 6f740777-f2a7-4014-a053-41129b82c2cd)) + (segment (start 192.89952 109.092691) (end 190.792661 111.19955) (width 0.2) (layer "B.Cu") (net 51) (tstamp 7746b306-6e3a-4b74-97e4-5049930a1b9e)) + (segment (start 161.271574 109.175105) (end 161.271574 108.892387) (width 0.2) (layer "B.Cu") (net 51) (tstamp 814e61fb-dd3b-45c4-b2c2-0589fc085984)) + (segment (start 196.042469 105.038916) (end 195.688916 105.038916) (width 0.2) (layer "B.Cu") (net 51) (tstamp 9f59e59a-63b8-48f6-9306-db4efb83b86a)) + (segment (start 197.37 103.15) (end 197.37 103.855) (width 0.2) (layer "B.Cu") (net 51) (tstamp b057c785-6767-4ad2-b211-ce5bf0117a4c)) + (segment (start 195.191096 105.038916) (end 192.89952 107.330492) (width 0.2) (layer "B.Cu") (net 51) (tstamp be0a69c0-34b7-4146-a6b6-3548cd1c5c8f)) + (segment (start 195.688916 105.038916) (end 195.191096 105.038916) (width 0.2) (layer "B.Cu") (net 51) (tstamp cd1fa435-534f-4326-9457-5d9152c63eba)) + (segment (start 163.296019 111.19955) (end 161.271574 109.175105) (width 0.2) (layer "B.Cu") (net 51) (tstamp ce9e3ddb-80f1-4377-b75e-b3c25808ed64)) + (segment (start 197.37 103.855) (end 196.186084 105.038916) (width 0.2) (layer "B.Cu") (net 51) (tstamp cfd99f5d-1e0b-48ec-990e-7e4ed45c45b9)) + (segment (start 161.271574 108.892387) (end 161.863775 108.300186) (width 0.2) (layer "B.Cu") (net 51) (tstamp e9d842bd-7e93-4c80-ae55-66093856b393)) + (segment (start 192.921766 93.281178) (end 192.921766 93.421766) (width 0.2) (layer "F.Cu") (net 52) (tstamp 140d59a1-7cfc-4f49-a219-3486b9241147)) + (segment (start 166.849511 87.900489) (end 166.3 88.45) (width 0.2) (layer "F.Cu") (net 52) (tstamp 2886eb5f-ed80-49bb-9e09-c000c7d5db8a)) + (segment (start 193.387868 92.815076) (end 192.921766 93.281178) (width 0.2) (layer "F.Cu") (net 52) (tstamp 787365f8-afad-4f6d-984b-4f61a2ff3039)) + (segment (start 175.931999 87.900489) (end 166.849511 87.900489) (width 0.2) (layer "F.Cu") (net 52) (tstamp 7f97026d-4451-46d2-8aee-f165a13999bc)) + (segment (start 166.3 88.45) (end 166.3 89.700008) (width 0.2) (layer "F.Cu") (net 52) (tstamp a3542754-f81b-42cc-b6c2-0a5efde77273)) + (segment (start 166.3 89.700008) (end 164.750008 91.25) (width 0.2) (layer "F.Cu") (net 52) (tstamp d640d082-2d37-44d3-b468-4900488a27b6)) + (segment (start 176.025308 87.993798) (end 175.931999 87.900489) (width 0.2) (layer "F.Cu") (net 52) (tstamp e0742b45-9f4c-4796-8c82-99c1299d93c5)) + (via (at 176.025308 87.993798) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 4ef6429f-b19e-43d7-94f3-4bdd3ceab32d)) + (via (at 164.750008 91.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 617713ef-9d65-4be2-9c88-f0162afaccda)) + (via (at 192.921766 93.421766) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 7ba95dd5-d5e2-4e1c-ba01-f80441018e0b)) + (segment (start 176.099606 87.9195) (end 178.5195 87.9195) (width 0.2) (layer "B.Cu") (net 52) (tstamp 0a0fa309-1cbc-455b-abf7-37c66d23222f)) + (segment (start 178.925 88.325) (end 179.400011 87.849989) (width 0.2) (layer "B.Cu") (net 52) (tstamp 27d9a496-babb-40e3-954b-62c2345f1cfa)) + (segment (start 179.400011 87.849989) (end 194.649989 87.849989) (width 0.2) (layer "B.Cu") (net 52) (tstamp 3ed69878-cc2e-4605-ad8b-ba0857610a3b)) + (segment (start 164.683364 91.183356) (end 164.750008 91.25) (width 0.2) (layer "B.Cu") (net 52) (tstamp 4f6168ff-ce8f-4686-92f1-b8a2987d495c)) + (segment (start 194.649989 87.849989) (end 195.43001 88.63001) (width 0.2) (layer "B.Cu") (net 52) (tstamp 5d36619b-65ab-4875-b862-c1355590b39c)) + (segment (start 193.175319 93.421766) (end 192.921766 93.421766) (width 0.2) (layer "B.Cu") (net 52) (tstamp 67aee69c-727a-454c-bb1a-3336955e37b5)) + (segment (start 195.43001 88.63001) (end 195.43001 91.167075) (width 0.2) (layer "B.Cu") (net 52) (tstamp 783856e6-a00d-48e4-97a9-22cf020191f2)) + (segment (start 178.32 90.05) (end 178.32 88.93) (width 0.2) (layer "B.Cu") (net 52) (tstamp bc4053a4-19e5-4472-873b-bc905cb15c9d)) + (segment (start 176.025308 87.993798) (end 176.099606 87.9195) (width 0.2) (layer "B.Cu") (net 52) (tstamp bdd5e6d3-41e0-49cf-b688-59483d3d5a3c)) + (segment (start 195.43001 91.167075) (end 193.175319 93.421766) (width 0.2) (layer "B.Cu") (net 52) (tstamp d314c6c5-fff2-408c-8cbc-d899f0ff5ecc)) + (segment (start 178.5195 87.9195) (end 178.925 88.325) (width 0.2) (layer "B.Cu") (net 52) (tstamp e0094940-11c4-4d72-9749-bce2d3749abf)) + (segment (start 165.275565 90.039154) (end 164.683364 90.631355) (width 0.2) (layer "B.Cu") (net 52) (tstamp e1e2bb95-ff23-4fc4-ad2b-0db506d3c3da)) + (segment (start 178.32 88.93) (end 178.925 88.325) (width 0.2) (layer "B.Cu") (net 52) (tstamp f2266384-8df2-4ec3-a70d-49d95608c090)) + (segment (start 164.683364 90.631355) (end 164.683364 91.183356) (width 0.2) (layer "B.Cu") (net 52) (tstamp f6317921-1649-4de5-800d-e676d0b632fa)) + (segment (start 191.694239 107.778553) (end 191.115686 107.2) (width 0.2) (layer "F.Cu") (net 53) (tstamp 59e313ce-67b8-4e49-9450-7dcd769912d8)) + (segment (start 191.115686 107.2) (end 191.100004 107.2) (width 0.2) (layer "F.Cu") (net 53) (tstamp ab1fbc4d-c3ca-484b-92be-59e23081110f)) + (via (at 191.100004 107.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 53) (tstamp 120c49d1-dd16-4375-8f87-c6198984b31c)) + (segment (start 165.982672 108.65374) (end 166.928841 109.599909) (width 0.2) (layer "B.Cu") (net 53) (tstamp 0aa1c1e2-e761-463d-b756-e6ef4d8744c8)) + (segment (start 191.100004 108.629689) (end 191.100004 107.553553) (width 0.2) (layer "B.Cu") (net 53) (tstamp 0b19c9f9-c164-4ee0-8a57-9f1448eeddcb)) + (segment (start 192.29 104.11) (end 191.100004 105.299996) (width 0.2) (layer "B.Cu") (net 53) (tstamp 0fba6301-94cd-4155-b577-73e6a163e5ca)) + (segment (start 191.100004 106.846447) (end 191.100004 107.2) (width 0.2) (layer "B.Cu") (net 53) (tstamp 17aee424-c1ad-4f12-9f83-a316dfb78cab)) + (segment (start 192.29 103.15) (end 192.29 104.11) (width 0.2) (layer "B.Cu") (net 53) (tstamp 474ef77d-a98e-4019-8908-51acf85f372f)) + (segment (start 191.100004 105.299996) (end 191.100004 106.846447) (width 0.2) (layer "B.Cu") (net 53) (tstamp 4bbc0229-9ae2-4807-9f1c-9c118f83fdc3)) + (segment (start 190.129784 109.599909) (end 191.100004 108.629689) (width 0.2) (layer "B.Cu") (net 53) (tstamp 68905b0b-0726-481b-90a6-9cccf1a36ecb)) + (segment (start 166.928841 109.599909) (end 190.129784 109.599909) (width 0.2) (layer "B.Cu") (net 53) (tstamp a1d19464-6e5a-4cb1-92a5-6e67cc1bb4d5)) + (segment (start 191.100004 107.553553) (end 191.100004 107.2) (width 0.2) (layer "B.Cu") (net 53) (tstamp b9e5d357-3e9b-4112-bd4d-a5854fb66092)) + (segment (start 189.865687 90.099999) (end 189.299999 90.099999) (width 0.2) (layer "F.Cu") (net 54) (tstamp 00d1a0ba-39de-46d1-a1f2-945b452be61a)) + (segment (start 185.225001 91.700109) (end 185.225001 91.799979) (width 0.2) (layer "F.Cu") (net 54) (tstamp 0bdc2154-bd15-4dae-ab2d-b631201661b1)) + (segment (start 187.796871 89.128239) (end 185.225001 91.700109) (width 0.2) (layer "F.Cu") (net 54) (tstamp 4bdefce0-3ffd-4586-8efb-08c74f2da807)) + (segment (start 190.219239 89.746447) (end 189.865687 90.099999) (width 0.2) (layer "F.Cu") (net 54) (tstamp 4d7753ba-d90c-4c7c-8435-f80da06d7768)) + (segment (start 184.775093 92.249887) (end 184.725091 92.249887) (width 0.2) (layer "F.Cu") (net 54) (tstamp 6c74b9aa-b10c-4eaa-ae31-0960f49d7c75)) + (segment (start 185.225001 91.799979) (end 184.775093 92.249887) (width 0.2) (layer "F.Cu") (net 54) (tstamp 80fda342-83c3-436f-a4ff-0287baa49003)) + (segment (start 188.328239 89.128239) (end 187.796871 89.128239) (width 0.2) (layer "F.Cu") (net 54) (tstamp d098e776-2648-43a0-83c0-c9b89c1ab146)) + (segment (start 189.299999 90.099999) (end 188.328239 89.128239) (width 0.2) (layer "F.Cu") (net 54) (tstamp eecae792-3495-4427-b788-b89b7df09349)) + (via (at 184.725091 92.249887) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 49c0a696-f1de-403a-abab-e2c09ef96638)) + (segment (start 180.86 91.56) (end 181.540414 92.240414) (width 0.2) (layer "B.Cu") (net 54) (tstamp 1088fac1-2417-4383-afc5-71a4d5d43c8b)) + (segment (start 162.570882 90.392707) (end 161.978681 89.800506) (width 0.2) (layer "B.Cu") (net 54) (tstamp 1098bc41-c16a-467e-8c35-05a47dc703e0)) + (segment (start 180.238972 92.17503) (end 180.86 91.554002) (width 0.2) (layer "B.Cu") (net 54) (tstamp 15502e4b-65f4-4065-8dee-e724ad1b0d36)) + (segment (start 161.978681 89.800506) (end 161.978681 89.352435) (width 0.2) (layer "B.Cu") (net 54) (tstamp 26823847-764b-4185-95dd-deae0a7a7823)) + (segment (start 180.86 90.05) (end 180.86 91.175) (width 0.2) (layer "B.Cu") (net 54) (tstamp 2d44a0e7-a18c-4cb4-a0b2-ca1b8ed016be)) + (segment (start 174.127181 88.649989) (end 177.652222 92.17503) (width 0.2) (layer "B.Cu") (net 54) (tstamp 3a8ba443-87ae-43f9-b654-bb0718325b6a)) + (segment (start 162.681127 88.649989) (end 174.127181 88.649989) (width 0.2) (layer "B.Cu") (net 54) (tstamp 46377a19-1730-4e79-a025-22950fc7b84b)) + (segment (start 161.978681 89.352435) (end 162.681127 88.649989) (width 0.2) (layer "B.Cu") (net 54) (tstamp 560822fe-613c-49c0-b39f-388fc435bc60)) + (segment (start 180.86 91.175) (end 180.86 91.56) (width 0.2) (layer "B.Cu") (net 54) (tstamp 75ce9b7f-9e15-47fa-86e0-eb9935a5c973)) + (segment (start 181.540414 92.240414) (end 184.715618 92.240414) (width 0.2) (layer "B.Cu") (net 54) (tstamp 79c74506-14f9-4560-8cfe-dfb03fbdf279)) + (segment (start 184.715618 92.240414) (end 184.725091 92.249887) (width 0.2) (layer "B.Cu") (net 54) (tstamp 7c80fb2e-2945-4fd0-9783-5f0b969c06bb)) + (segment (start 180.86 91.554002) (end 180.86 91.175) (width 0.2) (layer "B.Cu") (net 54) (tstamp c3d7ab6d-2a94-433e-8bca-3e79ea48432b)) + (segment (start 177.652222 92.17503) (end 180.238972 92.17503) (width 0.2) (layer "B.Cu") (net 54) (tstamp e41ec37e-8182-48c8-886a-70e86bf28d19)) + (segment (start 191.128553 108.344239) (end 190.55 107.765686) (width 0.2) (layer "F.Cu") (net 55) (tstamp f37fc4ba-1b77-41a9-8482-acdd7929332b)) + (segment (start 190.55 107.765686) (end 190.55 107.75) (width 0.2) (layer "F.Cu") (net 55) (tstamp fb1b033b-1a4b-483c-aa1e-8262574b17af)) + (via (at 190.55 107.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 55) (tstamp eaef3d17-d7e7-4e08-834b-2ded1c624930)) + (segment (start 191.02 104.28) (end 190.55 104.75) (width 0.2) (layer "B.Cu") (net 55) (tstamp 423b8beb-bd22-4107-9456-a4f91a6e15e5)) + (segment (start 167.236039 109.2) (end 189.963993 109.2) (width 0.2) (layer "B.Cu") (net 55) (tstamp 426852b2-fb6e-457a-aae2-9aaf0ab2366a)) + (segment (start 190.55 108.103553) (end 190.55 107.75) (width 0.2) (layer "B.Cu") (net 55) (tstamp 5ac44037-5d5d-4520-b229-3ab57719923f)) + (segment (start 190.55 108.613993) (end 190.55 108.103553) (width 0.2) (layer "B.Cu") (net 55) (tstamp 5e9f8ea8-bcd4-4007-918f-77cd74412105)) + (segment (start 166.336225 108.300186) (end 167.236039 109.2) (width 0.2) (layer "B.Cu") (net 55) (tstamp b1085f48-6545-4ccc-a4fb-356d4695d562)) + (segment (start 189.963993 109.2) (end 190.55 108.613993) (width 0.2) (layer "B.Cu") (net 55) (tstamp b30d7f8c-a6c3-4528-8819-b59918888923)) + (segment (start 190.55 104.75) (end 190.55 107.75) (width 0.2) (layer "B.Cu") (net 55) (tstamp d7a4d842-e6c5-4662-bec9-0d09509444db)) + (segment (start 191.02 103.15) (end 191.02 104.28) (width 0.2) (layer "B.Cu") (net 55) (tstamp fa8d8286-06ca-4d52-b57d-f6f813b9eb62)) + (segment (start 166 110.6) (end 168 108.6) (width 0.2) (layer "F.Cu") (net 56) (tstamp 34ebb570-4f6e-4461-8d84-5195ea097d38)) + (segment (start 166 110.6) (end 167.6 109) (width 0.2) (layer "F.Cu") (net 56) (tstamp 4bda0416-715a-4d6b-9082-fcf9c41e7703)) + (segment (start 190.002944 108.35) (end 190 108.35) (width 0.2) (layer "F.Cu") (net 56) (tstamp 6ba6ce60-5dd6-473f-ab21-25802f8f6aaf)) + (segment (start 190.562868 108.909924) (end 190.002944 108.35) (width 0.2) (layer "F.Cu") (net 56) (tstamp 7db4840d-9dc7-4a9f-a4c5-542ed31c55df)) + (segment (start 163.9495 110.1495) (end 164.4 110.6) (width 0.2) (layer "F.Cu") (net 56) (tstamp 7e6b6052-005e-44bc-87eb-4ee2d5b516b1)) + (segment (start 163.919512 110.1495) (end 163.9495 110.1495) (width 0.2) (layer "F.Cu") (net 56) (tstamp dbc4907e-ebe3-499e-ac42-5801d911f54a)) + (segment (start 164.4 110.6) (end 166 110.6) (width 0.2) (layer "F.Cu") (net 56) (tstamp fb6165b3-5806-4546-be02-6ae52632a063)) + (via (at 163.919512 110.1495) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 03c923fc-cd5c-44b6-ac29-9481e8f3e4be)) + (via (at 190 108.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 0afa4dce-976f-4e73-97c1-8e67456b2d2f)) + (via (at 168 108.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 56) (tstamp 616fa8f7-cd10-457c-8e29-437350412321)) + (segment (start 189.75 103.15) (end 189.750001 108.100001) (width 0.2) (layer "B.Cu") (net 56) (tstamp 25e90bf3-e2f9-44f7-87f6-69e05f3843e8)) + (segment (start 162.570882 109.007293) (end 163.163083 108.415092) (width 0.2) (layer "B.Cu") (net 56) (tstamp 3d654f9e-d3a4-4672-8aec-a3161e845e3a)) + (segment (start 163.9 108.8) (end 163.9 110.129988) (width 0.2) (layer "B.Cu") (net 56) (tstamp 4a73b18f-960b-490c-a7bd-e103c07ad359)) + (segment (start 163.515092 108.415092) (end 163.9 108.8) (width 0.2) (layer "B.Cu") (net 56) (tstamp 53133606-68ee-4c4e-99af-4236fe086423)) + (segment (start 163.163083 108.415092) (end 163.515092 108.415092) (width 0.2) (layer "B.Cu") (net 56) (tstamp 657c3eeb-e825-4709-aa52-2601f843362a)) + (segment (start 168 108.6) (end 189.750001 108.599999) (width 0.2) (layer "B.Cu") (net 56) (tstamp 6eca9373-a92e-4185-8291-478b348bc7e2)) + (segment (start 189.750001 108.100001) (end 190 108.35) (width 0.2) (layer "B.Cu") (net 56) (tstamp a5809394-1f52-4142-b24d-df188acbfc24)) + (segment (start 163.9 110.129988) (end 163.919512 110.1495) (width 0.2) (layer "B.Cu") (net 56) (tstamp daa402ab-4fd6-445f-a780-43a58f31fff6)) + (segment (start 189.750001 108.599999) (end 190 108.35) (width 0.2) (layer "B.Cu") (net 56) (tstamp ea695111-2f30-483a-b272-fb86135c7770)) + (segment (start 193.928553 105.544239) (end 193.426416 105.042102) (width 0.2) (layer "F.Cu") (net 57) (tstamp a79d5d74-8aa1-474e-ad81-68cdf161347b)) + (segment (start 193.426416 105.042102) (end 193.426416 105.026416) (width 0.2) (layer "F.Cu") (net 57) (tstamp ebadbe71-dff7-4fe5-8338-e557b315355f)) + (via (at 193.426416 105.026416) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 57) (tstamp fbd0c8b0-0cc9-4f68-8353-840cd815fb9e)) + (segment (start 192.5 108.926652) (end 190.627012 110.79964) (width 0.2) (layer "B.Cu") (net 57) (tstamp 11f0a8a6-2256-4317-8cde-d2625f3a5367)) + (segment (start 193.426416 106.23859) (end 192.5 107.165006) (width 0.2) (layer "B.Cu") (net 57) (tstamp 28cc4e96-b93e-41c7-8ccf-eecfa6c254aa)) + (segment (start 196.1 103.15) (end 196.1 103.85) (width 0.2) (layer "B.Cu") (net 57) (tstamp 3b44e3fa-f263-4ef8-aeb4-196e5ef91bf1)) + (segment (start 193.47037 105.07037) (end 193.426416 105.026416) (width 0.2) (layer "B.Cu") (net 57) (tstamp 553268cd-c9fb-45d0-9c3c-e1c6a4e9477d)) + (segment (start 162.924435 109.967685) (end 162.924435 109.360846) (width 0.2) (layer "B.Cu") (net 57) (tstamp 70c67d10-b9c1-47d8-8fac-c00a42a17619)) + (segment (start 193.426416 105.026416) (end 193.426416 106.23859) (width 0.2) (layer "B.Cu") (net 57) (tstamp 9ff94206-efd6-434f-bbd3-9a0ad5517e70)) + (segment (start 194.925 104.575) (end 194.42963 105.07037) (width 0.2) (layer "B.Cu") (net 57) (tstamp a40b8d1d-adb7-4323-a1c3-b6329d03e4ef)) + (segment (start 163.75639 110.79964) (end 162.924435 109.967685) (width 0.2) (layer "B.Cu") (net 57) (tstamp bac0174e-5cdd-4a6f-9565-4f77975334ac)) + (segment (start 195.375 104.575) (end 194.925 104.575) (width 0.2) (layer "B.Cu") (net 57) (tstamp d798cd39-47a2-41cc-9477-15657d205d76)) + (segment (start 196.1 103.85) (end 195.375 104.575) (width 0.2) (layer "B.Cu") (net 57) (tstamp d7ee36f6-8585-41dd-a66d-a03d99937758)) + (segment (start 192.5 107.165006) (end 192.5 108.926652) (width 0.2) (layer "B.Cu") (net 57) (tstamp debd7998-f808-4f49-97b2-94c092e81100)) + (segment (start 190.627012 110.79964) (end 163.75639 110.79964) (width 0.2) (layer "B.Cu") (net 57) (tstamp e4c1b70f-ab56-46a5-8fbf-022af38c34a3)) + (segment (start 194.42963 105.07037) (end 193.47037 105.07037) (width 0.2) (layer "B.Cu") (net 57) (tstamp fec7fb51-f83f-4ada-9e86-46b6dc51611f)) + (segment (start 178.571573 107.042082) (end 178.579765 107.050274) (width 0.2) (layer "F.Cu") (net 58) (tstamp 15a3b1c2-045a-4c9e-a189-34542c762f4d)) + (segment (start 178.579765 107.050274) (end 178.579765 108.445235) (width 0.2) (layer "F.Cu") (net 58) (tstamp b191ce1e-1c41-4baa-bf95-311a89cda77d)) + (segment (start 178.554694 107.025203) (end 178.500216 107.025203) (width 0.2) (layer "F.Cu") (net 58) (tstamp daef0b72-89aa-47d2-a167-0f72ca609070)) + (segment (start 178.571573 107.042082) (end 178.554694 107.025203) (width 0.2) (layer "F.Cu") (net 58) (tstamp ec4761b0-2ffe-4a78-8976-56a3d6bbfc22)) + (via (at 178.571573 107.042082) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 58) (tstamp c08a77ee-05f7-4abf-81ac-57562e0edb23)) + (segment (start 178.588668 107.024987) (end 185.730013 107.024987) (width 0.2) (layer "B.Cu") (net 58) (tstamp 1d8e70d3-1f0e-4611-b57b-8fb62478ae5f)) + (segment (start 188.48 104.275) (end 188.48 103.15) (width 0.2) (layer "B.Cu") (net 58) (tstamp 22bf1fae-52db-426c-878d-1644f1db9f3a)) + (segment (start 178.571573 107.042082) (end 178.588668 107.024987) (width 0.2) (layer "B.Cu") (net 58) (tstamp 2d45fc1f-4097-4f6b-b318-15bb16a951e6)) + (segment (start 185.730013 107.024987) (end 188.48 104.275) (width 0.2) (layer "B.Cu") (net 58) (tstamp 2e466106-35f1-4875-8c4e-28caca534e05)) + (segment (start 169.322107 107.750534) (end 168.103992 106.532419) (width 0.2) (layer "B.Cu") (net 58) (tstamp 389ad597-f205-4392-b2c1-32288d814b6d)) + (segment (start 177.863121 107.750534) (end 169.322107 107.750534) (width 0.2) (layer "B.Cu") (net 58) (tstamp 79adadd3-7940-45e1-91fb-6a121d0f6a9d)) + (segment (start 178.571573 107.042082) (end 177.863121 107.750534) (width 0.2) (layer "B.Cu") (net 58) (tstamp b896f750-881d-45fb-818a-6900bdb3f8b9)) + (segment (start 183.526266 94.550089) (end 186.349911 94.550089) (width 0.2) (layer "B.Cu") (net 59) (tstamp 10a6dde0-b1e3-4fb0-bec9-4a94789cb21c)) + (segment (start 183.37591 94.399733) (end 183.526266 94.550089) (width 0.2) (layer "B.Cu") (net 59) (tstamp 2c1a9e91-4fa1-46d1-904d-5900701f2631)) + (segment (start 187.21 93.69) (end 187.21 91.175) (width 0.2) (layer "B.Cu") (net 59) (tstamp 3e7f145f-6892-4ef4-8223-a02a929aa807)) + (segment (start 175.484174 94.399733) (end 183.37591 94.399733) (width 0.2) (layer "B.Cu") (net 59) (tstamp 46b9eb84-8060-4da1-b61e-ad27bd0fc38e)) + (segment (start 186.349911 94.550089) (end 187.21 93.69) (width 0.2) (layer "B.Cu") (net 59) (tstamp 7b71e1c3-4f90-465f-bdc1-f49cfc262eff)) + (segment (start 187.21 91.175) (end 187.21 90.05) (width 0.2) (layer "B.Cu") (net 59) (tstamp 90a7a723-12fa-4b44-820b-13ea4f88cc86)) + (segment (start 167.396885 92.160474) (end 167.989086 91.568273) (width 0.2) (layer "B.Cu") (net 59) (tstamp 97bb0049-1ffa-4ab4-a4fe-2f729e34ac74)) + (segment (start 172.652714 91.568273) (end 175.484174 94.399733) (width 0.2) (layer "B.Cu") (net 59) (tstamp a2628363-3a30-4a75-8cf9-bbdeb1257177)) + (segment (start 167.989086 91.568273) (end 172.652714 91.568273) (width 0.2) (layer "B.Cu") (net 59) (tstamp aa4b8e3d-8fb2-4ff0-a681-094cab5bda82)) + (segment (start 177.327132 106.964924) (end 177.335076 106.964924) (width 0.2) (layer "F.Cu") (net 60) (tstamp 15450dfd-3ad3-4c3f-af90-30bf5c68456f)) + (segment (start 177.335076 106.964924) (end 177.9 106.4) (width 0.2) (layer "F.Cu") (net 60) (tstamp 6f1f7bef-a526-499a-a428-48f685164742)) + (via (at 177.9 106.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp ba003c92-72b8-4d69-a4d0-aa6bed307d6e)) + (segment (start 177.9 106.4) (end 185.085 106.4) (width 0.2) (layer "B.Cu") (net 60) (tstamp 0017eb0d-78ff-46a7-9526-4312b0636f95)) + (segment (start 176.959486 107.340514) (end 169.619194 107.340514) (width 0.2) (layer "B.Cu") (net 60) (tstamp 1306accd-636c-432d-8019-535364c8a91b)) + (segment (start 187.21 104.275) (end 187.21 103.15) (width 0.2) (layer "B.Cu") (net 60) (tstamp 461b66fc-4086-4c7f-bc43-e7031b19602e)) + (segment (start 185.085 106.4) (end 187.21 104.275) (width 0.2) (layer "B.Cu") (net 60) (tstamp 4b0ab24b-7629-42f1-9dd7-d22d6add8e25)) + (segment (start 169.049747 106.771067) (end 168.457546 106.178866) (width 0.2) (layer "B.Cu") (net 60) (tstamp 63111ed4-4144-4815-a983-c71d6852d629)) + (segment (start 177.9 106.4) (end 176.959486 107.340514) (width 0.2) (layer "B.Cu") (net 60) (tstamp 86f89461-941a-4ecd-ada6-17856aa0fadf)) + (segment (start 169.619194 107.340514) (end 169.049747 106.771067) (width 0.2) (layer "B.Cu") (net 60) (tstamp 8f771692-795d-4ad9-98ec-920e410bdde3)) + (segment (start 195.288556 105.788556) (end 194.494239 104.994239) (width 0.2) (layer "F.Cu") (net 61) (tstamp 12963612-6e66-40f1-afe8-fb583596eaf6)) + (segment (start 194.494239 104.994239) (end 194.494239 104.978553) (width 0.2) (layer "F.Cu") (net 61) (tstamp 1e83393a-cd2f-45aa-8661-822e03cbd06b)) + (segment (start 194.494239 104.978553) (end 194.494239 104.969239) (width 0.2) (layer "F.Cu") (net 61) (tstamp 2d521d58-6a57-4b1a-a507-2a2c684dd8d5)) + (segment (start 194.494239 104.969239) (end 194 104.475) (width 0.2) (layer "F.Cu") (net 61) (tstamp 4e5f71ba-f01e-4cae-b270-8faa8b7207f7)) + (via (at 195.288556 105.788556) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp 053df3b9-e958-4194-b14f-9bb33866efb3)) + (via (at 194.04781 104.520859) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 61) (tstamp a52d9fd5-cf9f-44a9-a6cc-b1eb3d37c5f4)) + (segment (start 190.958732 111.599461) (end 163.130371 111.599461) (width 0.2) (layer "B.Cu") (net 61) (tstamp 0ae083b3-5882-4bb9-8fb4-7d82c2d7accc)) + (segment (start 194.83 103.15) (end 194.83 103.738669) (width 0.2) (layer "B.Cu") (net 61) (tstamp 20812c66-fcfa-4373-9663-5d405f9cd848)) + (segment (start 194.83 103.738669) (end 194.04781 104.520859) (width 0.2) (layer "B.Cu") (net 61) (tstamp 45349f02-8214-4ecd-b26b-b475bdf66476)) + (segment (start 193.29904 109.259153) (end 190.958732 111.599461) (width 0.2) (layer "B.Cu") (net 61) (tstamp 586ba9ef-ac79-462a-be3b-1a2e78d96d44)) + (segment (start 195.006462 105.788556) (end 193.29904 107.495978) (width 0.2) (layer "B.Cu") (net 61) (tstamp 646f1b7e-f456-4179-a475-17432216d750)) + (segment (start 160.871665 108.585189) (end 161.510221 107.946633) (width 0.2) (layer "B.Cu") (net 61) (tstamp 8425f4c3-e454-4f39-9a7d-ee42fcc2dec8)) + (segment (start 160.871665 109.340755) (end 160.871665 108.585189) (width 0.2) (layer "B.Cu") (net 61) (tstamp ab27a154-44de-4086-b561-588fab50ce4b)) + (segment (start 163.130371 111.599461) (end 160.871665 109.340755) (width 0.2) (layer "B.Cu") (net 61) (tstamp c11fb482-08dc-41b3-ab63-9a8d381dd80a)) + (segment (start 193.29904 107.495978) (end 193.29904 109.259153) (width 0.2) (layer "B.Cu") (net 61) (tstamp d92f42a3-ea14-44fd-9d84-bd8fef5040a1)) + (segment (start 195.288556 105.788556) (end 195.006462 105.788556) (width 0.2) (layer "B.Cu") (net 61) (tstamp f3e93581-b099-40a2-b9ec-51599a5f541f)) + (segment (start 176.761447 106.399239) (end 177.325 105.835686) (width 0.2) (layer "F.Cu") (net 62) (tstamp 5fc5fd5e-2e37-4b0c-86dc-390f4f75fff0)) + (segment (start 177.325 105.835686) (end 177.325 105.825004) (width 0.2) (layer "F.Cu") (net 62) (tstamp db6a4c7f-0336-4785-a0b6-9ba8b6413135)) + (via (at 177.325 105.825004) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 62) (tstamp 5fa8da80-2aac-41b0-8b4e-f3585205e514)) + (segment (start 185.94 103.15) (end 185.94 104.275) (width 0.2) (layer "B.Cu") (net 62) (tstamp 223c413d-4bcd-4fd2-b9be-279082781d30)) + (segment (start 169.885607 106.89982) (end 176.250184 106.89982) (width 0.2) (layer "B.Cu") (net 62) (tstamp 616112cf-618d-4856-9744-adf53c96460b)) + (segment (start 184.389996 105.825004) (end 177.678553 105.825004) (width 0.2) (layer "B.Cu") (net 62) (tstamp 6afd7c45-b38e-4576-b49d-213c35dd301b)) + (segment (start 176.250184 106.89982) (end 177.075001 106.075003) (width 0.2) (layer "B.Cu") (net 62) (tstamp 745f4d3c-ad65-4ef0-8258-a277ec75e281)) + (segment (start 185.94 104.275) (end 184.389996 105.825004) (width 0.2) (layer "B.Cu") (net 62) (tstamp 98d09f7f-1b10-4bf0-8dc9-e8d293b36552)) + (segment (start 177.075001 106.075003) (end 177.325 105.825004) (width 0.2) (layer "B.Cu") (net 62) (tstamp a5428c48-c9c9-4534-9baa-2c02bc620cb7)) + (segment (start 177.678553 105.825004) (end 177.325 105.825004) (width 0.2) (layer "B.Cu") (net 62) (tstamp e86fc5e2-1f1e-45ab-9238-6cbca8adee9e)) + (segment (start 168.811099 105.825312) (end 169.885607 106.89982) (width 0.2) (layer "B.Cu") (net 62) (tstamp f9efcbb9-4859-43b2-b25c-1198bead288c)) + (segment (start 193.359924 106.109924) (end 192.825 105.575) (width 0.2) (layer "F.Cu") (net 63) (tstamp e5f95dc0-14e6-4461-8729-5ce11af47245)) + (segment (start 193.362868 106.109924) (end 193.359924 106.109924) (width 0.2) (layer "F.Cu") (net 63) (tstamp e6ebe64b-f34a-46fe-8ad5-1469f1928b69)) + (via (at 192.825 105.575) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 63) (tstamp 1ce41508-0912-424b-88af-b5a4f1d91f1a)) + (segment (start 193.56 103.15) (end 193.55 103.16) (width 0.2) (layer "B.Cu") (net 63) (tstamp 00ca4cf2-c7f9-4a9e-a48a-62831b210ffe)) + (segment (start 193.55 103.16) (end 193.55 104.125) (width 0.2) (layer "B.Cu") (net 63) (tstamp 2816fb96-336e-42cc-87c6-65b1243cee9e)) + (segment (start 192.825 104.85) (end 192.825 105.221447) (width 0.2) (layer "B.Cu") (net 63) (tstamp 30129fd4-0156-40b7-a824-21ed446ff909)) + (segment (start 166.314447 110.399728) (end 190.461364 110.399729) (width 0.2) (layer "B.Cu") (net 63) (tstamp 43fe4af0-e274-4aae-bb09-8f6195ba767f)) + (segment (start 192.825 105.221447) (end 192.825 105.575) (width 0.2) (layer "B.Cu") (net 63) (tstamp 6ea1925e-59e7-4bf7-b293-2cb627af8317)) + (segment (start 192.825 106.275) (end 192.825 105.575) (width 0.2) (layer "B.Cu") (net 63) (tstamp 97db0ad3-8bab-477c-9030-2352b814fa3b)) + (segment (start 190.461364 110.399729) (end 192.1 108.761093) (width 0.2) (layer "B.Cu") (net 63) (tstamp 9fe41303-6d2f-41e4-ae1b-4aaf7a0ab79d)) + (segment (start 192.1 107) (end 192.825 106.275) (width 0.2) (layer "B.Cu") (net 63) (tstamp b2a6e4bb-0345-40a3-a23b-3e82bfac783a)) + (segment (start 192.1 108.761093) (end 192.1 107) (width 0.2) (layer "B.Cu") (net 63) (tstamp c09ec73c-df91-4d32-a10d-b79ecb3339a0)) + (segment (start 165.275565 109.360846) (end 166.314447 110.399728) (width 0.2) (layer "B.Cu") (net 63) (tstamp cf280f0b-2806-4955-bd9e-9597ec60e824)) + (segment (start 193.55 104.125) (end 192.825 104.85) (width 0.2) (layer "B.Cu") (net 63) (tstamp e5369de4-389d-46af-879c-aa6aea3b0afb)) + (segment (start 176.195761 105.833553) (end 176.75 105.279314) (width 0.2) (layer "F.Cu") (net 64) (tstamp 1700f1f0-ce51-4c9f-a809-1ca5462da05e)) + (segment (start 176.75 105.279314) (end 176.75 105.275) (width 0.2) (layer "F.Cu") (net 64) (tstamp 5b6f874a-10b0-40d7-af14-bbeaaf71f91e)) + (via (at 176.75 105.275) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 64) (tstamp 132de3cd-9489-4eda-9dc6-2f681f8e6d9c)) + (segment (start 176.500001 105.524999) (end 176.75 105.275) (width 0.2) (layer "B.Cu") (net 64) (tstamp 335cb813-d630-45e8-b53c-e9f17a6d1569)) + (segment (start 183.67 105.275) (end 177.103553 105.275) (width 0.2) (layer "B.Cu") (net 64) (tstamp 5312421d-fdad-4ed4-93ea-a140675b07ac)) + (segment (start 175.525091 106.499909) (end 176.500001 105.524999) (width 0.2) (layer "B.Cu") (net 64) (tstamp 6e521368-6e24-4f20-8745-b5f7c90a43f2)) + (segment (start 184.67 104.275) (end 183.67 105.275) (width 0.2) (layer "B.Cu") (net 64) (tstamp 738775f3-c928-481d-ad93-778d058c76fc)) + (segment (start 170.192802 106.499909) (end 175.525091 106.499909) (width 0.2) (layer "B.Cu") (net 64) (tstamp 85c3ede4-4c29-425d-abe9-2ddd7d8cd163)) + (segment (start 184.67 103.15) (end 184.67 104.275) (width 0.2) (layer "B.Cu") (net 64) (tstamp 923e85bd-26e3-4a89-b7cf-6f48801c48bc)) + (segment (start 177.103553 105.275) (end 176.75 105.275) (width 0.2) (layer "B.Cu") (net 64) (tstamp ba88d0fd-06ad-4b92-bad8-4558df4775ba)) + (segment (start 169.164652 105.471759) (end 170.192802 106.499909) (width 0.2) (layer "B.Cu") (net 64) (tstamp c7daaa67-5105-45e1-8dc4-ddef2bc354cd)) + (segment (start 191.7 106.652944) (end 192.259924 107.212868) (width 0.2) (layer "F.Cu") (net 65) (tstamp 77115d23-11cf-4294-bd0c-db1fb44a3566)) + (segment (start 191.7 106.6) (end 191.7 106.652944) (width 0.2) (layer "F.Cu") (net 65) (tstamp eeab04d5-e071-43bb-b0eb-61a5629606a8)) + (via (at 191.7 106.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 65) (tstamp 409f6765-7b3d-4d19-9aee-e7aafa72ee65)) + (segment (start 192.89001 104.21778) (end 192.89001 98.60999) (width 0.2) (layer "B.Cu") (net 65) (tstamp 1920340f-9992-4cd5-bbd0-cec3b0d0ea12)) + (segment (start 191.7 105.40779) (end 192.89001 104.21778) (width 0.2) (layer "B.Cu") (net 65) (tstamp 513d8751-2d45-4d7b-a6ca-2a56a5cc1800)) + (segment (start 192.89001 98.60999) (end 196.1 95.4) (width 0.2) (layer "B.Cu") (net 65) (tstamp 5d37e60d-4a61-4915-acbe-51e1b6233e5e)) + (segment (start 191.7 106.6) (end 191.7 108.595393) (width 0.2) (layer "B.Cu") (net 65) (tstamp 7834908c-d0b4-40c8-a870-4e4aff2dabc5)) + (segment (start 191.7 108.595393) (end 190.295574 109.999819) (width 0.2) (layer "B.Cu") (net 65) (tstamp 8d77974c-7178-4a13-ad0b-80bd6207fbd7)) + (segment (start 190.295574 109.999819) (end 166.621644 109.999819) (width 0.2) (layer "B.Cu") (net 65) (tstamp 9606484b-c2fd-4c06-b85f-37f1d741d8cc)) + (segment (start 166.621644 109.999819) (end 165.629118 109.007293) (width 0.2) (layer "B.Cu") (net 65) (tstamp 983eb093-93c6-4434-ad16-f048e30eef08)) + (segment (start 191.7 106.6) (end 191.7 105.40779) (width 0.2) (layer "B.Cu") (net 65) (tstamp 9b3254ff-3dfb-401d-93fb-2968859ba454)) + (segment (start 196.1 95.4) (end 196.1 90.05) (width 0.2) (layer "B.Cu") (net 65) (tstamp a4418f33-87f6-473d-b200-b89de6436f6d)) + (segment (start 175.630076 105.267868) (end 175.632132 105.267868) (width 0.2) (layer "F.Cu") (net 66) (tstamp 06692831-f0cc-4b6e-8ea7-1272e18446b9)) + (segment (start 175.632132 105.267868) (end 176.175 104.725) (width 0.2) (layer "F.Cu") (net 66) (tstamp fe1e31c0-2f8a-4c1b-bf36-249dba3bdecd)) + (via (at 176.175 104.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 66) (tstamp ffd5d36e-f25e-4a15-a426-c8f246129673)) + (segment (start 177.113856 104.725) (end 177.263945 104.875089) (width 0.2) (layer "B.Cu") (net 66) (tstamp 2b924b6f-333e-43a2-af76-5855b4b31e43)) + (segment (start 182.799911 104.875089) (end 183.4 104.275) (width 0.2) (layer "B.Cu") (net 66) (tstamp 4fed109d-575f-4442-bd97-51afcfb78713)) + (segment (start 176.175 104.725) (end 177.113856 104.725) (width 0.2) (layer "B.Cu") (net 66) (tstamp 5632058c-44ec-4621-8a1d-752dd8c894ec)) + (segment (start 175.925001 104.974999) (end 176.175 104.725) (width 0.2) (layer "B.Cu") (net 66) (tstamp 56fb9bed-422c-445d-be9c-214a7dcc364e)) + (segment (start 170.5 106.1) (end 174.8 106.1) (width 0.2) (layer "B.Cu") (net 66) (tstamp 76de9986-532b-40a4-8645-7f1f9418fbfb)) + (segment (start 177.263945 104.875089) (end 182.799911 104.875089) (width 0.2) (layer "B.Cu") (net 66) (tstamp 88a29395-2dd2-4e29-b7a8-0016b64f8ccc)) + (segment (start 183.4 104.275) (end 183.4 103.15) (width 0.2) (layer "B.Cu") (net 66) (tstamp 8b6cad79-cd64-41ba-80cf-7cfecf009364)) + (segment (start 174.8 106.1) (end 175.925001 104.974999) (width 0.2) (layer "B.Cu") (net 66) (tstamp 919694e5-4c84-460b-989d-ccb747419993)) + (segment (start 169.518206 105.118206) (end 170.5 106.1) (width 0.2) (layer "B.Cu") (net 66) (tstamp db0ed3fd-0158-4ce6-802d-c3407c2b3585)) + (segment (start 182.86403 96.150089) (end 188.284213 96.150089) (width 0.2) (layer "B.Cu") (net 67) (tstamp 122e9297-6af0-438d-bcac-d7e722d8b4ae)) + (segment (start 192.29 92.144302) (end 192.29 90.05) (width 0.2) (layer "B.Cu") (net 67) (tstamp 25efaed4-7b54-48a9-905d-c066a506faa9)) + (segment (start 188.284213 96.150089) (end 192.29 92.144302) (width 0.2) (layer "B.Cu") (net 67) (tstamp 4f617d55-d64d-4450-bf40-3c4c38abfaa7)) + (segment (start 171.993079 96.756668) (end 172.75037 95.999377) (width 0.2) (layer "B.Cu") (net 67) (tstamp 8aca5e83-8af9-4bcf-a35e-70c40908b0e7)) + (segment (start 172.75037 95.999377) (end 182.713318 95.999377) (width 0.2) (layer "B.Cu") (net 67) (tstamp 8b14e7ce-52a1-48a8-a3b2-4bd2000fca67)) + (segment (start 182.713318 95.999377) (end 182.86403 96.150089) (width 0.2) (layer "B.Cu") (net 67) (tstamp ea3bf16e-fb01-4f39-be81-15e323eb050b)) + (segment (start 189.318205 87.855447) (end 187.422091 87.855447) (width 0.2) (layer "F.Cu") (net 68) (tstamp 4c31edab-3d5a-419d-a9ef-08ee2dced8a0)) + (segment (start 190.007105 88.544347) (end 189.318205 87.855447) (width 0.2) (layer "F.Cu") (net 68) (tstamp 57c34ebd-1ac0-49b9-991a-ae5b11f90e19)) + (segment (start 187.422091 87.855447) (end 184.236944 91.040594) (width 0.2) (layer "F.Cu") (net 68) (tstamp 70f6d22a-c258-4990-94da-295ff13de257)) + (segment (start 190.007105 88.827209) (end 190.007105 88.544347) (width 0.2) (layer "F.Cu") (net 68) (tstamp a16fec94-fb75-43a3-b8f2-797479d98cf3)) + (segment (start 189.653553 89.180761) (end 190.007105 88.827209) (width 0.2) (layer "F.Cu") (net 68) (tstamp aa4973cb-5c1f-45ab-99ae-83511eb9e57e)) + (segment (start 184.236944 91.040594) (end 183.986945 91.290593) (width 0.2) (layer "F.Cu") (net 68) (tstamp d69707d0-7af0-481a-b803-38543c3980a7)) + (via (at 183.986945 91.290593) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 68) (tstamp 9f7c53b3-3c60-42e6-b0aa-979a8cac19be)) + (segment (start 162.217328 90.74626) (end 161.578671 90.107603) (width 0.2) (layer "B.Cu") (net 68) (tstamp 0315cf17-aa3d-436c-893a-96f97a97e740)) + (segment (start 183.986945 90.636945) (end 183.986945 91.290593) (width 0.2) (layer "B.Cu") (net 68) (tstamp 067270bb-0200-4638-b60a-15c112f1f714)) + (segment (start 180.25 88.998588) (end 180.523598 88.72499) (width 0.2) (layer "B.Cu") (net 68) (tstamp 25306dbf-8cf8-47d0-8d22-426373200a4f)) + (segment (start 183.19999 88.72499) (end 183.4 88.925) (width 0.2) (layer "B.Cu") (net 68) (tstamp 5cf2501f-3f37-461f-8a4f-1326791e9ff3)) + (segment (start 177.817909 91.775019) (end 179.824981 91.775019) (width 0.2) (layer "B.Cu") (net 68) (tstamp 658ece11-b56c-43d3-8c0c-2846dffb4403)) + (segment (start 183.4 88.925) (end 183.4 90.05) (width 0.2) (layer "B.Cu") (net 68) (tstamp 908c9378-e554-4367-ac6c-6c94a95927f8)) + (segment (start 174.292868 88.249978) (end 177.817909 91.775019) (width 0.2) (layer "B.Cu") (net 68) (tstamp 98a26fa0-49a8-434b-895f-f573aa870c68)) + (segment (start 161.578671 90.107603) (end 161.578671 89.186887) (width 0.2) (layer "B.Cu") (net 68) (tstamp a0f423d5-087b-4694-a460-93f9c52539f0)) + (segment (start 161.578671 89.186887) (end 162.51558 88.249978) (width 0.2) (layer "B.Cu") (net 68) (tstamp aaeed52f-0e68-402c-b6aa-fcc6373dadc6)) + (segment (start 162.51558 88.249978) (end 174.292868 88.249978) (width 0.2) (layer "B.Cu") (net 68) (tstamp c24e05f5-486d-4b52-95ef-ef5440555353)) + (segment (start 180.523598 88.72499) (end 183.19999 88.72499) (width 0.2) (layer "B.Cu") (net 68) (tstamp cef2785f-5a1e-4490-9beb-70b51e61c8ff)) + (segment (start 183.4 90.05) (end 183.986945 90.636945) (width 0.2) (layer "B.Cu") (net 68) (tstamp e948b20f-a618-4fc1-8233-f8a009776755)) + (segment (start 180.25 91.35) (end 180.25 88.998588) (width 0.2) (layer "B.Cu") (net 68) (tstamp ec95ec2d-ec3f-45bf-81d2-5d16c2ee2cdf)) + (segment (start 179.824981 91.775019) (end 180.25 91.35) (width 0.2) (layer "B.Cu") (net 68) (tstamp fa40afc3-fda0-4134-858c-2706d930dee1)) + (segment (start 193.56 91.175) (end 193.56 90.05) (width 0.2) (layer "B.Cu") (net 69) (tstamp 39917e34-b2a9-4110-bf42-99d787e84020)) + (segment (start 182.54767 96.399288) (end 182.698382 96.55) (width 0.2) (layer "B.Cu") (net 69) (tstamp a29e66b5-1a8b-4883-b260-ee0241212870)) + (segment (start 188.45 96.55) (end 193.56 91.44) (width 0.2) (layer "B.Cu") (net 69) (tstamp a3d2e221-b2d0-49ad-9213-a310efaeabba)) + (segment (start 182.698382 96.55) (end 188.45 96.55) (width 0.2) (layer "B.Cu") (net 69) (tstamp c583337b-0518-42b0-b14a-ed5855fa18fd)) + (segment (start 172.346633 97.110221) (end 173.057566 96.399288) (width 0.2) (layer "B.Cu") (net 69) (tstamp d3762e22-bbf7-4485-a75e-181339139904)) + (segment (start 193.56 91.44) (end 193.56 91.175) (width 0.2) (layer "B.Cu") (net 69) (tstamp d4674c43-8b4d-4162-bef6-300acdf93cff)) + (segment (start 173.057566 96.399288) (end 182.54767 96.399288) (width 0.2) (layer "B.Cu") (net 69) (tstamp ec6bbd01-af99-4943-9c72-e6d7f13f4b22)) + (segment (start 184.921946 91.301997) (end 184.671947 91.551996) (width 0.2) (layer "F.Cu") (net 70) (tstamp 54e7c627-2530-48de-ae4a-c74e37fac8dc)) + (segment (start 187.608867 88.615076) (end 184.921946 91.301997) (width 0.2) (layer "F.Cu") (net 70) (tstamp 693bb5bf-0cb6-444d-a20c-11a85c836910)) + (segment (start 189.087868 88.615076) (end 187.608867 88.615076) (width 0.2) (layer "F.Cu") (net 70) (tstamp 73c17ae4-8770-4cf1-8f7a-40d3f2a488af)) + (via (at 184.671947 91.551996) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 6db509fc-7ed8-4826-8192-9d44c5ef4b86)) + (segment (start 184.338994 91.840503) (end 184.627501 91.551996) (width 0.2) (layer "B.Cu") (net 70) (tstamp 208593d7-1ce0-46ed-9916-45cc2dd55ade)) + (segment (start 184.627501 91.551996) (end 184.671947 91.551996) (width 0.2) (layer "B.Cu") (net 70) (tstamp 22f001b8-4ad2-45fa-81a2-0f8ec2f4d52a)) + (segment (start 173.961494 89.05) (end 177.551819 92.640325) (width 0.2) (layer "B.Cu") (net 70) (tstamp 3f4d179c-688a-41de-8d4d-7490896dfd2d)) + (segment (start 185.014003 92.849999) (end 185.275001 92.589001) (width 0.2) (layer "B.Cu") (net 70) (tstamp 4188a39f-5391-48a6-bda8-0cbaaa805fd8)) + (segment (start 184.54753 92.849999) (end 185.014003 92.849999) (width 0.2) (layer "B.Cu") (net 70) (tstamp 55db7f99-8a7b-4312-9198-ff0c3b68281d)) + (segment (start 182.13 91.175) (end 182.795503 91.840503) (width 0.2) (layer "B.Cu") (net 70) (tstamp 5d40a467-88d8-4cbb-85e3-738f2d97fed1)) + (segment (start 185.275001 92.589001) (end 185.275001 91.801497) (width 0.2) (layer "B.Cu") (net 70) (tstamp 60876ea6-e19a-4a7d-9cc2-ac851887ac7a)) + (segment (start 182.795503 91.840503) (end 184.338994 91.840503) (width 0.2) (layer "B.Cu") (net 70) (tstamp 6d6cb557-447e-4dcf-a6e4-ff56cc67f0bc)) + (segment (start 163.913589 89.05) (end 173.961494 89.05) (width 0.2) (layer "B.Cu") (net 70) (tstamp 6d7adc76-8b93-4cb7-a8ca-ee12a865ec61)) + (segment (start 185.275001 91.801497) (end 185.0255 91.551996) (width 0.2) (layer "B.Cu") (net 70) (tstamp 7cf9d4ce-d417-425f-ad96-24b42df29ad7)) + (segment (start 185.0255 91.551996) (end 184.671947 91.551996) (width 0.2) (layer "B.Cu") (net 70) (tstamp 972828a7-d4ba-4768-89c6-f7dd22c86833)) + (segment (start 182.13 90.05) (end 182.13 91.175) (width 0.2) (layer "B.Cu") (net 70) (tstamp bf2a72bf-870c-4927-9965-5a131859a142)) + (segment (start 184.337856 92.640325) (end 184.54753 92.849999) (width 0.2) (layer "B.Cu") (net 70) (tstamp c0e7da7a-e7fc-45ae-b719-997ab90c0eb3)) + (segment (start 177.551819 92.640325) (end 184.337856 92.640325) (width 0.2) (layer "B.Cu") (net 70) (tstamp d630c352-4dab-475c-afe7-fd960d561c11)) + (segment (start 162.924435 90.039154) (end 163.913589 89.05) (width 0.2) (layer "B.Cu") (net 70) (tstamp ee3c5a41-a1ba-4e8e-bacb-2380af6f11a8)) + (segment (start 183.044614 95.199555) (end 183.195148 95.350089) (width 0.2) (layer "B.Cu") (net 71) (tstamp 38c101bb-f40a-4a7f-b192-b8043ff1583a)) + (segment (start 172.135979 95.199555) (end 183.044614 95.199555) (width 0.2) (layer "B.Cu") (net 71) (tstamp 3b5a0c8a-2d04-42e7-9b69-971bedbd9225)) + (segment (start 187.899911 95.350089) (end 189.75 93.5) (width 0.2) (layer "B.Cu") (net 71) (tstamp 568e9f00-582a-4d58-8377-4a0494eab22f)) + (segment (start 189.75 93.5) (end 189.75 91.175) (width 0.2) (layer "B.Cu") (net 71) (tstamp 9fa9e4e2-5a01-48cb-ac61-2daf39d18099)) + (segment (start 189.75 91.175) (end 189.75 90.05) (width 0.2) (layer "B.Cu") (net 71) (tstamp adb334f8-b77b-4af5-a3dc-b5b7998141fd)) + (segment (start 171.285973 96.049561) (end 172.135979 95.199555) (width 0.2) (layer "B.Cu") (net 71) (tstamp c89440c7-0584-4bb3-8d10-80aecfc5ea97)) + (segment (start 183.195148 95.350089) (end 187.899911 95.350089) (width 0.2) (layer "B.Cu") (net 71) (tstamp efbfc0d5-e995-4a1a-9bdc-96cc93558f9b)) + (segment (start 192.05 88.5) (end 192.05 89.047056) (width 0.2) (layer "F.Cu") (net 72) (tstamp 259fa3ae-6ba1-4afa-a1b1-befa9928ceba)) + (segment (start 192.05 89.047056) (end 190.784924 90.312132) (width 0.2) (layer "F.Cu") (net 72) (tstamp 2aad7ab3-a903-4210-a3fc-2fe72a4fdda5)) + (via (at 192.05 88.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp e9773221-31fc-4d48-b640-145f9f1a6d58)) + (segment (start 179.389992 91.375008) (end 179.59 91.175) (width 0.2) (layer "B.Cu") (net 72) (tstamp 20a4a4a9-5a65-49b5-8214-7bbb4df7ee50)) + (segment (start 174.458555 87.849967) (end 177.983596 91.375008) (width 0.2) (layer "B.Cu") (net 72) (tstamp 2959eea0-f154-40d4-bf2c-83f6837557bd)) + (segment (start 179.59 91.175) (end 179.59 90.05) (width 0.2) (layer "B.Cu") (net 72) (tstamp 2df485c1-d7e0-427b-bbec-a78819b1bddb)) + (segment (start 192.05 88.5) (end 191.800001 88.250001) (width 0.2) (layer "B.Cu") (net 72) (tstamp 593c3b12-0d49-4c7a-ab56-579efb0c1db1)) + (segment (start 161.178661 89.021339) (end 162.350033 87.849967) (width 0.2) (layer "B.Cu") (net 72) (tstamp 87c7f267-1516-4248-967a-4b3f868f2fa2)) + (segment (start 162.350033 87.849967) (end 174.458555 87.849967) (width 0.2) (layer "B.Cu") (net 72) (tstamp 9d2027fd-6884-485b-9759-f57973e3c0b2)) + (segment (start 180.264999 88.250001) (end 179.59 88.925) (width 0.2) (layer "B.Cu") (net 72) (tstamp a1dec98e-e9a7-4dcf-be07-60a7d831aeb3)) + (segment (start 177.983596 91.375008) (end 179.389992 91.375008) (width 0.2) (layer "B.Cu") (net 72) (tstamp a42dec84-60ca-4c1e-98b0-1ce972c3470e)) + (segment (start 191.800001 88.250001) (end 180.264999 88.250001) (width 0.2) (layer "B.Cu") (net 72) (tstamp a8daf054-9500-4f72-a65e-a6dc41e12fe5)) + (segment (start 161.178661 90.4147) (end 161.178661 89.021339) (width 0.2) (layer "B.Cu") (net 72) (tstamp d56184fa-fa32-4fd6-b96e-5905fad28fce)) + (segment (start 179.59 88.925) (end 179.59 90.05) (width 0.2) (layer "B.Cu") (net 72) (tstamp e1263008-9443-4fc7-8a91-9bb1f049d34f)) + (segment (start 161.863775 91.099814) (end 161.178661 90.4147) (width 0.2) (layer "B.Cu") (net 72) (tstamp e3272b64-c003-44b3-8c28-63fd10503b45)) + (segment (start 172.443175 95.599466) (end 182.878966 95.599466) (width 0.2) (layer "B.Cu") (net 73) (tstamp 1ab496f6-1e77-4fb1-813c-9d157cd5af46)) + (segment (start 171.639526 96.403115) (end 172.443175 95.599466) (width 0.2) (layer "B.Cu") (net 73) (tstamp 6710f6d4-98c5-4d4b-81ba-96ea199a22a1)) + (segment (start 182.878966 95.599466) (end 183.0295 95.75) (width 0.2) (layer "B.Cu") (net 73) (tstamp 990aae5c-60c8-43a0-b4a1-07a535de29c1)) + (segment (start 188.118604 95.75) (end 191.02 92.848604) (width 0.2) (layer "B.Cu") (net 73) (tstamp 9f29bc06-a8de-4267-bfdc-b1ce0d9ff42a)) + (segment (start 191.02 92.848604) (end 191.02 91.175) (width 0.2) (layer "B.Cu") (net 73) (tstamp ceb86bc0-a2b9-487f-946e-9e19d07275dc)) + (segment (start 191.02 91.175) (end 191.02 90.05) (width 0.2) (layer "B.Cu") (net 73) (tstamp db4f4bc7-fc2d-4743-b314-e2dedb25c61a)) + (segment (start 183.0295 95.75) (end 188.118604 95.75) (width 0.2) (layer "B.Cu") (net 73) (tstamp e4f6d325-f9fd-4d9c-a838-a83d6f00b238)) + (segment (start 171.25 92.2005) (end 172.85 90.6005) (width 0.2) (layer "F.Cu") (net 74) (tstamp 4ecb6ccb-0248-4508-8258-19b87382a173)) + (segment (start 172.85 90.6005) (end 172.85 88.7) (width 0.2) (layer "F.Cu") (net 74) (tstamp 8f27118d-0861-4253-b96c-f1865ff8e4e5)) + (via (at 171.25 92.2005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 74) (tstamp 42557319-5211-4f2c-b38b-87e8144ee0d6)) + (segment (start 171.25 92.2005) (end 170.892393 92.2005) (width 0.2) (layer "B.Cu") (net 74) (tstamp 436f264c-6da2-4cdc-8d71-28c893c316ee)) + (segment (start 170.892393 92.2005) (end 169.164652 93.928241) (width 0.2) (layer "B.Cu") (net 74) (tstamp 5cb899c4-988c-4243-9d12-36a1df07d8a9)) + (segment (start 174.12 89.92325) (end 174.12 88.7) (width 0.2) (layer "F.Cu") (net 75) (tstamp 554e27a6-e176-4333-9e7f-e20fe801fa88)) + (segment (start 172 92.04325) (end 174.12 89.92325) (width 0.2) (layer "F.Cu") (net 75) (tstamp 7758ddfe-5f0d-42ac-8fe4-86204e18f9f9)) + (segment (start 172 93.25) (end 172 92.04325) (width 0.2) (layer "F.Cu") (net 75) (tstamp 97d5b371-6e42-4578-9b6e-ccdc9ef71e17)) + (via (at 172 93.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 75) (tstamp 961b8c7f-2835-4578-88af-7cbd01d53f3e)) + (segment (start 172 93.25) (end 171.257107 93.25) (width 0.2) (layer "B.Cu") (net 75) (tstamp 829a6343-426c-4faa-a666-503ef00b9ea2)) + (segment (start 171.257107 93.25) (end 169.871759 94.635348) (width 0.2) (layer "B.Cu") (net 75) (tstamp 923672fb-bcfd-4fbc-998b-aac67fd28c9f)) + (segment (start 172.5495 92.285181) (end 172.564827 92.285181) (width 0.2) (layer "F.Cu") (net 76) (tstamp 4ddbd0f3-fbfa-4512-a2ed-27075e5d371c)) + (segment (start 175.39 89.460008) (end 175.39 88.7) (width 0.2) (layer "F.Cu") (net 76) (tstamp 66aed348-973d-4603-8589-673b19b0abd9)) + (segment (start 172.564827 92.285181) (end 175.39 89.460008) (width 0.2) (layer "F.Cu") (net 76) (tstamp ee90ee87-3a6b-4c17-866d-844f17576e9b)) + (via (at 172.5495 92.285181) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 76) (tstamp 26bd0ac0-34b3-42d4-84c6-7f689d7ad7e8)) + (segment (start 171.542341 92.75) (end 171.05 92.75) (width 0.2) (layer "B.Cu") (net 76) (tstamp 1ae93b18-e5ec-46cf-adc6-ba6807488eed)) + (segment (start 172.5495 92.285181) (end 172.00716 92.285181) (width 0.2) (layer "B.Cu") (net 76) (tstamp 4ad56592-1261-477c-a8fb-1810ac7fb733)) + (segment (start 172.00716 92.285181) (end 171.542341 92.75) (width 0.2) (layer "B.Cu") (net 76) (tstamp 92222c28-a30c-4e6c-bdc3-0396391f1b7f)) + (segment (start 171.05 92.75) (end 169.518206 94.281794) (width 0.2) (layer "B.Cu") (net 76) (tstamp b21019cd-30d1-4e90-b886-fd6eeed25b81)) + (segment (start 173.75 92.88) (end 177.93 88.7) (width 0.2) (layer "F.Cu") (net 77) (tstamp 5ba4f4c5-fca8-4a8c-8208-b98982771e9d)) + (segment (start 173.75 94.6) (end 173.75 92.88) (width 0.2) (layer "F.Cu") (net 77) (tstamp 9a823f45-0bcf-41f7-8974-7747675e91b0)) + (via (at 173.75 94.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 77) (tstamp d6f25a8e-3857-4aa2-b4b4-70f36fe699ed)) + (segment (start 173.75 94.6) (end 172.028427 94.6) (width 0.2) (layer "B.Cu") (net 77) (tstamp 5e0a9807-3892-41fb-bcb9-64359fc1d8bc)) + (segment (start 172.028427 94.6) (end 170.932419 95.696008) (width 0.2) (layer "B.Cu") (net 77) (tstamp 9c99ee1b-388e-435e-99f8-a2752f756982)) + (segment (start 137.51394 91.75) (end 135.55 91.75) (width 0.2) (layer "F.Cu") (net 78) (tstamp 13fcd8ee-9e7f-417d-9f42-2aea8418ab6c)) + (segment (start 134.35 96.325) (end 135.5 97.475) (width 0.2) (layer "F.Cu") (net 78) (tstamp 149e57ab-2919-49f8-8962-7f3b0351356f)) + (segment (start 139.25 90.6) (end 139.25 90.5) (width 0.2) (layer "F.Cu") (net 78) (tstamp 208f241a-031c-4403-a3e5-c8872641cf89)) + (segment (start 139.25 90.476066) (end 139.25 90.6) (width 0.2) (layer "F.Cu") (net 78) (tstamp 22d074e4-6ac5-4723-a2ca-91e06c8713da)) + (segment (start 135.55 91.75) (end 134.35 92.95) (width 0.2) (layer "F.Cu") (net 78) (tstamp 33612aa7-f5c4-43fd-b89a-0f9fa11eece5)) + (segment (start 141.25 88.55) (end 141.176066 88.55) (width 0.2) (layer "F.Cu") (net 78) (tstamp 45df10e3-c20f-4015-b5c5-bda2cd1a5dd5)) + (segment (start 134.35 92.95) (end 134.35 96.325) (width 0.2) (layer "F.Cu") (net 78) (tstamp 7cb01697-b2cb-4bcc-8791-2cdc64b40d88)) + (segment (start 139.25 90.4875) (end 138.77644 90.4875) (width 0.2) (layer "F.Cu") (net 78) (tstamp 900accba-2830-4b14-bf0b-128ae95d9753)) + (segment (start 138.77644 90.4875) (end 137.51394 91.75) (width 0.2) (layer "F.Cu") (net 78) (tstamp 9c8b886a-0cea-4aec-b955-f28e0688d151)) + (segment (start 139.25 90.475926) (end 141.063426 88.6625) (width 0.2) (layer "F.Cu") (net 78) (tstamp c31fce46-7674-4b1b-987a-69597eedb172)) + (segment (start 141.063426 88.6625) (end 141.25 88.6625) (width 0.2) (layer "F.Cu") (net 78) (tstamp c520d35f-20d4-4165-805b-42df0d49c648)) + (segment (start 139.25 90.4875) (end 139.25 90.475926) (width 0.2) (layer "F.Cu") (net 78) (tstamp c62e9725-1e03-4d71-bfc7-46b77ac2bb54)) + (segment (start 146.1875 104.85) (end 147.5875 106.25) (width 0.2) (layer "F.Cu") (net 79) (tstamp 670ede47-b2b9-4bb2-bc0b-8cb6638a8254)) + (segment (start 147.5875 106.25) (end 147.65 106.25) (width 0.2) (layer "F.Cu") (net 79) (tstamp ac3c2ec3-a69a-4011-b84c-bbacd232eeef)) + (segment (start 147.65 106.25) (end 149.35 107.95) (width 0.2) (layer "F.Cu") (net 79) (tstamp b3379d0e-a543-4d68-8310-f4f88f0438cc)) + (segment (start 144.95 104.85) (end 146.1875 104.85) (width 0.2) (layer "F.Cu") (net 79) (tstamp fc2a44de-2412-4da3-8fc2-42f2bfdd60e5)) + (via (at 144.95 104.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 79) (tstamp c8d9fbbb-3fb7-4572-a2f0-19c75946621f)) + (segment (start 154.258704 104.45) (end 155.473171 103.235533) (width 0.2) (layer "B.Cu") (net 79) (tstamp 21b23171-efb0-494a-a628-7efc7895a43f)) + (segment (start 144.95 104.85) (end 145.35 104.45) (width 0.2) (layer "B.Cu") (net 79) (tstamp 907bb1ca-dd73-4ad2-b674-f10415c978fc)) + (segment (start 145.35 104.45) (end 154.258704 104.45) (width 0.2) (layer "B.Cu") (net 79) (tstamp b2fe0b39-472a-4b55-a3b9-d0c414270a90)) + (segment (start 155.473171 103.235533) (end 155.61472 103.235533) (width 0.2) (layer "B.Cu") (net 79) (tstamp cbf8481d-f0d9-4f7f-8ebb-3addd17f96cb)) + (segment (start 155.61472 103.235533) (end 156.206921 102.643332) (width 0.2) (layer "B.Cu") (net 79) (tstamp ef4afd17-81c0-4556-9d1d-10670c020f62)) + (segment (start 140.3125 104.85) (end 138.9125 106.25) (width 0.2) (layer "F.Cu") (net 80) (tstamp 1212c789-dca1-4e34-b4ec-26e980d4c03e)) + (segment (start 138.9125 106.25) (end 138.9125 106.92662) (width 0.2) (layer "F.Cu") (net 80) (tstamp 57660deb-ddae-4bbd-8c8b-334f06d46263)) + (segment (start 138.9125 106.92662) (end 137.08912 108.75) (width 0.2) (layer "F.Cu") (net 80) (tstamp 7358fdcf-3e4d-4fc7-a5d1-4b01eff50f02)) + (segment (start 137.08912 108.75) (end 135.4 108.75) (width 0.2) (layer "F.Cu") (net 80) (tstamp 76b09263-c364-44a3-88a7-ba80bf2f7b99)) + (segment (start 141.5 104.85) (end 140.3125 104.85) (width 0.2) (layer "F.Cu") (net 80) (tstamp 894ddfeb-d942-4db4-81c4-07d203581a74)) + (segment (start 135.4 108.75) (end 134.6 107.95) (width 0.2) (layer "F.Cu") (net 80) (tstamp 8da5a756-7698-459a-aa18-84273345e1cb)) + (segment (start 141.5 104.85) (end 141.6 104.95) (width 0.2) (layer "F.Cu") (net 80) (tstamp ed6fd382-fa34-4505-8e73-48957f41e38c)) + (via (at 141.5 104.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 80) (tstamp 3f9f7dfe-52eb-4266-9940-1f6e51d94a0d)) + (segment (start 155.853367 102.289779) (end 155.093146 103.05) (width 0.2) (layer "B.Cu") (net 80) (tstamp 0a25ddf3-ba43-4659-a93b-6d0f6fc5d16a)) + (segment (start 154.093057 104.050089) (end 155.853367 102.289779) (width 0.2) (layer "B.Cu") (net 80) (tstamp 63518a52-9c3e-4113-90f4-1137560b83e0)) + (segment (start 142.299911 104.050089) (end 154.093057 104.050089) (width 0.2) (layer "B.Cu") (net 80) (tstamp b4b267b0-47e7-4ced-8645-945d64de0c38)) + (segment (start 141.5 104.85) (end 142.299911 104.050089) (width 0.2) (layer "B.Cu") (net 80) (tstamp fe825382-ca5e-4d65-9c9e-783cc1a0daf1)) + (segment (start 162.94991 104.228748) (end 163.728658 103.45) (width 0.5) (layer "F.Cu") (net 81) (tstamp 1d00158c-bb16-4c0c-94e0-79797458db22)) + (segment (start 135.249511 103.650489) (end 136.5 102.4) (width 1) (layer "F.Cu") (net 81) (tstamp 2b71ce95-b00e-4885-80ca-cbe1ede70b79)) + (segment (start 117.846573 105.795) (end 119.991084 103.650489) (width 1) (layer "F.Cu") (net 81) (tstamp 2f2c3705-df4d-4ab0-ac40-da35ce076be8)) + (segment (start 109.838655 107.4) (end 109.846573 107.392082) (width 0.2) (layer "F.Cu") (net 81) (tstamp 428cb144-a20e-4800-a9a8-4d5b1318cc52)) + (segment (start 116.241573 107.4) (end 117.846573 105.795) (width 1) (layer "F.Cu") (net 81) (tstamp 4365f194-0c7c-4ff9-88bb-8a36134c7135)) + (segment (start 162.94991 107.97491) (end 163.641541 108.666541) (width 0.5) (layer "F.Cu") (net 81) (tstamp 49c92307-135a-4d23-9156-4506f01171b7)) + (segment (start 163.641541 108.79914) (end 163.653562 108.811161) (width 0.5) (layer "F.Cu") (net 81) (tstamp 71a7853c-d194-43b7-8183-1f5846a53e93)) + (segment (start 114.596573 107.4) (end 116.241573 107.4) (width 1) (layer "F.Cu") (net 81) (tstamp 727b444c-79b7-46b5-a43a-9e3077b88df0)) + (segment (start 166.6875 103.45) (end 166.6875 104.4125) (width 0.5) (layer "F.Cu") (net 81) (tstamp 787ee76e-9b7d-4f46-bbed-a6f5b1787aba)) + (segment (start 163.728658 103.45) (end 163.760176 103.45) (width 0.5) (layer "F.Cu") (net 81) (tstamp 7f0ebea3-5192-4676-bc11-f376e674da37)) + (segment (start 136.5 102.4) (end 156.6671 102.4) (width 1) (layer "F.Cu") (net 81) (tstamp a20ff06d-8aac-4fd2-8b46-4e812dedb65c)) + (segment (start 115.25 107.4) (end 113.346573 107.4) (width 0.2) (layer "F.Cu") (net 81) (tstamp a2a47ae4-a7b4-4fc7-9f8e-a9d3ea69d410)) + (segment (start 160.75 104) (end 158.2671 104) (width 0.5) (layer "F.Cu") (net 81) (tstamp a48abc4e-40e0-4351-ba5e-70fe453a849b)) + (segment (start 108.296573 107.4) (end 109.838655 107.4) (width 0.2) (layer "F.Cu") (net 81) (tstamp aa903949-f299-43b8-b344-54507211344f)) + (segment (start 163.641541 108.666541) (end 163.641541 108.79914) (width 0.5) (layer "F.Cu") (net 81) (tstamp be6ba4a9-d20e-4f42-923c-42cf25f678e8)) + (segment (start 119.991084 103.650489) (end 135.249511 103.650489) (width 1) (layer "F.Cu") (net 81) (tstamp c63f15de-af27-4f02-841f-37bbb03b9881)) + (segment (start 158.2671 104) (end 156.6671 102.4) (width 0.5) (layer "F.Cu") (net 81) (tstamp dd80912b-8f0a-47e6-ba67-525e2c0720e9)) + (segment (start 166.525 103.45) (end 163.760176 103.45) (width 0.5) (layer "F.Cu") (net 81) (tstamp f4ca3c48-8d09-47c4-8ca8-ea690b9c0777)) + (segment (start 166.6875 104.4125) (end 166.5 104.6) (width 0.5) (layer "F.Cu") (net 81) (tstamp f5a47e96-d03f-4893-a00d-1499a6b23e69)) + (segment (start 162.94991 104.228748) (end 162.94991 107.97491) (width 0.5) (layer "F.Cu") (net 81) (tstamp fbf889aa-4434-4bbf-a500-347f15032a2b)) + (via (at 160.75 104) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 035fbc40-bbe6-47f1-b051-370c091ecfc7)) + (via (at 113.346573 107.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 2a63607b-785b-4b3f-bdfe-4f2fdc51e889)) + (via (at 163.760176 103.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 65156b55-c5b6-4141-960d-193d7a59bbf1)) + (via (at 109.846573 107.392082) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 71cb0e22-a5fd-4d41-81c1-958fc1dc6665)) + (via (at 166.5 104.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp f2bf3c7b-ee34-4cdf-a044-a566ba20439d)) + (segment (start 170.692641 102.05) (end 170.15 102.05) (width 0.2) (layer "B.Cu") (net 81) (tstamp 019fa592-a4ea-4c4a-b12b-68aa3b80a1b8)) + (segment (start 162.561234 103.238766) (end 162.55 103.25) (width 0.5) (layer "B.Cu") (net 81) (tstamp 0317206f-aea0-4c7c-b698-2bea37d62766)) + (segment (start 159.272561 91.499819) (end 160.14246 91.499819) (width 0.2) (layer "B.Cu") (net 81) (tstamp 0ae10b93-1f5d-4010-8872-0b2553c5b351)) + (segment (start 160.210914 91.568273) (end 160.803115 92.160474) (width 0.2) (layer "B.Cu") (net 81) (tstamp 17e0706e-2284-4a0c-a13d-e892b63f6865)) + (segment (start 109.854491 107.4) (end 109.846573 107.392082) (width 0.2) (layer "B.Cu") (net 81) (tstamp 1cc4d106-3a83-4ffd-8023-7fe3cbd22739)) + (segment (start 166.5 104.631824) (end 167.2 105.331824) (width 0.5) (layer "B.Cu") (net 81) (tstamp 25efdc74-3703-4b2f-b44b-ab2acda85d2e)) + (segment (start 157.45 91.300432) (end 157.45 91.25) (width 0.5) (layer "B.Cu") (net 81) (tstamp 2796b4be-b71e-46a1-9141-1a62d464b24d)) + (segment (start 156.914027 96.049561) (end 157.664466 96.8) (width 0.2) (layer "B.Cu") (net 81) (tstamp 2ba5e711-7bc1-47e0-b0f3-bd833c161978)) + (segment (start 161.7 93.127943) (end 161.7 93.057359) (width 0.2) (layer "B.Cu") (net 81) (tstamp 2df7e820-e11c-44ad-a039-d1bcef2acd49)) + (segment (start 166.5 104.6) (end 166.5 104.631824) (width 0.5) (layer "B.Cu") (net 81) (tstamp 3bacec9e-de26-4cfd-a089-177d24befc1f)) + (segment (start 162.561234 94.061234) (end 162.561234 94.029085) (width 0.5) (layer "B.Cu") (net 81) (tstamp 52453edd-c1d1-478b-982e-29a4380792ae)) + (segment (start 162.561234 94.029085) (end 161.7 93.167851) (width 0.5) (layer "B.Cu") (net 81) (tstamp 5bd3a2b6-5f48-4cbd-a90f-16a1e2d896f1)) + (segment (start 157.572702 91.372702) (end 159.145444 91.372702) (width 0.5) (layer "B.Cu") (net 81) (tstamp 61b7c174-3e32-45d2-b927-4303c04df3b4)) + (segment (start 160.14246 91.499819) (end 160.210914 91.568273) (width 0.2) (layer "B.Cu") (net 81) (tstamp 64b2ad93-7f9c-49f4-8d2e-9454755229e4)) + (segment (start 158.25 96.8) (end 162.5 96.8) (width 0.5) (layer "B.Cu") (net 81) (tstamp 6517598c-389e-4672-8c03-29d7fe6241e2)) + (segment (start 157.474784 91.325216) (end 157.45 91.300432) (width 0.5) (layer "B.Cu") (net 81) (tstamp 78958b4c-742b-4a11-900c-9ebf3efe1722)) + (segment (start 161.7 93.057359) (end 160.803115 92.160474) (width 0.2) (layer "B.Cu") (net 81) (tstamp 7a82e903-606b-4cc3-a25b-8ad062a6cb29)) + (segment (start 162.561234 96.738766) (end 162.561234 103.238766) (width 0.5) (layer "B.Cu") (net 81) (tstamp 9561d629-b977-4a5a-b389-3e71e336d275)) + (segment (start 170.05 101.95) (end 169.2 101.95) (width 0.5) (layer "B.Cu") (net 81) (tstamp 9640d675-de9c-49a7-b4f1-421bfcb57c3f)) + (segment (start 157.45 91.25) (end 157.572702 91.372702) (width 0.5) (layer "B.Cu") (net 81) (tstamp af33da51-daf1-406b-9cda-400801f05942)) + (segment (start 161.3 103.45) (end 163.760176 103.45) (width 0.5) (layer "B.Cu") (net 81) (tstamp b8139bbf-5126-4c15-a458-85e848ce57f1)) + (segment (start 162.561234 96.738766) (end 162.5 96.8) (width 0.5) (layer "B.Cu") (net 81) (tstamp bb060e40-d58f-4f80-9477-beb957395af5)) + (segment (start 169.2 101.95) (end 166.55 104.6) (width 0.5) (layer "B.Cu") (net 81) (tstamp c2533b09-69a6-4fef-b05f-3d19f1564493)) + (segment (start 167.2 106.335534) (end 167.750439 106.885973) (width 0.2) (layer "B.Cu") (net 81) (tstamp c83e31d7-ce1d-41ab-859b-47fa53a0552e)) + (segment (start 166.55 104.6) (end 166.5 104.6) (width 0.5) (layer "B.Cu") (net 81) (tstamp ca10959b-03ef-4c21-8af5-c9371aa4cd3c)) + (segment (start 162.561234 94.061234) (end 162.561234 96.738766) (width 0.5) (layer "B.Cu") (net 81) (tstamp d7f7bc5f-5396-4521-b287-f051d702a848)) + (segment (start 167.2 105.331824) (end 167.2 106.335534) (width 0.2) (layer "B.Cu") (net 81) (tstamp dc91344f-2cee-482a-ae90-0ae04cd6ec5b)) + (segment (start 113.346573 107.4) (end 109.854491 107.4) (width 0.2) (layer "B.Cu") (net 81) (tstamp e3fc5799-689b-4f14-be6d-e539a7155deb)) + (segment (start 159.145444 91.372702) (end 159.272561 91.499819) (width 0.2) (layer "B.Cu") (net 81) (tstamp e9625b2b-cab9-4c9c-aa3c-d6a44c3c1168)) + (segment (start 160.75 104) (end 161.3 103.45) (width 0.5) (layer "B.Cu") (net 81) (tstamp ebad1eab-63f1-4383-9448-116ec099e666)) + (segment (start 170.15 102.05) (end 170.05 101.95) (width 0.2) (layer "B.Cu") (net 81) (tstamp f427a0a6-44e0-4641-8fc7-7bce43a38146)) + (segment (start 171.639526 102.996885) (end 170.692641 102.05) (width 0.2) (layer "B.Cu") (net 81) (tstamp f48baa8d-23b0-4ac9-ab0b-5cb0c575726c)) + (segment (start 157.664466 96.8) (end 158.25 96.8) (width 0.2) (layer "B.Cu") (net 81) (tstamp f541e6f9-3b60-4481-890d-3c748a52f410)) + (segment (start 161.7 93.167851) (end 161.7 93.127943) (width 0.5) (layer "B.Cu") (net 81) (tstamp f7ff7ee4-e30b-4cdf-a29d-d71476b237e9)) + (segment (start 159.742454 106.178866) (end 159.150253 106.771067) (width 0.2) (layer "B.Cu") (net 82) (tstamp 132a6f88-8b32-46e5-83c7-639dcc7bf2a8)) + (segment (start 159.008704 106.771067) (end 157.099681 108.68009) (width 0.2) (layer "B.Cu") (net 82) (tstamp 239d41b9-918a-4146-adaf-e0424b411b39)) + (segment (start 151.84645 105.45) (end 146.5 105.45) (width 0.2) (layer "B.Cu") (net 82) (tstamp 2ef37858-1a70-474f-80e5-7c297a983f72)) + (segment (start 159.150253 106.771067) (end 159.008704 106.771067) (width 0.2) (layer "B.Cu") (net 82) (tstamp 351a80a4-0e3c-4c07-a0ad-91ead537d974)) + (segment (start 152.625 106.22855) (end 151.84645 105.45) (width 0.2) (layer "B.Cu") (net 82) (tstamp 44261e36-9e66-41b8-aadb-1bed839e5e56)) + (segment (start 153.1273 108.68009) (end 152.625 108.17779) (width 0.2) (layer "B.Cu") (net 82) (tstamp 54e9ca80-9d89-41a1-a237-3a7e62c181c1)) + (segment (start 157.099681 108.68009) (end 153.1273 108.68009) (width 0.2) (layer "B.Cu") (net 82) (tstamp 68ebd0d8-a35a-46f0-9118-34be31766ba7)) + (segment (start 152.625 108.17779) (end 152.625 106.22855) (width 0.2) (layer "B.Cu") (net 82) (tstamp 8ae3522c-75bd-4791-9c76-48b4c41c81c4)) + (segment (start 146.5 105.45) (end 145.95 106) (width 0.2) (layer "B.Cu") (net 82) (tstamp 990c007e-d9fe-4383-8018-b00786706c26)) + (segment (start 145.95 106) (end 139 106) (width 0.2) (layer "B.Cu") (net 82) (tstamp d51bd1cc-b31d-4da1-b34e-1d8c51de7866)) + (segment (start 139 106) (end 135.1 109.9) (width 0.2) (layer "B.Cu") (net 82) (tstamp f3de404a-9568-4eb9-b910-17b713706c25)) + (segment (start 135.1 109.9) (end 134.49 109.9) (width 0.2) (layer "B.Cu") (net 82) (tstamp fc374428-cd61-45c6-9ab3-04e2475b207a)) + (segment (start 129.8 91.0625) (end 129.8 91.8) (width 0.5) (layer "B.Cu") (net 83) (tstamp 2f108b65-f8b0-48ee-b60c-c72f2f215e5c)) + (segment (start 116.95 95.3) (end 120.35 91.9) (width 0.5) (layer "B.Cu") (net 83) (tstamp 6d36e46c-ec80-4a6f-a6d8-78566797bfcb)) + (segment (start 116.95 98.575) (end 116.95 95.3) (width 0.5) (layer "B.Cu") (net 83) (tstamp 9492050a-24b9-4563-8801-8647e72dc025)) + (segment (start 120.35 91.9) (end 129.7 91.9) (width 0.5) (layer "B.Cu") (net 83) (tstamp 95f2186f-b3ed-4b5f-b2ed-9ee474b0862f)) + (segment (start 129.8 91.8) (end 129.8 92.9375) (width 0.5) (layer "B.Cu") (net 83) (tstamp b3710356-6b1c-4176-8f0b-63a7a37a5ea0)) + (segment (start 129.7 91.9) (end 129.8 91.8) (width 0.5) (layer "B.Cu") (net 83) (tstamp c5975361-1408-4851-8b04-f191ab317f98)) + (segment (start 140.9 91.0625) (end 140.9 90.9375) (width 0.5) (layer "B.Cu") (net 84) (tstamp 5579062a-9ee8-428d-aaab-753291e16fd8)) + (segment (start 140.9 90.9375) (end 139.2 89.2375) (width 0.5) (layer "B.Cu") (net 84) (tstamp 64ba10b3-48bd-47a8-92ee-64e0d7498607)) + (segment (start 140.9 91.0625) (end 140.9 92.9375) (width 0.5) (layer "B.Cu") (net 84) (tstamp 93d7f649-726d-4b93-88d3-2e901e276f9f)) + (segment (start 139.3 89.2375) (end 139.3 89.4875) (width 0.5) (layer "B.Cu") (net 84) (tstamp 9bd00cb4-1d45-4768-b160-2c26e867325c)) + (segment (start 149.49571 110.92071) (end 149.125 110.55) (width 0.2) (layer "B.Cu") (net 85) (tstamp 318a199c-610f-460f-a93a-9bfa8c8d40de)) + (segment (start 150.12502 111.55002) (end 149.49571 110.92071) (width 0.2) (layer "B.Cu") (net 85) (tstamp 459f7d5f-b6af-4bfc-81d5-3e8e50a91c41)) + (segment (start 161.156668 107.593079) (end 157.199727 111.55002) (width 0.2) (layer "B.Cu") (net 85) (tstamp 5271176b-d0f5-4f66-b5d4-907a61d59ac5)) + (segment (start 157.199727 111.55002) (end 150.12502 111.55002) (width 0.2) (layer "B.Cu") (net 85) (tstamp bb86027c-0dc1-4930-9acf-0a3ae48a80e0)) + (segment (start 156.892631 111.15001) (end 152.07001 111.15001) (width 0.2) (layer "B.Cu") (net 86) (tstamp 23c4c1ac-e02b-43a6-a8eb-d401099584e5)) + (segment (start 160.803115 107.239526) (end 156.892631 111.15001) (width 0.2) (layer "B.Cu") (net 86) (tstamp a3addbb6-1b84-4df2-8d16-593c2d51b1bc)) + (segment (start 150.2 109.28) (end 149.125 109.28) (width 0.2) (layer "B.Cu") (net 86) (tstamp a96ac0c5-99c4-4ca0-a9d7-48ee7a567410)) + (segment (start 152.07001 111.15001) (end 150.2 109.28) (width 0.2) (layer "B.Cu") (net 86) (tstamp b9c6ab78-f4a4-4a30-8a5d-dd29bedd0b05)) + (segment (start 157.267581 103.703992) (end 155.75 105.221573) (width 0.2) (layer "B.Cu") (net 87) (tstamp 22f9d145-6798-4c60-864e-1e00b9c0c9bf)) + (segment (start 155.26 106.74) (end 154.075 106.74) (width 0.2) (layer "B.Cu") (net 87) (tstamp 80fde5bc-d15a-46f0-97f6-e82946805a34)) + (segment (start 155.75 105.221573) (end 155.75 106.25) (width 0.2) (layer "B.Cu") (net 87) (tstamp 9d966fdc-10eb-409a-af17-fb1fe04f9376)) + (segment (start 155.75 106.25) (end 155.26 106.74) (width 0.2) (layer "B.Cu") (net 87) (tstamp cf856c3c-506f-40ac-8dfe-6b7c8acb182d)) + (segment (start 157.621134 104.057546) (end 156.2 105.47868) (width 0.2) (layer "B.Cu") (net 88) (tstamp 41f87149-3467-4bcb-ab1d-7e9032d1ed4b)) + (segment (start 156.2 105.47868) (end 156.2 106.96) (width 0.2) (layer "B.Cu") (net 88) (tstamp 6416b191-3fa1-4794-a9be-9d95b7041768)) + (segment (start 156.2 106.96) (end 155.15 108.01) (width 0.2) (layer "B.Cu") (net 88) (tstamp 968f6d3d-81fd-412b-856b-f74d3948791a)) + (segment (start 155.15 108.01) (end 154.075 108.01) (width 0.2) (layer "B.Cu") (net 88) (tstamp bf6e9e47-846c-4f2e-9145-45d8124675a2)) + (segment (start 139.927146 97.189646) (end 137.5 94.7625) (width 0.2) (layer "B.Cu") (net 89) (tstamp 5f862c0b-7009-4f17-92ea-287be33be43b)) + (segment (start 154.518578 97.189646) (end 139.927146 97.189646) (width 0.2) (layer "B.Cu") (net 89) (tstamp 785f5e70-b7c9-490e-af8e-f31205db1ef4)) + (segment (start 155.14626 97.817328) (end 154.518578 97.189646) (width 0.2) (layer "B.Cu") (net 89) (tstamp 9f332ddf-2251-421d-a3e5-c0a22907a005)) + (segment (start 137.7 89.2375) (end 137.7 89.4625) (width 0.5) (layer "B.Cu") (net 90) (tstamp 4432ec8a-2c8e-42a6-8b5f-e4c0c1df3bb4)) + (segment (start 139.2 91.0625) (end 139.2 92.9375) (width 0.5) (layer "B.Cu") (net 90) (tstamp c153c183-f2cc-41c2-b683-9e3a37e6cc30)) + (segment (start 139.2 90.9375) (end 137.5 89.2375) (width 0.5) (layer "B.Cu") (net 90) (tstamp f067746c-9666-4c25-a4c9-a07c2a63bbe0)) + (segment (start 139.2 91.0625) (end 139.2 90.9375) (width 0.5) (layer "B.Cu") (net 90) (tstamp f0dc3103-ebf5-4ad8-a8a0-7e5ec43c0d28)) + (segment (start 155.499814 97.463775) (end 154.826165 96.790126) (width 0.2) (layer "B.Cu") (net 91) (tstamp 7ace396e-c4da-431b-9dd1-6ac6f6d20374)) + (segment (start 154.826165 96.790126) (end 141.227626 96.790126) (width 0.2) (layer "B.Cu") (net 91) (tstamp a8ec0cfc-578a-4b1f-b161-4364721f84da)) + (segment (start 141.227626 96.790126) (end 139.2 94.7625) (width 0.2) (layer "B.Cu") (net 91) (tstamp ecd92d89-23a7-4384-80e1-bffdb32c3b3b)) + (segment (start 156.67538 95.103807) (end 150.671193 95.103807) (width 0.2) (layer "B.Cu") (net 92) (tstamp 368a56f6-f5ec-4963-bc6d-6cb542e2bd1e)) + (segment (start 149.384377 96.390623) (end 142.528123 96.390623) (width 0.2) (layer "B.Cu") (net 92) (tstamp 94668695-e1af-4a23-9566-80b922928456)) + (segment (start 150.671193 95.103807) (end 149.384377 96.390623) (width 0.2) (layer "B.Cu") (net 92) (tstamp 983cde7f-3454-4609-b1e6-1d08b23a4a9f)) + (segment (start 142.528123 96.390623) (end 140.9 94.7625) (width 0.2) (layer "B.Cu") (net 92) (tstamp b58fde54-e8a9-4e82-b1d5-be53e829abde)) + (segment (start 157.267581 95.696008) (end 156.67538 95.103807) (width 0.2) (layer "B.Cu") (net 92) (tstamp f72462e4-d1ab-4326-a647-9e2d590aa7af)) + (segment (start 142.5 89.2375) (end 142.5 89.4625) (width 0.5) (layer "B.Cu") (net 93) (tstamp 34f354a2-dbdd-4678-8650-26e81d4ca77f)) + (segment (start 144.325 90.9375) (end 142.625 89.2375) (width 0.5) (layer "B.Cu") (net 93) (tstamp 4521f6bc-846f-43cc-9134-daee1b53421f)) + (segment (start 144.325 91.0625) (end 144.325 92.9375) (width 0.5) (layer "B.Cu") (net 93) (tstamp 98566a33-8caa-4d6e-9d99-8fadde6fe5ed)) + (segment (start 144.325 91.0625) (end 144.325 90.9375) (width 0.5) (layer "B.Cu") (net 93) (tstamp b1509550-f8d6-4910-8ba0-cb9ee719c567)) + (segment (start 143.853604 95.991104) (end 142.625 94.7625) (width 0.2) (layer "B.Cu") (net 94) (tstamp 0a981e0d-0511-4ad1-bbbf-872219058593)) + (segment (start 157.621134 95.342454) (end 156.97868 94.7) (width 0.2) (layer "B.Cu") (net 94) (tstamp 3478782c-aa09-490a-94fb-c59569a90b66)) + (segment (start 150.510006 94.7) (end 149.218902 95.991104) (width 0.2) (layer "B.Cu") (net 94) (tstamp 9f600b3f-436d-4bd8-81bc-cb866535b162)) + (segment (start 156.97868 94.7) (end 150.510006 94.7) (width 0.2) (layer "B.Cu") (net 94) (tstamp c2055f4f-c9ac-49e2-ab28-16f49d9c76f5)) + (segment (start 149.218902 95.991104) (end 143.853604 95.991104) (width 0.2) (layer "B.Cu") (net 94) (tstamp f8970ec1-3b7a-4c25-84ea-0cc46da20a55)) + (segment (start 131.5 94.7625) (end 132.219348 94.7625) (width 0.2) (layer "B.Cu") (net 95) (tstamp 1cfbdafd-98d7-464e-a1bc-0419627d4df7)) + (segment (start 137.989156 97.589156) (end 154.210965 97.589156) (width 0.2) (layer "B.Cu") (net 95) (tstamp 49e58f5a-419c-44c6-afb2-230d726a6805)) + (segment (start 134.95048 94.55048) (end 137.989156 97.589156) (width 0.2) (layer "B.Cu") (net 95) (tstamp 965324df-3798-47ca-84fc-15afd80727a4)) + (segment (start 154.210965 97.589156) (end 154.210973 97.589148) (width 0.2) (layer "B.Cu") (net 95) (tstamp 9d98c0cd-4b1a-4075-90d9-72031f760b5f)) + (segment (start 154.210973 97.589148) (end 154.792707 98.170882) (width 0.2) (layer "B.Cu") (net 95) (tstamp b3e54b1b-baad-4112-8127-c0a31ad04d52)) + (segment (start 132.219348 94.7625) (end 132.431368 94.55048) (width 0.2) (layer "B.Cu") (net 95) (tstamp cd37fc27-fce1-4e39-9718-e69377032a7d)) + (segment (start 132.431368 94.55048) (end 134.95048 94.55048) (width 0.2) (layer "B.Cu") (net 95) (tstamp e0fd96d0-df99-4153-92ae-c68e6e9a016a)) + (segment (start 145.154093 95.591593) (end 144.325 94.7625) (width 0.2) (layer "B.Cu") (net 96) (tstamp 26ba1de0-5f8c-4114-967e-a5d46738084b)) + (segment (start 150.344923 94.300089) (end 149.053419 95.591593) (width 0.2) (layer "B.Cu") (net 96) (tstamp 3332bc12-12c8-4877-89ff-24b1ec316505)) + (segment (start 157.974688 94.988901) (end 157.285876 94.300089) (width 0.2) (layer "B.Cu") (net 96) (tstamp 41b6ed0a-4e6e-46a2-9601-04a7a8216710)) + (segment (start 157.285876 94.300089) (end 150.344923 94.300089) (width 0.2) (layer "B.Cu") (net 96) (tstamp 4f2b14c5-8b71-4615-bf10-6ef2d34bd878)) + (segment (start 149.053419 95.591593) (end 145.154093 95.591593) (width 0.2) (layer "B.Cu") (net 96) (tstamp 898ba66a-1ac1-437c-b1b9-a90fd9f96bcb)) + (segment (start 158.328241 94.635348) (end 157.592893 93.9) (width 0.2) (layer "B.Cu") (net 97) (tstamp 1861c45c-c277-4abe-bd52-8f83212e7285)) + (segment (start 157.592893 93.9) (end 150.180006 93.9) (width 0.2) (layer "B.Cu") (net 97) (tstamp 54eaa0dc-4319-4a4d-b894-35b70a89832f)) + (segment (start 150.180006 93.9) (end 148.887933 95.192073) (width 0.2) (layer "B.Cu") (net 97) (tstamp c7376077-5e3c-4a0a-8568-4c55be9f5708)) + (segment (start 148.887933 95.192073) (end 146.454573 95.192073) (width 0.2) (layer "B.Cu") (net 97) (tstamp cbbd5709-fd25-4ce5-8af6-c4264f7d50b8)) + (segment (start 146.454573 95.192073) (end 146.025 94.7625) (width 0.2) (layer "B.Cu") (net 97) (tstamp feeec9f2-c299-44bf-94cd-1292f9dc726c)) + (segment (start 162.825 88.6) (end 162.825 89.675) (width 0.2) (layer "F.Cu") (net 98) (tstamp 0a129773-3b9a-4c72-8ec4-2dae64bca33a)) + (segment (start 162.111332 94.589514) (end 161.861333 94.839513) (width 0.2) (layer "F.Cu") (net 98) (tstamp 353f63ed-d541-4b44-82ef-93be969bb564)) + (segment (start 155.8498 94.7) (end 159.746447 94.7) (width 0.2) (layer "F.Cu") (net 98) (tstamp 654280c6-2297-4bb7-b4a5-931f132bae94)) + (segment (start 159.746447 94.7) (end 160.1 94.7) (width 0.2) (layer "F.Cu") (net 98) (tstamp 6e4da168-07a9-4839-84af-6edb77dc0ff5)) + (segment (start 152.25 93.35) (end 152.204582 93.35) (width 0.2) (layer "F.Cu") (net 98) (tstamp 8dd9eeaf-7d28-4862-a568-e9624289e6a0)) + (segment (start 152.204582 93.35) (end 150.375 95.179582) (width 0.2) (layer "F.Cu") (net 98) (tstamp 8e00b5b4-17b9-4eb4-8cf7-9802d9e82590)) + (segment (start 154.4999 93.3501) (end 155.8498 94.7) (width 0.2) (layer "F.Cu") (net 98) (tstamp a4561db7-2cb3-42f7-adee-36028524930e)) + (segment (start 162.400097 94.300749) (end 162.111332 94.589514) (width 0.2) (layer "F.Cu") (net 98) (tstamp a9534a2d-3305-49b0-bb9e-7d5f253995a7)) + (segment (start 162.825 89.675) (end 162.400097 90.099903) (width 0.2) (layer "F.Cu") (net 98) (tstamp c2993a5f-4cf9-4fd7-9322-2eed828f3a93)) + (segment (start 162.400097 90.099903) (end 162.400097 94.300749) (width 0.2) (layer "F.Cu") (net 98) (tstamp f5cdf556-a323-4b73-bdca-34c452d65585)) + (via (at 154.4999 93.3501) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 005f0cd6-a64d-440e-b6e0-ef83e515d4f0)) + (via (at 160.1 94.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 34f490b5-327b-499f-91e2-b73a473c5208)) + (via (at 152.25 93.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp ed1588d8-793c-43fa-b523-8084f224824c)) + (via (at 161.861333 94.839513) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp f4aad303-9832-4fba-b51e-60f7e4050d44)) + (segment (start 161.861333 94.839513) (end 160.239513 94.839513) (width 0.2) (layer "B.Cu") (net 98) (tstamp 28c44e92-353b-4d32-a8e9-674ea80396f2)) + (segment (start 152.25 93.35) (end 152.2501 93.3501) (width 0.2) (layer "B.Cu") (net 98) (tstamp 4a0b6f84-635c-45f7-abcf-ef8fd898e4aa)) + (segment (start 152.2501 93.3501) (end 154.4999 93.3501) (width 0.2) (layer "B.Cu") (net 98) (tstamp 4e05099c-2305-4f1e-98ef-bda1f98aff06)) + (segment (start 160.239513 94.839513) (end 160.1 94.7) (width 0.2) (layer "B.Cu") (net 98) (tstamp 7931133c-c4d5-44a4-a6ae-55c6c43bc457)) + (segment (start 159.950099 94.550099) (end 160.1 94.7) (width 0.2) (layer "B.Cu") (net 98) (tstamp a4efb7da-7ea3-4e5a-8409-355babd41f37)) + (segment (start 159.950099 94.135886) (end 159.950099 94.550099) (width 0.2) (layer "B.Cu") (net 98) (tstamp d55c2ea2-2c00-4115-bbd5-782024d7873b)) + (segment (start 159.388901 93.574688) (end 159.950099 94.135886) (width 0.2) (layer "B.Cu") (net 98) (tstamp db831a2a-a9ff-499a-85ea-796362f0427a)) + (segment (start 124.272533 93.103622) (end 125.546573 91.829582) (width 0.2) (layer "F.Cu") (net 99) (tstamp 0346b36d-7f3b-4cf8-b102-68298f272dd2)) + (segment (start 124.272533 95.005542) (end 124.272533 93.103622) (width 0.2) (layer "F.Cu") (net 99) (tstamp 3da3767d-f382-4a6a-936a-2a735ca47f1e)) + (segment (start 125.446573 95.704582) (end 124.971573 95.704582) (width 0.2) (layer "F.Cu") (net 99) (tstamp 4afff955-f647-47e3-a85c-681a60ee3dc5)) + (segment (start 124.971573 95.704582) (end 124.272533 95.005542) (width 0.2) (layer "F.Cu") (net 99) (tstamp af6d9f62-6fea-4286-bbd8-4347302b6bb5)) + (segment (start 125.446573 95.704582) (end 125.446573 97.329582) (width 0.2) (layer "F.Cu") (net 99) (tstamp d170c713-d167-43c4-821a-33d00d77bc9f)) + (segment (start 141.25 92.5875) (end 143.2 92.5875) (width 0.2) (layer "F.Cu") (net 100) (tstamp 63777c08-38a0-432b-8774-a5fc14da2b56)) + (segment (start 139.25 94.425) (end 139.25 96.2) (width 0.2) (layer "F.Cu") (net 100) (tstamp 7deb19ee-a033-497a-9619-5d6de91f4771)) + (segment (start 143.2 92.5875) (end 143.25 92.5375) (width 0.2) (layer "F.Cu") (net 100) (tstamp accec8eb-c4f7-4fce-899b-ced3a41fdca2)) + (segment (start 140.975 92.5875) (end 139.25 94.3125) (width 0.2) (layer "F.Cu") (net 100) (tstamp bca4b08d-0715-4aa3-962d-f950858b52c3)) + (segment (start 139.25 96.2) (end 140 96.95) (width 0.2) (layer "F.Cu") (net 100) (tstamp e08e7e6b-e536-4f31-ac7d-f0911dca3e52)) + (segment (start 141.25 92.5875) (end 140.975 92.5875) (width 0.2) (layer "F.Cu") (net 100) (tstamp f83c09d2-b4a4-43a6-b69f-35b29452e901)) + (segment (start 155.8 88.6) (end 156.225 88.6) (width 0.2) (layer "F.Cu") (net 101) (tstamp 5f2b1c96-2b69-46fc-bd0d-e3dd8e221146)) + (segment (start 155.4 88.2) (end 155.8 88.6) (width 0.2) (layer "F.Cu") (net 101) (tstamp a46eabdf-183f-4943-81d4-33821364e137)) + (via (at 155.4 88.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp e534e688-afb5-43b9-8e02-859d286ba54c)) + (segment (start 155.1 88.2) (end 154.649568 88.650432) (width 0.2) (layer "B.Cu") (net 101) (tstamp cebaa2f4-bfe4-4aac-9f2f-25a88472d855)) + (segment (start 155.4 88.2) (end 155.1 88.2) (width 0.2) (layer "B.Cu") (net 101) (tstamp d017a003-0453-49b3-ae96-a987ccae47d0)) + (segment (start 163.925 88.6) (end 163.925 89.675) (width 0.2) (layer "F.Cu") (net 102) (tstamp 494934e6-1a62-4251-8c2a-1a91bf21f414)) + (segment (start 167.36 90.6575) (end 167.2925 90.6575) (width 0.2) (layer "F.Cu") (net 102) (tstamp 6decc13b-89c3-4d2a-98f4-305604491c84)) + (segment (start 163.31743 90.28257) (end 163.31743 91.499104) (width 0.2) (layer "F.Cu") (net 102) (tstamp 7eec32d4-d4df-4f62-b330-f5395af3f654)) + (segment (start 167.2925 90.6575) (end 165.7 92.25) (width 0.2) (layer "F.Cu") (net 102) (tstamp 9f29c65e-3c17-46f4-bd3a-e9c2a5800093)) + (segment (start 163.925 89.675) (end 163.31743 90.28257) (width 0.2) (layer "F.Cu") (net 102) (tstamp a83288ee-2f11-420d-9209-475e5e5c5dce)) + (via (at 163.31743 91.499104) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp d4b3d2e6-5c2d-46cf-8524-2fa0acb70896)) + (via (at 165.7 92.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp e77941c0-eb88-42e0-9518-6f82743dd266)) + (segment (start 163.31743 91.499104) (end 164.068326 92.25) (width 0.2) (layer "B.Cu") (net 102) (tstamp 2d37c268-25bc-49b5-a89e-dd46142b5264)) + (segment (start 164.068326 92.25) (end 165.346447 92.25) (width 0.2) (layer "B.Cu") (net 102) (tstamp 8f62bfc7-84a6-4363-ac0e-2deade7ecf5b)) + (segment (start 165.346447 92.25) (end 165.7 92.25) (width 0.2) (layer "B.Cu") (net 102) (tstamp f59d2e3e-3611-49ef-ae04-a165e91e22b8)) + (segment (start 147.625 95.092082) (end 148.4625 95.092082) (width 0.2) (layer "F.Cu") (net 103) (tstamp 2cd63bd5-49ae-4c75-9acd-2e16b6ae23ca)) + (segment (start 148.4625 95.092082) (end 150.375 97.004582) (width 0.2) (layer "F.Cu") (net 103) (tstamp 4f63b3b9-b41d-49b3-acd5-dc4eab106f2d)) + (segment (start 145.25 94.3625) (end 145.25 95.667082) (width 0.2) (layer "F.Cu") (net 104) (tstamp 036e9ded-bf66-474e-b4bf-803d724fbf46)) + (segment (start 145.25 95.667082) (end 146.675 97.092082) (width 0.2) (layer "F.Cu") (net 104) (tstamp 0aa8d45d-2ecb-4712-9bbb-35c8682c0aaa)) + (segment (start 143.25 94.3625) (end 145.25 94.3625) (width 0.2) (layer "F.Cu") (net 104) (tstamp c7001ec8-d582-48cf-b478-5a0c7893bf2e)) + (segment (start 169.899903 91.507597) (end 170.75 90.6575) (width 0.2) (layer "F.Cu") (net 105) (tstamp d341955b-1641-4d1d-a42f-a72c1f8c77d4)) + (segment (start 169.899903 92.15) (end 169.899903 91.507597) (width 0.2) (layer "F.Cu") (net 105) (tstamp f949e13a-ffaf-4f97-973a-8e4b7a397670)) + (via (at 169.899903 92.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 105) (tstamp 943359ca-5c5e-43e5-ac34-70119d62631f)) + (segment (start 169.899903 92.485884) (end 168.811099 93.574688) (width 0.2) (layer "B.Cu") (net 105) (tstamp 46fdfcb5-69c4-4724-a4a0-c2831b473ad8)) + (segment (start 169.899903 92.15) (end 169.899903 92.485884) (width 0.2) (layer "B.Cu") (net 105) (tstamp 941d94d2-04ce-4e23-b50e-50e80d0e3314)) + (segment (start 169 90.6575) (end 169 91.95) (width 0.2) (layer "F.Cu") (net 106) (tstamp 017d198f-b7ce-4259-adef-8208d4421993)) + (segment (start 169 91.95) (end 169.2 92.15) (width 0.2) (layer "F.Cu") (net 106) (tstamp 73a2bf6e-7e98-420b-b2aa-b41dca81253c)) + (via (at 169.2 92.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp 0cb2af0c-a393-455b-8585-035d45a56566)) + (segment (start 168.457546 93.221134) (end 169.2 92.47868) (width 0.2) (layer "B.Cu") (net 106) (tstamp 505fbb15-6b3a-4394-a420-0502f6cffeeb)) + (segment (start 169.2 92.47868) (end 169.2 92.15) (width 0.2) (layer "B.Cu") (net 106) (tstamp d227fa51-bec4-4333-8098-c2ca43b2f3a6)) + (segment (start 134.784994 94.95) (end 137.82367 97.988676) (width 0.2) (layer "B.Cu") (net 107) (tstamp 428bf5a6-3020-484d-8d48-e0c69dd78ea3)) + (segment (start 129.8 94.7625) (end 130.6375 95.6) (width 0.2) (layer "B.Cu") (net 107) (tstamp 44ae493c-2deb-41a2-97b0-26b336ac0d6e)) + (segment (start 153.981801 98.067082) (end 154.439154 98.524435) (width 0.2) (layer "B.Cu") (net 107) (tstamp 5c72ae81-01f7-493b-8b59-1f0aa122d01c)) + (segment (start 137.82367 97.988676) (end 153.903395 97.988676) (width 0.2) (layer "B.Cu") (net 107) (tstamp 6516c56c-73e8-44bb-875d-d6b9bfbd9f6d)) + (segment (start 153.903395 97.988676) (end 154.439154 98.524435) (width 0.2) (layer "B.Cu") (net 107) (tstamp 6cf18dbf-e0e0-4ea9-8f65-b6e18a3f59c7)) + (segment (start 132.1 95.6) (end 132.75 94.95) (width 0.2) (layer "B.Cu") (net 107) (tstamp 754051a6-cd83-4d8f-b678-9ff421752ae8)) + (segment (start 132.75 94.95) (end 134.784994 94.95) (width 0.2) (layer "B.Cu") (net 107) (tstamp 9abe3bd2-fc6f-42d4-a5a7-439555bb1bdc)) + (segment (start 130.6375 95.6) (end 132.1 95.6) (width 0.2) (layer "B.Cu") (net 107) (tstamp c0fd6303-faa1-45c0-9bb7-7d6983253b1c)) + (segment (start 140.9 89.2375) (end 140.9 89.4375) (width 0.5) (layer "B.Cu") (net 108) (tstamp 0ba709e8-e8b4-47cc-a1ab-46428832682a)) + (segment (start 142.625 90.9625) (end 140.9 89.2375) (width 0.5) (layer "B.Cu") (net 108) (tstamp 1f4a18c6-0f0f-422e-9dca-329ef87071d4)) + (segment (start 142.625 91.0625) (end 142.55 91.0625) (width 0.5) (layer "B.Cu") (net 108) (tstamp 5a0e5574-12a0-479c-9959-e789f66834f0)) + (segment (start 142.625 91.0625) (end 142.625 90.9625) (width 0.5) (layer "B.Cu") (net 108) (tstamp 70670932-5e48-4a4a-aa27-885687970fbd)) + (segment (start 142.625 92.9375) (end 142.625 91.0625) (width 0.5) (layer "B.Cu") (net 108) (tstamp c42b9619-6467-4e84-9302-5b3b2b6c2674)) + (segment (start 146.025 91.0625) (end 146.025 90.9375) (width 0.5) (layer "B.Cu") (net 109) (tstamp 0ac6938a-760a-4221-9dc0-86ec6f3d366f)) + (segment (start 146.025 91.0625) (end 145.95 91.0625) (width 0.5) (layer "B.Cu") (net 109) (tstamp 400796fa-f494-46a1-99f1-34d23678954b)) + (segment (start 146.025 90.9375) (end 144.325 89.2375) (width 0.5) (layer "B.Cu") (net 109) (tstamp b99cc7b8-c158-4dc0-898f-9d071257acb7)) + (segment (start 146.025 92.9375) (end 146.025 91.0625) (width 0.5) (layer "B.Cu") (net 109) (tstamp ec2d3feb-2db7-4ea7-bd00-f781f990cb06)) + (segment (start 144.1 89.2375) (end 144.1 89.4875) (width 0.5) (layer "B.Cu") (net 109) (tstamp ff9795a8-cf77-4548-817b-fc3b15a1ba6d)) + (segment (start 158.8625 107.8625) (end 159.0375 108.0375) (width 0.5) (layer "F.Cu") (net 110) (tstamp 3782a0a6-86fa-4a85-b479-3e73e0eb2c01)) + (segment (start 159.0375 109) (end 161.925 109) (width 0.5) (layer "F.Cu") (net 110) (tstamp 61267181-d457-4f8b-97a5-210458c69191)) + (segment (start 158.8625 107.25) (end 158.8625 107.8625) (width 0.5) (layer "F.Cu") (net 110) (tstamp 67554199-74d4-4eb6-9757-de82e35fdec5)) + (segment (start 159.0375 108.0375) (end 159.0375 109) (width 0.5) (layer "F.Cu") (net 110) (tstamp c693e3a0-889a-4251-b986-087780c8a5fe)) + (via (at 159.253699 107.355869) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 1df12a20-ea0f-476c-9c97-c6b5d48247d9)) + (segment (start 160.096008 106.532419) (end 160.077149 106.532419) (width 0.2) (layer "B.Cu") (net 110) (tstamp 34853d63-e9a6-4467-94e1-c1e5a585dff1)) + (segment (start 160.077149 106.532419) (end 159.253699 107.355869) (width 0.2) (layer "B.Cu") (net 110) (tstamp d1d9e4cf-9c86-4150-8126-d89c68ba16d4)) + (segment (start 141.25 90.6) (end 141.25 90.865932) (width 0.2) (layer "F.Cu") (net 111) (tstamp 2d89b4ea-67a4-4dce-b25b-a1599ca8191a)) + (segment (start 139.690932 92.425) (end 139.25 92.425) (width 0.2) (layer "F.Cu") (net 111) (tstamp 329f0685-7498-458e-ae69-9b10b35d0915)) + (segment (start 141.2 90.6) (end 141.075 90.6) (width 0.2) (layer "F.Cu") (net 111) (tstamp c67306e7-d508-4f82-91da-b8803b7086e1)) + (segment (start 141.25 90.865932) (end 139.690932 92.425) (width 0.2) (layer "F.Cu") (net 111) (tstamp db9db7fc-b51f-423a-9657-13d842ff069e)) + (segment (start 127.293283 91.829582) (end 126.130783 92.992082) (width 0.2) (layer "F.Cu") (net 112) (tstamp 30975a83-29c7-43e3-91df-7560405c9401)) + (segment (start 124.672053 93.40007) (end 124.672053 94.610054) (width 0.2) (layer "F.Cu") (net 112) (tstamp 77af6284-0c7b-45e4-9fef-cb492fff0893)) + (segment (start 126.130783 92.992082) (end 125.080041 92.992082) (width 0.2) (layer "F.Cu") (net 112) (tstamp 7958721b-fcd6-4bc0-a122-38e5952e2d9b)) + (segment (start 125.919547 94.917562) (end 126.706567 95.704582) (width 0.2) (layer "F.Cu") (net 112) (tstamp 978305a1-7575-49fc-81ba-987132cf96bb)) + (segment (start 124.672053 94.610054) (end 124.979561 94.917562) (width 0.2) (layer "F.Cu") (net 112) (tstamp 9bb76b9d-a294-470d-a9e8-7c2a52839830)) + (segment (start 126.706567 95.704582) (end 127.346573 95.704582) (width 0.2) (layer "F.Cu") (net 112) (tstamp 9f9e2723-b387-4c3c-9b56-7c72d2635c0e)) + (segment (start 124.979561 94.917562) (end 125.919547 94.917562) (width 0.2) (layer "F.Cu") (net 112) (tstamp a5c7cc42-8948-4302-aa4c-339c03c75aa6)) + (segment (start 125.080041 92.992082) (end 124.672053 93.40007) (width 0.2) (layer "F.Cu") (net 112) (tstamp bd644b51-b36a-4bb9-aa64-51f0bc8c70eb)) + (segment (start 127.346573 95.704582) (end 127.346573 97.329582) (width 0.2) (layer "F.Cu") (net 112) (tstamp d30c3bfe-d859-4597-a5da-0f706d22082e)) + (segment (start 127.746573 91.829582) (end 127.293283 91.829582) (width 0.2) (layer "F.Cu") (net 112) (tstamp e11cc150-a168-481d-a84d-f4cc18e27dc2)) + (segment (start 143.15 90.6) (end 143.15 90.5) (width 0.2) (layer "F.Cu") (net 113) (tstamp 413e2e6f-2c7e-4df2-b01c-199cd80abe2d)) + (segment (start 145.25 88.55) (end 145.25 88.6) (width 0.2) (layer "F.Cu") (net 113) (tstamp 89043667-0b37-4eae-96c7-633d3bef1153)) + (segment (start 143.25 90.4375) (end 143.475 90.4375) (width 0.2) (layer "F.Cu") (net 113) (tstamp 9704ddfe-bff3-440c-910c-86ac37214d07)) + (segment (start 143.475 90.4375) (end 145.25 88.6625) (width 0.2) (layer "F.Cu") (net 113) (tstamp b04a95d1-b510-4bb5-967e-155bdf3bc7b9)) + (segment (start 140.25 90.040932) (end 140.25 91.159068) (width 0.2) (layer "F.Cu") (net 114) (tstamp 2257e863-d6a7-4350-adae-4156f9756bde)) + (segment (start 138.32442 91.55058) (end 136.4 93.475) (width 0.2) (layer "F.Cu") (net 114) (tstamp 2cd3756c-e64f-43fc-b66e-3900714cb34a)) + (segment (start 140.69885 89.592082) (end 140.25 90.040932) (width 0.2) (layer "F.Cu") (net 114) (tstamp 4f35c81f-4be5-4c31-952c-d5b0343a2c9d)) + (segment (start 139.858488 91.55058) (end 138.32442 91.55058) (width 0.2) (layer "F.Cu") (net 114) (tstamp 5ae6ac1b-9c85-42c0-ba7f-719d9b4c34b6)) + (segment (start 142.370418 89.592082) (end 143.25 88.7125) (width 0.2) (layer "F.Cu") (net 114) (tstamp 62ea71a0-c788-4f89-8d8d-35e998614c6a)) + (segment (start 140.25 91.159068) (end 139.858488 91.55058) (width 0.2) (layer "F.Cu") (net 114) (tstamp e8848739-b0d8-486c-932b-1680726bdd20)) + (segment (start 142.370418 89.592082) (end 140.69885 89.592082) (width 0.2) (layer "F.Cu") (net 114) (tstamp f7660a43-9782-41c9-b97e-b9f1ca8c7a91)) + (segment (start 157.325 92.41481) (end 157.325 88.6) (width 0.2) (layer "F.Cu") (net 115) (tstamp 6ae13a7c-c083-40a4-89b8-a7adfd1e855c)) + (segment (start 157.997463 93.087273) (end 157.325 92.41481) (width 0.2) (layer "F.Cu") (net 115) (tstamp e337c2e3-2d99-4d72-ae50-b5a54df891e3)) + (via (at 157.997463 93.087273) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp 709ebe82-bc44-4308-abf3-842090223105)) + (segment (start 159.035348 93.928241) (end 158.19438 93.087273) (width 0.2) (layer "B.Cu") (net 115) (tstamp 2d3b85af-afe8-412c-984e-129ff875f480)) + (segment (start 158.19438 93.087273) (end 157.997463 93.087273) (width 0.2) (layer "B.Cu") (net 115) (tstamp 9262838f-1020-457b-8b51-ca487a38682f)) + (segment (start 154.723365 92.650197) (end 154.4999 92.650197) (width 0.2) (layer "F.Cu") (net 116) (tstamp 0030c7db-2539-4ba0-a85a-8f10be937505)) + (segment (start 155.710342 93.637174) (end 154.723365 92.650197) (width 0.2) (layer "F.Cu") (net 116) (tstamp 1e47afb5-1f82-49cf-8470-6249b0235c5b)) + (segment (start 158.425 92.525) (end 158.492368 92.592368) (width 0.2) (layer "F.Cu") (net 116) (tstamp 36ca04ce-dc7d-4c3b-b7b4-4ea673c7f00e)) + (segment (start 158.742367 93.156223) (end 158.261416 93.637174) (width 0.2) (layer "F.Cu") (net 116) (tstamp 5e28fa58-0a9b-401a-a165-922436eb132b)) + (segment (start 146.141532 94) (end 145.717012 93.57548) (width 0.2) (layer "F.Cu") (net 116) (tstamp 5f74e6b9-aee7-4563-a2db-bd32f874fe39)) + (segment (start 158.492368 92.592368) (end 158.742367 92.842367) (width 0.2) (layer "F.Cu") (net 116) (tstamp 63332042-51b5-4dae-a17d-2082aed8d069)) + (segment (start 158.261416 93.637174) (end 155.710342 93.637174) (width 0.2) (layer "F.Cu") (net 116) (tstamp 6e5d8069-7254-4dd6-ba50-b069823efdfa)) + (segment (start 144.25058 92.984648) (end 144.25058 91.48692) (width 0.2) (layer "F.Cu") (net 116) (tstamp 8140ddc1-c7a6-4251-9c6c-4f1ac8d0588f)) + (segment (start 158.742367 92.842367) (end 158.742367 93.156223) (width 0.2) (layer "F.Cu") (net 116) (tstamp 88c829f5-1d77-4a4e-adc8-ecded8c9ff85)) + (segment (start 144.841412 93.57548) (end 144.25058 92.984648) (width 0.2) (layer "F.Cu") (net 116) (tstamp b5c23a4e-44d2-4e70-9b02-c0ea136ed22f)) + (segment (start 145.717012 93.57548) (end 144.841412 93.57548) (width 0.2) (layer "F.Cu") (net 116) (tstamp ca152a4b-7e11-4f60-862c-ce36560649ee)) + (segment (start 144.25058 91.48692) (end 145.25 90.4875) (width 0.2) (layer "F.Cu") (net 116) (tstamp cd7a0694-0219-42ef-8349-2ea1520554a5)) + (segment (start 145.25 90.6) (end 145.25 90.65) (width 0.2) (layer "F.Cu") (net 116) (tstamp dcf26f9c-af65-4409-ac05-f4e82f71ac7b)) + (segment (start 158.425 88.6) (end 158.425 92.525) (width 0.2) (layer "F.Cu") (net 116) (tstamp e61a9cf5-ba7c-491b-aa75-7b4f83b08495)) + (segment (start 150.8 94) (end 146.141532 94) (width 0.2) (layer "F.Cu") (net 116) (tstamp e6415b40-0cd6-4843-b954-3a4d24c1afb1)) + (segment (start 152.15 92.65) (end 150.8 94) (width 0.2) (layer "F.Cu") (net 116) (tstamp e9f77a94-c9ab-4a3e-b8bb-0445064ace8d)) + (via (at 158.492368 92.592368) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp c6dee354-95cb-4b8b-9881-f766b51e3a71)) + (via (at 152.15 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp db9ef8d5-9745-4903-954b-2a5d3890c6c1)) + (via (at 154.4999 92.650197) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp e7657b8b-70dd-4e27-ac18-727e1b16a695)) + (segment (start 160.096008 92.867581) (end 159.55316 92.324733) (width 0.2) (layer "B.Cu") (net 116) (tstamp 1e05d2f4-e958-4b49-9a09-6531f7f30d22)) + (segment (start 152.150197 92.650197) (end 154.4999 92.650197) (width 0.2) (layer "B.Cu") (net 116) (tstamp 21c31284-4d3a-4f73-8b7b-902b684dba23)) + (segment (start 152.15 92.65) (end 152.150197 92.650197) (width 0.2) (layer "B.Cu") (net 116) (tstamp 25fb6c52-67ce-48e1-89d5-3a98f5280048)) + (segment (start 158.742367 92.342369) (end 158.492368 92.592368) (width 0.2) (layer "B.Cu") (net 116) (tstamp 3ba74721-de93-46eb-b71d-e116c272464a)) + (segment (start 159.55316 92.324733) (end 158.760003 92.324733) (width 0.2) (layer "B.Cu") (net 116) (tstamp 558e06bc-0b9a-4ee9-9bae-42a64d259208)) + (segment (start 158.760003 92.324733) (end 158.742367 92.342369) (width 0.2) (layer "B.Cu") (net 116) (tstamp 91f6e8a6-aa2f-4b2c-ad16-b83042f55600)) + (segment (start 162.099899 96.049999) (end 161.8499 95.8) (width 0.2) (layer "F.Cu") (net 117) (tstamp 303770d0-47fe-4e78-b65b-e774de20be32)) + (segment (start 165.05 97.825) (end 163.8749 97.825) (width 0.2) (layer "F.Cu") (net 117) (tstamp 5ddb7e33-c341-4333-92c0-a9f5ac0806c1)) + (segment (start 163.8749 97.825) (end 162.099899 96.049999) (width 0.2) (layer "F.Cu") (net 117) (tstamp 5f557ae7-9d8f-4cdd-8441-4a2cf5f5125c)) + (via (at 161.8499 95.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp 4372c903-4682-403c-b19c-c12aa05e8c4b)) + (segment (start 161.496347 95.8) (end 161.8499 95.8) (width 0.2) (layer "B.Cu") (net 117) (tstamp 2fdbe52d-c177-4aaa-a175-64dd015faa2b)) + (segment (start 158.681794 94.281794) (end 160.2 95.8) (width 0.2) (layer "B.Cu") (net 117) (tstamp 6b14dd5f-a448-4687-ae86-9d9d447a141a)) + (segment (start 160.2 95.8) (end 161.496347 95.8) (width 0.2) (layer "B.Cu") (net 117) (tstamp 750c727d-33a7-4a88-bf23-62440cc74d9e)) + (segment (start 142.4125 106.25) (end 141.64 107.0225) (width 0.2) (layer "F.Cu") (net 118) (tstamp 0dca7ffa-475e-4636-b082-1bea8c5b505d)) + (segment (start 138.79 107.86) (end 141.64 107.86) (width 0.2) (layer "F.Cu") (net 118) (tstamp 82899929-5ca8-4cf5-9e9e-52af06236654)) + (segment (start 141.64 107.0225) (end 141.64 107.86) (width 0.2) (layer "F.Cu") (net 118) (tstamp 9ce6a924-56f5-4a31-8910-934cbdec4a41)) + (segment (start 136.7 109.95) (end 138.79 107.86) (width 0.2) (layer "F.Cu") (net 118) (tstamp b2d87cfc-0a38-43c0-998f-80bf9e6a760e)) + (segment (start 135.55 109.95) (end 136.7 109.95) (width 0.2) (layer "F.Cu") (net 118) (tstamp bc73eef5-bfc5-46a5-94b4-93072029cbe0)) + (segment (start 141.64 109.95) (end 141.64 107.86) (width 0.2) (layer "F.Cu") (net 118) (tstamp db97466a-a2f1-44ec-abbb-753c1b8180a1)) + (segment (start 150.3 109.95) (end 149.45 109.95) (width 0.2) (layer "F.Cu") (net 119) (tstamp 04f12e73-8019-43ea-afef-8917c904495d)) + (segment (start 144.15 107.85) (end 144.15 109.92) (width 0.2) (layer "F.Cu") (net 119) (tstamp 1de69110-e34f-4586-9fcd-5d931750c724)) + (segment (start 149.45 109.95) (end 147.35 107.85) (width 0.2) (layer "F.Cu") (net 119) (tstamp 4882394b-07b4-4699-8f1b-a722054ab547)) + (segment (start 144.15 109.92) (end 144.18 109.95) (width 0.2) (layer "F.Cu") (net 119) (tstamp 4b9f9926-fe1e-47b4-9f0e-cb66f2645fe8)) + (segment (start 147.35 107.85) (end 144.15 107.85) (width 0.2) (layer "F.Cu") (net 119) (tstamp b6583e7e-78c0-498e-b4ab-8c8535208e0c)) + (segment (start 144.15 106.25) (end 144.15 107.85) (width 0.2) (layer "F.Cu") (net 119) (tstamp e950f7c5-89be-4d2e-893e-8b3adbbf934c)) + (segment (start 131.5 92.9375) (end 131.5 91.0625) (width 0.5) (layer "B.Cu") (net 120) (tstamp 6444bb51-84f4-42fe-a0c7-ae907124b92c)) + (segment (start 131.75 91.3375) (end 131.75 91.4125) (width 0.2) (layer "B.Cu") (net 120) (tstamp ad64f4ae-6830-425d-be04-e4706d387aea)) + (segment (start 129.8 89.3625) (end 131.5 91.0625) (width 0.5) (layer "B.Cu") (net 120) (tstamp b6458c11-1c29-40f2-8b13-78d78223ed32)) + (segment (start 129.8 89.2375) (end 129.8 89.3625) (width 0.5) (layer "B.Cu") (net 120) (tstamp fb9adf9a-3159-4d7a-b25a-ae61c3360293)) + (segment (start 137.5 92) (end 137.5 92.9375) (width 0.5) (layer "B.Cu") (net 121) (tstamp 0147252f-7ed7-4156-9d12-14eecf1d76af)) + (segment (start 131.5 89.2375) (end 131.5 89.3625) (width 0.5) (layer "B.Cu") (net 121) (tstamp 08369583-216d-4fa8-a1db-312e85e9a419)) + (segment (start 134.2625 92) (end 137.5 92) (width 0.5) (layer "B.Cu") (net 121) (tstamp 641e45fa-cb32-4562-a238-9437f93e0581)) + (segment (start 131.5 89.2375) (end 134.2625 92) (width 0.5) (layer "B.Cu") (net 121) (tstamp b1406f50-526c-4965-a89d-9d6ade55ff9d)) + (segment (start 137.5 91.0625) (end 137.5 92) (width 0.5) (layer "B.Cu") (net 121) (tstamp dad97661-4551-43ab-9a51-d5fb91881421)) + (segment (start 150.4 102) (end 151.4 103) (width 0.2) (layer "B.Cu") (net 122) (tstamp 7e41b7b3-08ae-4c6d-9868-3e58f4ea2349)) + (segment (start 154.436039 103) (end 155.499814 101.936225) (width 0.2) (layer "B.Cu") (net 122) (tstamp 9125d8be-8f0d-445b-ad65-938dfd289462)) + (segment (start 151.4 103) (end 154.436039 103) (width 0.2) (layer "B.Cu") (net 122) (tstamp d3e04fc0-374b-442d-a29e-c423f09cc40d)) + (segment (start 152.4 102.6) (end 154.128932 102.6) (width 0.2) (layer "B.Cu") (net 123) (tstamp 0ba92052-f6a4-4d61-a229-9857f839fe9a)) + (segment (start 150.8 101) (end 152.4 102.6) (width 0.2) (layer "B.Cu") (net 123) (tstamp c0247c7c-476c-4eb9-bbb1-5b8e60432a3a)) + (segment (start 148.4 102) (end 149.4 101) (width 0.2) (layer "B.Cu") (net 123) (tstamp c4c4d525-f2c5-4160-b23d-eef934d8b10b)) + (segment (start 154.128932 102.6) (end 155.14626 101.582672) (width 0.2) (layer "B.Cu") (net 123) (tstamp c5c0b204-d40f-4c29-aed5-382d91af0fef)) + (segment (start 149.4 101) (end 150.8 101) (width 0.2) (layer "B.Cu") (net 123) (tstamp dcd8e74e-b56a-479d-82bc-c0ab8de83891)) + + (zone (net 1) (net_name "GND") (layers F&B.Cu) (tstamp 00000000-0000-0000-0000-00005fc62e1f) (hatch edge 0.508) + (connect_pads (clearance 0.254)) + (min_thickness 0.254) (filled_areas_thickness no) + (fill yes (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.2 87.3) + (xy 199.5 87.3) + (xy 199.5 112.1) + (xy 99.2 112.1) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 100.464775 87.744002) + (xy 100.511268 87.797658) + (xy 100.521372 87.867932) + (xy 100.511085 87.899985) + (xy 100.511861 87.900298) + (xy 100.435078 88.090822) + (xy 100.431684 88.102281) + (xy 100.39318 88.299444) + (xy 100.392091 88.308412) + (xy 100.392076 88.308709) + (xy 100.392 88.311821) + (xy 100.392 88.490385) + (xy 100.396475 88.505624) + (xy 100.397865 88.506829) + (xy 100.405548 88.5085) + (xy 109.589885 88.5085) + (xy 109.605124 88.504025) + (xy 109.606329 88.502635) + (xy 109.608 88.494952) + (xy 109.608 88.363087) + (xy 109.607715 88.35711) + (xy 109.59349 88.208014) + (xy 109.591231 88.19628) + (xy 109.535091 88.004916) + (xy 109.530661 87.99384) + (xy 109.486292 87.907692) + (xy 109.472883 87.837973) + (xy 109.499296 87.772072) + (xy 109.557145 87.730913) + (xy 109.598308 87.724) + (xy 111.14595 87.724) + (xy 111.214071 87.744002) + (xy 111.260564 87.797658) + (xy 111.270668 87.867932) + (xy 111.259228 87.897804) + (xy 111.261897 87.89891) + (xy 111.257148 87.910375) + (xy 111.250253 87.920694) + (xy 111.2305 88.02) + (xy 111.2305 89.82) + (xy 111.250253 89.919306) + (xy 111.306506 90.003494) + (xy 111.390694 90.059747) + (xy 111.49 90.0795) + (xy 112.128672 90.0795) + (xy 112.196793 90.099502) + (xy 112.243286 90.153158) + (xy 112.25339 90.223432) + (xy 112.223896 90.288012) + (xy 112.16417 90.326396) + (xy 112.15001 90.32968) + (xy 112.089899 90.340009) + (xy 111.890822 90.413452) + (xy 111.885861 90.416404) + (xy 111.88586 90.416404) + (xy 111.715928 90.517504) + (xy 111.708463 90.521945) + (xy 111.548928 90.661853) + (xy 111.545361 90.666378) + (xy 111.545356 90.666383) + (xy 111.421135 90.823957) + (xy 111.417561 90.828491) + (xy 111.318762 91.016279) + (xy 111.296377 91.088369) + (xy 111.258359 91.210808) + (xy 111.255838 91.218926) + (xy 111.230897 91.429648) + (xy 111.244775 91.641386) + (xy 111.297007 91.847049) + (xy 111.385844 92.03975) + (xy 111.508309 92.213035) + (xy 111.584252 92.287015) + (xy 111.632466 92.333983) + (xy 111.660303 92.361101) + (xy 111.665099 92.364306) + (xy 111.665102 92.364308) + (xy 111.78348 92.443405) + (xy 111.836734 92.478988) + (xy 111.842037 92.481266) + (xy 111.84204 92.481268) + (xy 112.00882 92.552922) + (xy 112.031695 92.56275) + (xy 112.092988 92.576619) + (xy 112.233018 92.608305) + (xy 112.233023 92.608306) + (xy 112.238655 92.60958) + (xy 112.244426 92.609807) + (xy 112.244428 92.609807) + (xy 112.30775 92.612295) + (xy 112.450684 92.617911) + (xy 112.558724 92.602246) + (xy 112.654966 92.588292) + (xy 112.654971 92.588291) + (xy 112.66068 92.587463) + (xy 112.666144 92.585608) + (xy 112.666149 92.585607) + (xy 112.856139 92.521114) + (xy 112.856144 92.521112) + (xy 112.861611 92.519256) + (xy 112.872584 92.513111) + (xy 113.041701 92.418401) + (xy 113.041705 92.418398) + (xy 113.046748 92.415574) + (xy 113.20989 92.27989) + (xy 113.345574 92.116748) + (xy 113.348398 92.111705) + (xy 113.348401 92.111701) + (xy 113.446432 91.936654) + (xy 113.446433 91.936652) + (xy 113.449256 91.931611) + (xy 113.451112 91.926144) + (xy 113.451114 91.926139) + (xy 113.515607 91.736149) + (xy 113.515608 91.736144) + (xy 113.517463 91.73068) + (xy 113.518291 91.724971) + (xy 113.518292 91.724966) + (xy 113.543176 91.553341) + (xy 113.572746 91.488795) + (xy 113.598363 91.472375) + (xy 113.58536 91.466437) + (xy 113.546976 91.406711) + (xy 113.542401 91.382742) + (xy 113.530613 91.254455) + (xy 113.530084 91.248698) + (xy 113.528111 91.2417) + (xy 113.497596 91.133504) + (xy 113.472487 91.044472) + (xy 113.469934 91.039295) + (xy 113.469932 91.03929) + (xy 113.38119 90.859342) + (xy 113.378636 90.854163) + (xy 113.29728 90.745214) + (xy 113.255129 90.688767) + (xy 113.255128 90.688766) + (xy 113.251676 90.684143) + (xy 113.138724 90.579731) + (xy 113.100099 90.544026) + (xy 113.100096 90.544024) + (xy 113.095859 90.540107) + (xy 112.916402 90.426878) + (xy 112.719316 90.348249) + (xy 112.622943 90.329079) + (xy 112.560034 90.296171) + (xy 112.524902 90.234476) + (xy 112.528702 90.163581) + (xy 112.570228 90.105995) + (xy 112.636295 90.080001) + (xy 112.647525 90.0795) + (xy 113.29 90.0795) + (xy 113.389306 90.059747) + (xy 113.473494 90.003494) + (xy 113.529747 89.919306) + (xy 113.544293 89.846178) + (xy 113.5772 89.783268) + (xy 113.638895 89.748136) + (xy 113.70979 89.751936) + (xy 113.767376 89.793462) + (xy 113.79337 89.859528) + (xy 113.793872 89.870759) + (xy 113.793872 91.371213) + (xy 113.77387 91.439334) + (xy 113.73643 91.471776) + (xy 113.763195 91.489022) + (xy 113.792611 91.553638) + (xy 113.793872 91.571421) + (xy 113.793872 92.9445) + (xy 113.77387 93.012621) + (xy 113.720214 93.059114) + (xy 113.667872 93.0705) + (xy 113.127587 93.0705) + (xy 113.068033 93.079029) + (xy 113.031896 93.084204) + (xy 113.031894 93.084205) + (xy 113.023009 93.085477) + (xy 112.894731 93.143801) + (xy 112.887932 93.149659) + (xy 112.887928 93.149662) + (xy 112.794779 93.229925) + (xy 112.794777 93.229928) + (xy 112.787979 93.235785) + (xy 112.749644 93.294929) + (xy 112.748793 93.296242) + (xy 112.694957 93.342525) + (xy 112.624644 93.352356) + (xy 112.560179 93.322612) + (xy 112.524622 93.270699) + (xy 112.511061 93.233338) + (xy 112.50455 93.220337) + (xy 112.417269 93.087212) + (xy 112.407945 93.07606) + (xy 112.292383 92.966587) + (xy 112.280736 92.957874) + (xy 112.14309 92.877922) + (xy 112.129753 92.872123) + (xy 111.976593 92.825736) + (xy 111.963961 92.823286) + (xy 111.896318 92.817249) + (xy 111.890723 92.817) + (xy 111.859615 92.817) + (xy 111.844376 92.821475) + (xy 111.843171 92.822865) + (xy 111.8415 92.830548) + (xy 111.8415 94.764885) + (xy 111.845975 94.780124) + (xy 111.847365 94.781329) + (xy 111.855048 94.783) + (xy 111.876723 94.783) + (xy 111.884027 94.782575) + (xy 112.00288 94.768719) + (xy 112.017032 94.765374) + (xy 112.166664 94.71106) + (xy 112.179663 94.70455) + (xy 112.312788 94.617269) + (xy 112.32394 94.607945) + (xy 112.433413 94.492383) + (xy 112.442126 94.480736) + (xy 112.522077 94.343092) + (xy 112.527919 94.329654) + (xy 112.573423 94.275157) + (xy 112.641166 94.253912) + (xy 112.709642 94.272665) + (xy 112.743253 94.305741) + (xy 112.743801 94.305269) + (xy 112.749659 94.312068) + (xy 112.74966 94.312069) + (xy 112.829925 94.405221) + (xy 112.829928 94.405223) + (xy 112.835785 94.412021) + (xy 112.954032 94.488665) + (xy 112.962634 94.491237) + (xy 112.962637 94.491239) + (xy 113.082498 94.527085) + (xy 113.0825 94.527085) + (xy 113.089039 94.529041) + (xy 113.095216 94.5295) + (xy 113.697413 94.5295) + (xy 113.758091 94.52081) + (xy 113.793104 94.515796) + (xy 113.793106 94.515795) + (xy 113.801991 94.514523) + (xy 113.816446 94.507951) + (xy 113.858863 94.488665) + (xy 113.930269 94.456199) + (xy 113.937068 94.450341) + (xy 113.937072 94.450338) + (xy 114.030221 94.370075) + (xy 114.030223 94.370072) + (xy 114.037021 94.364215) + (xy 114.113665 94.245968) + (xy 114.116237 94.237366) + (xy 114.116239 94.237363) + (xy 114.152085 94.117502) + (xy 114.152085 94.1175) + (xy 114.154041 94.110961) + (xy 114.1545 94.104784) + (xy 114.1545 93.724029) + (xy 114.174502 93.655908) + (xy 114.191405 93.634934) + (xy 114.362474 93.463865) + (xy 114.378957 93.450552) + (xy 114.381568 93.448176) + (xy 114.39032 93.442525) + (xy 114.409955 93.417618) + (xy 114.413359 93.413787) + (xy 114.413249 93.413694) + (xy 114.416602 93.409737) + (xy 114.420283 93.406056) + (xy 114.430325 93.392004) + (xy 114.433889 93.387258) + (xy 114.456956 93.357998) + (xy 114.456957 93.357996) + (xy 114.463404 93.349818) + (xy 114.466238 93.341749) + (xy 114.471213 93.334787) + (xy 114.48488 93.289089) + (xy 114.486707 93.283464) + (xy 114.502519 93.238437) + (xy 114.502872 93.234361) + (xy 114.502872 93.231652) + (xy 114.50299 93.228919) + (xy 114.503135 93.228925) + (xy 114.503205 93.227811) + (xy 114.505037 93.221686) + (xy 114.502969 93.169044) + (xy 114.502872 93.164098) + (xy 114.502872 90.545559) + (xy 120.439541 90.545559) + (xy 120.440243 90.556711) + (xy 120.471165 90.758795) + (xy 120.473831 90.769648) + (xy 120.54005 90.96306) + (xy 120.544601 90.97328) + (xy 120.624435 91.116714) + (xy 120.634487 91.126605) + (xy 120.642091 91.123699) + (xy 121.277978 90.487812) + (xy 121.285592 90.473868) + (xy 121.285461 90.472035) + (xy 121.28121 90.46542) + (xy 120.647897 89.832107) + (xy 120.635517 89.825347) + (xy 120.627545 89.831315) + (xy 120.572194 89.919551) + (xy 120.567114 89.929521) + (xy 120.490864 90.119198) + (xy 120.487633 90.129898) + (xy 120.446177 90.330084) + (xy 120.444892 90.341191) + (xy 120.439541 90.545559) + (xy 114.502872 90.545559) + (xy 114.502872 89.630089) + (xy 114.505116 89.609002) + (xy 114.505282 89.605485) + (xy 114.507473 89.595307) + (xy 114.503745 89.563809) + (xy 114.503443 89.558695) + (xy 114.5033 89.558707) + (xy 114.502872 89.553529) + (xy 114.502872 89.548332) + (xy 114.501021 89.537209) + (xy 114.500036 89.531289) + (xy 114.499201 89.525417) + (xy 114.494821 89.488417) + (xy 114.493597 89.478075) + (xy 114.489895 89.470365) + (xy 114.48849 89.461924) + (xy 114.482941 89.451639) + (xy 114.465847 89.41996) + (xy 114.463149 89.414666) + (xy 114.445932 89.37881) + (xy 114.442498 89.371658) + (xy 114.439865 89.368526) + (xy 114.437931 89.366592) + (xy 114.436107 89.364603) + (xy 114.436215 89.364504) + (xy 114.435468 89.363657) + (xy 114.432433 89.358032) + (xy 114.415191 89.342093) + (xy 114.393745 89.322269) + (xy 114.390179 89.31884) + (xy 114.036181 88.964842) + (xy 118.445208 88.964842) + (xy 118.446651 88.971515) + (xy 118.446651 88.971517) + (xy 118.482052 89.13525) + (xy 118.484441 89.1463) + (xy 118.561724 89.315101) + (xy 118.565828 89.320547) + (xy 118.565829 89.320549) + (xy 118.626967 89.401681) + (xy 118.673451 89.463368) + (xy 118.814411 89.584185) + (xy 118.978026 89.671915) + (xy 118.984594 89.673773) + (xy 118.984595 89.673774) + (xy 119.150095 89.720606) + (xy 119.150097 89.720606) + (xy 119.156662 89.722464) + (xy 119.163471 89.722869) + (xy 119.163474 89.722869) + (xy 119.304791 89.731264) + (xy 119.341986 89.733474) + (xy 119.525352 89.704432) + (xy 119.534115 89.700998) + (xy 119.642571 89.658494) + (xy 119.698203 89.636692) + (xy 119.703871 89.632898) + (xy 119.703876 89.632895) + (xy 119.802573 89.566822) + (xy 119.852476 89.533415) + (xy 119.924886 89.457907) + (xy 120.997461 89.457907) + (xy 121.004094 89.469884) + (xy 121.637188 90.102978) + (xy 121.651132 90.110592) + (xy 121.652965 90.110461) + (xy 121.65958 90.10621) + (xy 122.283188 89.482602) + (xy 122.296 89.45914) + (xy 122.296 89.380115) + (xy 122.291525 89.364876) + (xy 122.290135 89.363671) + (xy 122.282452 89.362) + (xy 122.146809 89.362) + (xy 122.096164 89.351373) + (xy 122.042039 89.327614) + (xy 122.031444 89.324048) + (xy 121.832656 89.276323) + (xy 121.821594 89.27469) + (xy 121.617506 89.262922) + (xy 121.606322 89.263274) + (xy 121.403375 89.287833) + (xy 121.392441 89.290157) + (xy 121.197042 89.350269) + (xy 121.186695 89.354491) + (xy 121.005024 89.448258) + (xy 121.004061 89.44887) + (xy 120.997461 89.457907) + (xy 119.924886 89.457907) + (xy 119.980973 89.39942) + (xy 119.985092 89.392673) + (xy 120.064077 89.263274) + (xy 120.077699 89.240957) + (xy 120.109051 89.149904) + (xy 120.135918 89.071878) + (xy 120.135919 89.071875) + (xy 120.138141 89.065421) + (xy 120.139845 89.050698) + (xy 120.156573 88.906116) + (xy 120.159479 88.881) + (xy 120.1595 88.875) + (xy 120.158729 88.867897) + (xy 120.140187 88.69722) + (xy 120.13945 88.690435) + (xy 120.080235 88.514481) + (xy 120.074454 88.504859) + (xy 119.999815 88.380641) + (xy 119.984617 88.355347) + (xy 119.97795 88.348296) + (xy 119.916178 88.282975) + (xy 119.857059 88.220458) + (xy 119.848793 88.21484) + (xy 119.709153 88.119941) + (xy 119.709151 88.11994) + (xy 119.703511 88.116107) + (xy 119.697182 88.113575) + (xy 119.697179 88.113574) + (xy 119.537478 88.049698) + (xy 119.537476 88.049697) + (xy 119.531137 88.047162) + (xy 119.406668 88.026556) + (xy 119.354716 88.017955) + (xy 119.354713 88.017955) + (xy 119.347979 88.01684) + (xy 119.341162 88.017197) + (xy 119.341158 88.017197) + (xy 119.184627 88.025401) + (xy 119.162582 88.026556) + (xy 119.156008 88.028367) + (xy 119.156007 88.028367) + (xy 119.121111 88.037979) + (xy 118.983597 88.075857) + (xy 118.819374 88.162442) + (xy 118.677574 88.282272) + (xy 118.673427 88.287696) + (xy 118.673426 88.287697) + (xy 118.568958 88.424335) + (xy 118.568955 88.424339) + (xy 118.564814 88.429756) + (xy 118.486355 88.598013) + (xy 118.461763 88.708029) + (xy 118.449097 88.764695) + (xy 118.445856 88.779192) + (xy 118.445832 88.786021) + (xy 118.445832 88.786022) + (xy 118.445734 88.814102) + (xy 118.445208 88.964842) + (xy 114.036181 88.964842) + (xy 113.777237 88.705898) + (xy 113.763924 88.689415) + (xy 113.761548 88.686804) + (xy 113.755897 88.678052) + (xy 113.73099 88.658417) + (xy 113.727159 88.655013) + (xy 113.727066 88.655123) + (xy 113.723109 88.65177) + (xy 113.719428 88.648089) + (xy 113.705376 88.638047) + (xy 113.70063 88.634483) + (xy 113.67137 88.611416) + (xy 113.671368 88.611415) + (xy 113.66319 88.604968) + (xy 113.655121 88.602134) + (xy 113.648159 88.597159) + (xy 113.638185 88.594176) + (xy 113.62883 88.589593) + (xy 113.629544 88.588136) + (xy 113.579868 88.555861) + (xy 113.550695 88.491135) + (xy 113.5495 88.473822) + (xy 113.5495 88.02) + (xy 113.529747 87.920694) + (xy 113.522852 87.910375) + (xy 113.518103 87.89891) + (xy 113.522151 87.897233) + (xy 113.508069 87.852201) + (xy 113.526878 87.783741) + (xy 113.579713 87.736318) + (xy 113.63405 87.724) + (xy 124.845839 87.724) + (xy 124.91396 87.744002) + (xy 124.960453 87.797658) + (xy 124.970557 87.867932) + (xy 124.941063 87.932512) + (xy 124.897995 87.964698) + (xy 124.847069 87.987853) + (xy 124.737632 88.082149) + (xy 124.65906 88.203371) + (xy 124.639171 88.269876) + (xy 124.6203 88.332977) + (xy 124.617669 88.341773) + (xy 124.617073 88.349794) + (xy 124.617073 89.526996) + (xy 124.622858 89.567391) + (xy 124.631127 89.625129) + (xy 124.632552 89.635082) + (xy 124.684246 89.748776) + (xy 124.694232 89.819065) + (xy 124.664632 89.883597) + (xy 124.65864 89.890021) + (xy 124.528095 90.020566) + (xy 124.465783 90.054592) + (xy 124.394968 90.049527) + (xy 124.338132 90.00698) + (xy 124.313321 89.94046) + (xy 124.313 89.931471) + (xy 124.313 89.877257) + (xy 124.312839 89.87275) + (xy 124.30826 89.808731) + (xy 124.305874 89.795509) + (xy 124.269181 89.670542) + (xy 124.261767 89.654308) + (xy 124.192574 89.54664) + (xy 124.180888 89.533153) + (xy 124.08416 89.449338) + (xy 124.069152 89.439693) + (xy 123.952725 89.386523) + (xy 123.935612 89.381498) + (xy 123.804446 89.362639) + (xy 123.795505 89.362) + (xy 122.822115 89.362) + (xy 122.806876 89.366475) + (xy 122.805671 89.367865) + (xy 122.804 89.375548) + (xy 122.804 90.603) + (xy 122.783998 90.671121) + (xy 122.730342 90.717614) + (xy 122.678 90.729) + (xy 122.422 90.729) + (xy 122.353879 90.708998) + (xy 122.307386 90.655342) + (xy 122.296 90.603) + (xy 122.296 90.206325) + (xy 122.292763 90.1953) + (xy 122.285672 90.198538) + (xy 122.022022 90.462188) + (xy 122.014408 90.476132) + (xy 122.014539 90.477965) + (xy 122.01879 90.48458) + (xy 122.622979 91.088769) + (xy 122.657005 91.151081) + (xy 122.65194 91.221896) + (xy 122.622979 91.266959) + (xy 122.441959 91.447979) + (xy 122.379647 91.482005) + (xy 122.308832 91.47694) + (xy 122.263769 91.447979) + (xy 121.662812 90.847022) + (xy 121.648868 90.839408) + (xy 121.647035 90.839539) + (xy 121.64042 90.84379) + (xy 121.0063 91.47791) + (xy 120.99954 91.49029) + (xy 121.004821 91.497344) + (xy 121.128683 91.569723) + (xy 121.138798 91.574482) + (xy 121.212085 91.601302) + (xy 121.269182 91.643497) + (xy 121.294404 91.709862) + (xy 121.279742 91.779328) + (xy 121.257878 91.808723) + (xy 121.25721 91.809391) + (xy 121.253227 91.812903) + (xy 121.2476 91.815939) + (xy 121.240529 91.823588) + (xy 121.240528 91.823589) + (xy 121.211837 91.854627) + (xy 121.208408 91.858193) + (xy 120.285898 92.780703) + (xy 120.269415 92.794016) + (xy 120.266804 92.796392) + (xy 120.258052 92.802043) + (xy 120.240769 92.823967) + (xy 120.238417 92.82695) + (xy 120.235013 92.830781) + (xy 120.235123 92.830874) + (xy 120.23177 92.834831) + (xy 120.228089 92.838512) + (xy 120.225065 92.842744) + (xy 120.218047 92.852564) + (xy 120.214483 92.85731) + (xy 120.191416 92.88657) + (xy 120.191415 92.886572) + (xy 120.184968 92.89475) + (xy 120.182134 92.902819) + (xy 120.177159 92.909781) + (xy 120.174176 92.919756) + (xy 120.163492 92.955479) + (xy 120.161665 92.961104) + (xy 120.145853 93.006131) + (xy 120.1455 93.010207) + (xy 120.1455 93.012916) + (xy 120.145382 93.015649) + (xy 120.145237 93.015643) + (xy 120.145167 93.016757) + (xy 120.143335 93.022882) + (xy 120.144607 93.055269) + (xy 120.145403 93.075524) + (xy 120.1455 93.08047) + (xy 120.1455 95.208852) + (xy 120.125498 95.276973) + (xy 120.071842 95.323466) + (xy 120.001568 95.33357) + (xy 119.936988 95.304076) + (xy 119.927952 95.295425) + (xy 119.868179 95.232217) + (xy 119.857059 95.220458) + (xy 119.845291 95.21246) + (xy 119.709153 95.119941) + (xy 119.709151 95.11994) + (xy 119.703511 95.116107) + (xy 119.697182 95.113575) + (xy 119.697179 95.113574) + (xy 119.537478 95.049698) + (xy 119.537476 95.049697) + (xy 119.531137 95.047162) + (xy 119.406668 95.026556) + (xy 119.354716 95.017955) + (xy 119.354713 95.017955) + (xy 119.347979 95.01684) + (xy 119.341162 95.017197) + (xy 119.341158 95.017197) + (xy 119.188323 95.025207) + (xy 119.162582 95.026556) + (xy 119.156008 95.028367) + (xy 119.156007 95.028367) + (xy 119.053273 95.056665) + (xy 118.983597 95.075857) + (xy 118.977558 95.079041) + (xy 118.975646 95.080049) + (xy 118.819374 95.162442) + (xy 118.677574 95.282272) + (xy 118.673427 95.287696) + (xy 118.673426 95.287697) + (xy 118.568958 95.424335) + (xy 118.568955 95.424339) + (xy 118.564814 95.429756) + (xy 118.486355 95.598013) + (xy 118.445856 95.779192) + (xy 118.445832 95.786021) + (xy 118.445832 95.786022) + (xy 118.445645 95.839693) + (xy 118.445208 95.964842) + (xy 118.446651 95.971515) + (xy 118.446651 95.971517) + (xy 118.482947 96.139388) + (xy 118.484441 96.1463) + (xy 118.561724 96.315101) + (xy 118.565828 96.320547) + (xy 118.565829 96.320549) + (xy 118.630759 96.406714) + (xy 118.673451 96.463368) + (xy 118.814411 96.584185) + (xy 118.978026 96.671915) + (xy 118.984594 96.673773) + (xy 118.984595 96.673774) + (xy 119.150095 96.720606) + (xy 119.150097 96.720606) + (xy 119.156662 96.722464) + (xy 119.163471 96.722869) + (xy 119.163474 96.722869) + (xy 119.304791 96.731264) + (xy 119.341986 96.733474) + (xy 119.525352 96.704432) + (xy 119.544299 96.697007) + (xy 119.651331 96.655061) + (xy 119.698203 96.636692) + (xy 119.703871 96.632898) + (xy 119.703876 96.632895) + (xy 119.802015 96.567196) + (xy 119.852476 96.533415) + (xy 119.961247 96.41999) + (xy 120.022833 96.384667) + (xy 120.09374 96.388248) + (xy 120.151454 96.429595) + (xy 120.163073 96.447362) + (xy 120.182545 96.483449) + (xy 120.185232 96.488724) + (xy 120.202444 96.52457) + (xy 120.202446 96.524573) + (xy 120.205875 96.531714) + (xy 120.208507 96.534846) + (xy 120.210452 96.536791) + (xy 120.212274 96.538778) + (xy 120.212166 96.538877) + (xy 120.212903 96.539713) + (xy 120.215939 96.54534) + (xy 120.223588 96.552411) + (xy 120.223589 96.552412) + (xy 120.254627 96.581103) + (xy 120.258193 96.584532) + (xy 120.712052 97.038391) + (xy 120.746078 97.100703) + (xy 120.739069 97.172678) + (xy 120.739879 97.172935) + (xy 120.738675 97.176732) + (xy 120.738598 97.177518) + (xy 120.735414 97.184876) + (xy 120.734108 97.191126) + (xy 120.734108 97.191127) + (xy 120.698218 97.362922) + (xy 120.695756 97.374705) + (xy 120.695436 97.466475) + (xy 120.695129 97.554446) + (xy 120.695079 97.568631) + (xy 120.710566 97.64544) + (xy 120.731272 97.748127) + (xy 120.73341 97.758732) + (xy 120.735905 97.764609) + (xy 120.735905 97.76461) + (xy 120.804418 97.926014) + (xy 120.809184 97.937243) + (xy 120.919304 98.096871) + (xy 121.059273 98.231096) + (xy 121.115167 98.266294) + (xy 121.217965 98.33103) + (xy 121.217969 98.331032) + (xy 121.223373 98.334435) + (xy 121.4049 98.402667) + (xy 121.411204 98.403665) + (xy 121.411206 98.403666) + (xy 121.498071 98.417424) + (xy 121.596439 98.433004) + (xy 121.790167 98.424207) + (xy 121.806963 98.419957) + (xy 121.97198 98.378201) + (xy 121.971982 98.3782) + (xy 121.978168 98.376635) + (xy 121.983915 98.373857) + (xy 122.039347 98.34706) + (xy 122.094186 98.3345) + (xy 123.8 98.3345) + (xy 123.899306 98.314747) + (xy 123.983494 98.258494) + (xy 124.039747 98.174306) + (xy 124.0595 98.075) + (xy 124.0595 96.875) + (xy 124.039747 96.775694) + (xy 123.999347 96.715231) + (xy 123.990387 96.701822) + (xy 123.983494 96.691506) + (xy 123.899306 96.635253) + (xy 123.8 96.6155) + (xy 122.094706 96.6155) + (xy 122.039076 96.602554) + (xy 121.99019 96.578499) + (xy 121.990182 96.578496) + (xy 121.984455 96.575678) + (xy 121.978277 96.574069) + (xy 121.978275 96.574068) + (xy 121.802972 96.528405) + (xy 121.802969 96.528405) + (xy 121.79679 96.526795) + (xy 121.713491 96.52243) + (xy 121.60951 96.51698) + (xy 121.609506 96.51698) + (xy 121.603129 96.516646) + (xy 121.411382 96.545644) + (xy 121.405387 96.54785) + (xy 121.405386 96.54785) + (xy 121.348548 96.568762) + (xy 121.27771 96.573512) + (xy 121.215946 96.539607) + (xy 120.891405 96.215066) + (xy 120.857379 96.152754) + (xy 120.8545 96.125971) + (xy 120.8545 94.328629) + (xy 120.874502 94.260508) + (xy 120.928158 94.214015) + (xy 120.998432 94.203911) + (xy 121.056935 94.228854) + (xy 121.059273 94.231096) + (xy 121.117868 94.267995) + (xy 121.217965 94.33103) + (xy 121.217969 94.331032) + (xy 121.223373 94.334435) + (xy 121.4049 94.402667) + (xy 121.411204 94.403665) + (xy 121.411206 94.403666) + (xy 121.489819 94.416117) + (xy 121.596439 94.433004) + (xy 121.790167 94.424207) + (xy 121.81376 94.418237) + (xy 121.97198 94.378201) + (xy 121.971982 94.3782) + (xy 121.978168 94.376635) + (xy 121.983915 94.373857) + (xy 122.039347 94.34706) + (xy 122.094186 94.3345) + (xy 123.792033 94.3345) + (xy 123.860154 94.354502) + (xy 123.906647 94.408158) + (xy 123.918033 94.4605) + (xy 123.918033 94.953825) + (xy 123.915789 94.974912) + (xy 123.915623 94.978429) + (xy 123.913432 94.988607) + (xy 123.917137 95.019909) + (xy 123.91716 95.020102) + (xy 123.917462 95.025219) + (xy 123.917605 95.025207) + (xy 123.918033 95.030385) + (xy 123.918033 95.035582) + (xy 123.918886 95.040706) + (xy 123.920869 95.052625) + (xy 123.921704 95.058497) + (xy 123.927308 95.105839) + (xy 123.93101 95.113548) + (xy 123.932415 95.12199) + (xy 123.937361 95.131157) + (xy 123.937362 95.131159) + (xy 123.955068 95.163973) + (xy 123.957765 95.169266) + (xy 123.974977 95.205112) + (xy 123.974979 95.205115) + (xy 123.978408 95.212256) + (xy 123.98104 95.215388) + (xy 123.982985 95.217333) + (xy 123.984807 95.21932) + (xy 123.984699 95.219419) + (xy 123.985436 95.220255) + (xy 123.988472 95.225882) + (xy 123.996121 95.232953) + (xy 123.996122 95.232954) + (xy 124.02716 95.261645) + (xy 124.030726 95.265074) + (xy 124.681251 95.915599) + (xy 124.715277 95.977911) + (xy 124.716944 95.989513) + (xy 124.717073 95.989495) + (xy 124.72997 96.079546) + (xy 124.73205 96.094073) + (xy 124.735765 96.102243) + (xy 124.735765 96.102244) + (xy 124.740457 96.112564) + (xy 124.790374 96.222351) + (xy 124.796232 96.22915) + (xy 124.796235 96.229154) + (xy 124.876498 96.322303) + (xy 124.876501 96.322305) + (xy 124.882358 96.329103) + (xy 124.969043 96.38529) + (xy 125.015324 96.439122) + (xy 125.025155 96.509435) + (xy 124.995411 96.573901) + (xy 124.952661 96.605719) + (xy 124.95002 96.60692) + (xy 124.941304 96.610883) + (xy 124.934505 96.616741) + (xy 124.934501 96.616744) + (xy 124.841352 96.697007) + (xy 124.84135 96.69701) + (xy 124.834552 96.702867) + (xy 124.757908 96.821114) + (xy 124.755336 96.829716) + (xy 124.755334 96.829719) + (xy 124.721232 96.943749) + (xy 124.717532 96.956121) + (xy 124.717073 96.962298) + (xy 124.717073 97.664495) + (xy 124.725477 97.723178) + (xy 124.729962 97.754491) + (xy 124.73205 97.769073) + (xy 124.735765 97.777243) + (xy 124.735765 97.777244) + (xy 124.739536 97.785538) + (xy 124.790374 97.897351) + (xy 124.824748 97.937243) + (xy 124.828931 97.942098) + (xy 124.858246 98.006761) + (xy 124.847947 98.077006) + (xy 124.802564 98.129719) + (xy 124.733785 98.174813) + (xy 124.722633 98.184137) + (xy 124.61316 98.299699) + (xy 124.604447 98.311346) + (xy 124.524495 98.448992) + (xy 124.518696 98.462329) + (xy 124.472309 98.615489) + (xy 124.469859 98.628121) + (xy 124.463822 98.695764) + (xy 124.463573 98.701359) + (xy 124.463573 98.782467) + (xy 124.468048 98.797706) + (xy 124.469438 98.798911) + (xy 124.477121 98.800582) + (xy 127.474573 98.800582) + (xy 127.542694 98.820584) + (xy 127.589187 98.87424) + (xy 127.600573 98.926582) + (xy 127.600573 100.081967) + (xy 127.605048 100.097206) + (xy 127.606438 100.098411) + (xy 127.614121 100.100082) + (xy 127.623296 100.100082) + (xy 127.6306 100.099657) + (xy 127.749453 100.085801) + (xy 127.763605 100.082456) + (xy 127.913237 100.028142) + (xy 127.926236 100.021632) + (xy 128.059361 99.934351) + (xy 128.070513 99.925027) + (xy 128.179986 99.809465) + (xy 128.188699 99.797818) + (xy 128.257686 99.67905) + (xy 128.309197 99.630192) + (xy 128.378946 99.616938) + (xy 128.418982 99.627722) + (xy 128.497275 99.663477) + (xy 128.514388 99.668502) + (xy 128.645554 99.687361) + (xy 128.654495 99.688) + (xy 129.627885 99.688) + (xy 129.643124 99.683525) + (xy 129.644329 99.682135) + (xy 129.646 99.674452) + (xy 129.646 99.59086) + (xy 130.154 99.59086) + (xy 130.154 99.669885) + (xy 130.158475 99.685124) + (xy 130.159865 99.686329) + (xy 130.167548 99.688) + (xy 130.303404 99.688) + (xy 130.346705 99.695674) + (xy 130.480788 99.744742) + (xy 130.491573 99.747632) + (xy 130.692975 99.782782) + (xy 130.704109 99.783717) + (xy 130.908538 99.782646) + (xy 130.919673 99.781594) + (xy 131.12068 99.744339) + (xy 131.131451 99.741332) + (xy 131.322689 99.669069) + (xy 131.325835 99.667548) + (xy 152.812 99.667548) + (xy 152.812 100.172743) + (xy 152.812161 100.17725) + (xy 152.81674 100.241269) + (xy 152.819126 100.254491) + (xy 152.855819 100.379458) + (xy 152.863233 100.395692) + (xy 152.932426 100.50336) + (xy 152.944112 100.516847) + (xy 153.04084 100.600662) + (xy 153.055848 100.610307) + (xy 153.172275 100.663477) + (xy 153.189388 100.668502) + (xy 153.320554 100.687361) + (xy 153.329495 100.688) + (xy 153.552885 100.688) + (xy 153.568124 100.683525) + (xy 153.569329 100.682135) + (xy 153.571 100.674452) + (xy 153.571 99.672115) + (xy 153.569659 99.667548) + (xy 154.079 99.667548) + (xy 154.079 100.669885) + (xy 154.083475 100.685124) + (xy 154.084865 100.686329) + (xy 154.092548 100.688) + (xy 154.322743 100.688) + (xy 154.32725 100.687839) + (xy 154.391269 100.68326) + (xy 154.404491 100.680874) + (xy 154.529458 100.644181) + (xy 154.545692 100.636767) + (xy 154.65336 100.567574) + (xy 154.666847 100.555888) + (xy 154.750662 100.45916) + (xy 154.760307 100.444152) + (xy 154.813477 100.327725) + (xy 154.818502 100.310612) + (xy 154.837361 100.179446) + (xy 154.838 100.170503) + (xy 154.838 99.672115) + (xy 154.833525 99.656876) + (xy 154.832135 99.655671) + (xy 154.824452 99.654) + (xy 154.097115 99.654) + (xy 154.081876 99.658475) + (xy 154.080671 99.659865) + (xy 154.079 99.667548) + (xy 153.569659 99.667548) + (xy 153.566525 99.656876) + (xy 153.565135 99.655671) + (xy 153.557452 99.654) + (xy 152.830115 99.654) + (xy 152.814876 99.658475) + (xy 152.813671 99.659865) + (xy 152.812 99.667548) + (xy 131.325835 99.667548) + (xy 131.332749 99.664206) + (xy 131.440599 99.599659) + (xy 131.45017 99.5893) + (xy 131.446627 99.580837) + (xy 131.170838 99.305048) + (xy 171.367 99.305048) + (xy 171.367 99.414223) + (xy 171.367424 99.421524) + (xy 171.381617 99.543255) + (xy 171.384963 99.55741) + (xy 171.440263 99.709761) + (xy 171.446773 99.72276) + (xy 171.535641 99.858306) + (xy 171.544965 99.869458) + (xy 171.662629 99.980922) + (xy 171.674276 99.989635) + (xy 171.814429 100.071043) + (xy 171.827754 100.076837) + (xy 171.9837 100.124068) + (xy 171.996323 100.126516) + (xy 172.066184 100.132751) + (xy 172.071779 100.133) + (xy 172.327885 100.133) + (xy 172.343124 100.128525) + (xy 172.344329 100.127135) + (xy 172.346 100.119452) + (xy 172.346 99.309615) + (xy 172.344659 99.305048) + (xy 172.854 99.305048) + (xy 172.854 100.114885) + (xy 172.858475 100.130124) + (xy 172.859865 100.131329) + (xy 172.867548 100.133) + (xy 173.114223 100.133) + (xy 173.121524 100.132576) + (xy 173.243255 100.118383) + (xy 173.25741 100.115037) + (xy 173.409761 100.059737) + (xy 173.42276 100.053227) + (xy 173.558306 99.964359) + (xy 173.569458 99.955035) + (xy 173.680922 99.837371) + (xy 173.689635 99.825724) + (xy 173.771043 99.685571) + (xy 173.776837 99.672246) + (xy 173.824068 99.5163) + (xy 173.826516 99.503677) + (xy 173.832751 99.433816) + (xy 173.833 99.428221) + (xy 173.833 99.309615) + (xy 173.828525 99.294376) + (xy 173.827135 99.293171) + (xy 173.819452 99.2915) + (xy 172.872115 99.2915) + (xy 172.856876 99.295975) + (xy 172.855671 99.297365) + (xy 172.854 99.305048) + (xy 172.344659 99.305048) + (xy 172.341525 99.294376) + (xy 172.340135 99.293171) + (xy 172.332452 99.2915) + (xy 171.385115 99.2915) + (xy 171.369876 99.295975) + (xy 171.368671 99.297365) + (xy 171.367 99.305048) + (xy 131.170838 99.305048) + (xy 130.812812 98.947022) + (xy 130.798868 98.939408) + (xy 130.797035 98.939539) + (xy 130.79042 98.94379) + (xy 130.166812 99.567398) + (xy 130.154 99.59086) + (xy 129.646 99.59086) + (xy 129.646 98.302814) + (xy 130.154 98.302814) + (xy 130.154 98.843675) + (xy 130.157237 98.8547) + (xy 130.164328 98.851462) + (xy 130.427978 98.587812) + (xy 130.434356 98.576132) + (xy 131.164408 98.576132) + (xy 131.164539 98.577965) + (xy 131.16879 98.58458) + (xy 131.804608 99.220398) + (xy 131.816988 99.227158) + (xy 131.823252 99.222469) + (xy 131.910562 99.061666) + (xy 131.914995 99.05142) + (xy 131.979191 98.857312) + (xy 131.981741 98.846439) + (xy 132.010827 98.642075) + (xy 132.011433 98.634859) + (xy 132.012905 98.578632) + (xy 132.012677 98.571379) + (xy 131.994328 98.365791) + (xy 131.992346 98.354777) + (xy 131.938403 98.157593) + (xy 131.934509 98.14712) + (xy 131.846493 97.962592) + (xy 131.840809 97.952981) + (xy 131.826468 97.933024) + (xy 131.815678 97.924614) + (xy 131.802642 97.931568) + (xy 131.172022 98.562188) + (xy 131.164408 98.576132) + (xy 130.434356 98.576132) + (xy 130.435592 98.573868) + (xy 130.435461 98.572035) + (xy 130.43121 98.56542) + (xy 130.166812 98.301022) + (xy 130.156723 98.295513) + (xy 130.154 98.302814) + (xy 129.646 98.302814) + (xy 129.646 97.480115) + (xy 129.644659 97.475548) + (xy 130.154 97.475548) + (xy 130.154 97.551675) + (xy 130.16153 97.57732) + (xy 130.787188 98.202978) + (xy 130.801132 98.210592) + (xy 130.802965 98.210461) + (xy 130.80958 98.20621) + (xy 131.442958 97.572832) + (xy 131.449183 97.561433) + (xy 131.439373 97.549002) + (xy 131.389024 97.515169) + (xy 131.379229 97.509785) + (xy 131.19204 97.427614) + (xy 131.181441 97.424047) + (xy 130.982656 97.376323) + (xy 130.971594 97.37469) + (xy 130.767506 97.362922) + (xy 130.756322 97.363274) + (xy 130.553375 97.387833) + (xy 130.542441 97.390157) + (xy 130.347041 97.450269) + (xy 130.341183 97.45266) + (xy 130.293576 97.462) + (xy 130.172115 97.462) + (xy 130.156876 97.466475) + (xy 130.155671 97.467865) + (xy 130.154 97.475548) + (xy 129.644659 97.475548) + (xy 129.641525 97.464876) + (xy 129.640135 97.463671) + (xy 129.632452 97.462) + (xy 128.652257 97.462) + (xy 128.64775 97.462161) + (xy 128.583731 97.46674) + (xy 128.570509 97.469126) + (xy 128.445542 97.505819) + (xy 128.429308 97.513233) + (xy 128.32164 97.582426) + (xy 128.308153 97.594112) + (xy 128.297298 97.60664) + (xy 128.237572 97.645024) + (xy 128.166575 97.645024) + (xy 128.106849 97.606641) + (xy 128.077356 97.54206) + (xy 128.076073 97.524128) + (xy 128.076073 96.994669) + (xy 128.061096 96.890091) + (xy 128.002772 96.761813) + (xy 127.996914 96.755014) + (xy 127.996911 96.75501) + (xy 127.916648 96.661861) + (xy 127.916645 96.661859) + (xy 127.910788 96.655061) + (xy 127.824103 96.598874) + (xy 127.777822 96.545042) + (xy 127.767991 96.474729) + (xy 127.797735 96.410263) + (xy 127.840485 96.378445) + (xy 127.843667 96.376998) + (xy 127.851842 96.373281) + (xy 127.858641 96.367423) + (xy 127.858645 96.36742) + (xy 127.951794 96.287157) + (xy 127.951796 96.287154) + (xy 127.958594 96.281297) + (xy 128.035238 96.16305) + (xy 128.03781 96.154448) + (xy 128.037812 96.154445) + (xy 128.073658 96.034584) + (xy 128.073658 96.034582) + (xy 128.075614 96.028043) + (xy 128.076073 96.021866) + (xy 128.076073 95.985579) + (xy 128.096075 95.917458) + (xy 128.149731 95.870965) + (xy 128.220005 95.860861) + (xy 128.284585 95.890355) + (xy 128.291168 95.896484) + (xy 128.909671 96.514987) + (xy 128.943697 96.577299) + (xy 128.945076 96.623466) + (xy 128.941919 96.64374) + (xy 128.941919 96.643746) + (xy 128.940538 96.652615) + (xy 128.941702 96.661517) + (xy 128.941702 96.66152) + (xy 128.958104 96.78695) + (xy 128.958105 96.786954) + (xy 128.959269 96.795855) + (xy 128.969879 96.819967) + (xy 128.997139 96.88192) + (xy 129.017449 96.928079) + (xy 129.023226 96.934952) + (xy 129.023227 96.934953) + (xy 129.104491 97.031628) + (xy 129.110402 97.03866) + (xy 129.146427 97.06264) + (xy 129.222148 97.113044) + (xy 129.230655 97.118707) + (xy 129.368541 97.161786) + (xy 129.512975 97.164433) + (xy 129.597071 97.141506) + (xy 129.643688 97.128797) + (xy 129.64369 97.128796) + (xy 129.652347 97.126436) + (xy 129.775452 97.050849) + (xy 129.781474 97.044196) + (xy 129.78148 97.044191) + (xy 129.789709 97.0351) + (xy 129.850253 96.99802) + (xy 129.921233 96.999559) + (xy 129.965888 97.025033) + (xy 129.970333 97.03032) + (xy 130.04809 97.082079) + (xy 130.080763 97.103828) + (xy 130.090586 97.110367) + (xy 130.228472 97.153446) + (xy 130.372906 97.156093) + (xy 130.431583 97.140096) + (xy 130.503619 97.120457) + (xy 130.503621 97.120456) + (xy 130.512278 97.118096) + (xy 130.635383 97.042509) + (xy 130.732326 96.935409) + (xy 130.771322 96.854921) + (xy 130.791398 96.813484) + (xy 130.791398 96.813483) + (xy 130.795312 96.805405) + (xy 130.819279 96.662948) + (xy 130.819431 96.6505) + (xy 130.810396 96.58741) + (xy 130.800226 96.516393) + (xy 130.800225 96.51639) + (xy 130.798952 96.5075) + (xy 130.795147 96.49913) + (xy 130.764444 96.431605) + (xy 130.73916 96.375996) + (xy 130.644864 96.266559) + (xy 130.523642 96.187987) + (xy 130.38524 96.146596) + (xy 130.376266 96.146541) + (xy 130.376264 96.146541) + (xy 130.369023 96.146497) + (xy 130.358628 96.146434) + (xy 130.290631 96.126017) + (xy 130.270301 96.109531) + (xy 128.112978 93.952208) + (xy 128.078952 93.889896) + (xy 128.076073 93.863113) + (xy 128.076073 93.594669) + (xy 128.065451 93.5205) + (xy 128.062369 93.498978) + (xy 128.062368 93.498976) + (xy 128.061096 93.490091) + (xy 128.002772 93.361813) + (xy 127.996914 93.355014) + (xy 127.996911 93.35501) + (xy 127.916648 93.261861) + (xy 127.916645 93.261859) + (xy 127.910788 93.255061) + (xy 127.792541 93.178417) + (xy 127.783939 93.175845) + (xy 127.783936 93.175843) + (xy 127.664075 93.139997) + (xy 127.664073 93.139997) + (xy 127.657534 93.138041) + (xy 127.651357 93.137582) + (xy 127.07416 93.137582) + (xy 127.014194 93.14617) + (xy 126.978469 93.151286) + (xy 126.978467 93.151287) + (xy 126.969582 93.152559) + (xy 126.961412 93.156274) + (xy 126.961411 93.156274) + (xy 126.951901 93.160598) + (xy 126.841304 93.210883) + (xy 126.834505 93.216741) + (xy 126.834501 93.216744) + (xy 126.741352 93.297007) + (xy 126.74135 93.29701) + (xy 126.734552 93.302867) + (xy 126.657908 93.421114) + (xy 126.655336 93.429716) + (xy 126.655334 93.429719) + (xy 126.619488 93.54958) + (xy 126.617532 93.556121) + (xy 126.617073 93.562298) + (xy 126.617073 94.164495) + (xy 126.627099 94.234501) + (xy 126.627682 94.238572) + (xy 126.61754 94.30884) + (xy 126.571017 94.36247) + (xy 126.502886 94.382435) + (xy 126.434776 94.362395) + (xy 126.41386 94.34553) + (xy 126.212978 94.144648) + (xy 126.178952 94.082336) + (xy 126.176073 94.055553) + (xy 126.176073 93.594669) + (xy 126.165451 93.5205) + (xy 126.162369 93.498978) + (xy 126.162368 93.498976) + (xy 126.161096 93.490091) + (xy 126.159123 93.485752) + (xy 126.159199 93.416875) + (xy 126.197649 93.357192) + (xy 126.231742 93.338662) + (xy 126.231089 93.337303) + (xy 126.234439 93.335694) + (xy 126.240052 93.333395) + (xy 126.247231 93.3322) + (xy 126.256398 93.327254) + (xy 126.2564 93.327253) + (xy 126.289214 93.309547) + (xy 126.294507 93.30685) + (xy 126.330353 93.289638) + (xy 126.330356 93.289636) + (xy 126.337497 93.286207) + (xy 126.340629 93.283575) + (xy 126.342574 93.28163) + (xy 126.344561 93.279808) + (xy 126.34466 93.279916) + (xy 126.345496 93.279179) + (xy 126.351123 93.276143) + (xy 126.386903 93.237437) + (xy 126.390332 93.233872) + (xy 126.845717 92.778487) + (xy 126.908029 92.744461) + (xy 126.978844 92.749526) + (xy 127.003344 92.76185) + (xy 127.107862 92.829595) + (xy 127.184067 92.852385) + (xy 127.239723 92.86903) + (xy 127.239725 92.86903) + (xy 127.246264 92.870986) + (xy 127.254285 92.871582) + (xy 128.206487 92.871582) + (xy 128.248976 92.865497) + (xy 128.30568 92.857377) + (xy 128.305683 92.857376) + (xy 128.314573 92.856103) + (xy 128.322749 92.852386) + (xy 128.322751 92.852385) + (xy 128.376806 92.827807) + (xy 128.446077 92.796311) + (xy 128.453186 92.790186) + (xy 128.493464 92.75548) + (xy 128.555514 92.702015) + (xy 128.634086 92.580793) + (xy 128.673209 92.449974) + (xy 128.673521 92.448932) + (xy 128.673521 92.44893) + (xy 128.675477 92.442391) + (xy 128.676073 92.43437) + (xy 128.676073 91.257168) + (xy 128.665563 91.183779) + (xy 128.661868 91.157975) + (xy 128.661867 91.157972) + (xy 128.660594 91.149082) + (xy 128.656303 91.139643) + (xy 128.630222 91.082283) + (xy 128.600802 91.017578) + (xy 128.589222 91.004138) + (xy 128.548797 90.957223) + (xy 128.506506 90.908141) + (xy 128.385284 90.829569) + (xy 128.262782 90.792933) + (xy 128.253423 90.790134) + (xy 128.253421 90.790134) + (xy 128.246882 90.788178) + (xy 128.238861 90.787582) + (xy 127.286659 90.787582) + (xy 127.24417 90.793667) + (xy 127.187466 90.801787) + (xy 127.187463 90.801788) + (xy 127.178573 90.803061) + (xy 127.170397 90.806778) + (xy 127.170395 90.806779) + (xy 127.143526 90.818996) + (xy 127.047069 90.862853) + (xy 126.937632 90.957149) + (xy 126.85906 91.078371) + (xy 126.834673 91.159915) + (xy 126.823858 91.19608) + (xy 126.817669 91.216773) + (xy 126.817073 91.224794) + (xy 126.817073 91.752263) + (xy 126.797071 91.820384) + (xy 126.780168 91.841358) + (xy 126.691168 91.930358) + (xy 126.628856 91.964384) + (xy 126.558041 91.959319) + (xy 126.501205 91.916772) + (xy 126.476394 91.850252) + (xy 126.476073 91.841263) + (xy 126.476073 91.257168) + (xy 126.465563 91.183779) + (xy 126.461868 91.157975) + (xy 126.461867 91.157972) + (xy 126.460594 91.149082) + (xy 126.456303 91.139643) + (xy 126.430222 91.082283) + (xy 126.400802 91.017578) + (xy 126.389222 91.004138) + (xy 126.348797 90.957223) + (xy 126.306506 90.908141) + (xy 126.260485 90.878312) + (xy 126.214204 90.824479) + (xy 126.204373 90.754166) + (xy 126.234117 90.689701) + (xy 126.293991 90.651549) + (xy 126.32902 90.646582) + (xy 126.357356 90.646582) + (xy 126.378443 90.648826) + (xy 126.38196 90.648992) + (xy 126.392138 90.651183) + (xy 126.423636 90.647455) + (xy 126.42875 90.647153) + (xy 126.428738 90.64701) + (xy 126.433916 90.646582) + (xy 126.439113 90.646582) + (xy 126.448938 90.644947) + (xy 126.456156 90.643746) + (xy 126.462028 90.642911) + (xy 126.470211 90.641942) + (xy 126.50937 90.637307) + (xy 126.517079 90.633605) + (xy 126.525521 90.6322) + (xy 126.534688 90.627254) + (xy 126.53469 90.627253) + (xy 126.567504 90.609547) + (xy 126.572797 90.60685) + (xy 126.608643 90.589638) + (xy 126.608646 90.589636) + (xy 126.615787 90.586207) + (xy 126.618919 90.583575) + (xy 126.620864 90.58163) + (xy 126.622851 90.579808) + (xy 126.62295 90.579916) + (xy 126.623786 90.579179) + (xy 126.629413 90.576143) + (xy 126.639263 90.565488) + (xy 126.657685 90.545559) + (xy 134.289541 90.545559) + (xy 134.290243 90.556711) + (xy 134.321165 90.758795) + (xy 134.323831 90.769648) + (xy 134.39005 90.96306) + (xy 134.394601 90.97328) + (xy 134.474435 91.116714) + (xy 134.484487 91.126605) + (xy 134.492091 91.123699) + (xy 135.127978 90.487812) + (xy 135.135592 90.473868) + (xy 135.135461 90.472035) + (xy 135.13121 90.46542) + (xy 134.497897 89.832107) + (xy 134.485517 89.825347) + (xy 134.477545 89.831315) + (xy 134.422194 89.919551) + (xy 134.417114 89.929521) + (xy 134.340864 90.119198) + (xy 134.337633 90.129898) + (xy 134.296177 90.330084) + (xy 134.294892 90.341191) + (xy 134.289541 90.545559) + (xy 126.657685 90.545559) + (xy 126.665176 90.537455) + (xy 126.668605 90.533889) + (xy 127.169007 90.033487) + (xy 127.231319 89.999461) + (xy 127.258102 89.996582) + (xy 128.206487 89.996582) + (xy 128.248976 89.990497) + (xy 128.30568 89.982377) + (xy 128.305683 89.982376) + (xy 128.314573 89.981103) + (xy 128.322749 89.977386) + (xy 128.322751 89.977385) + (xy 128.374708 89.953761) + (xy 128.446077 89.921311) + (xy 128.555514 89.827015) + (xy 128.634086 89.705793) + (xy 128.675477 89.567391) + (xy 128.676073 89.55937) + (xy 128.676073 88.964842) + (xy 132.295208 88.964842) + (xy 132.296651 88.971515) + (xy 132.296651 88.971517) + (xy 132.332052 89.13525) + (xy 132.334441 89.1463) + (xy 132.411724 89.315101) + (xy 132.415828 89.320547) + (xy 132.415829 89.320549) + (xy 132.476967 89.401681) + (xy 132.523451 89.463368) + (xy 132.664411 89.584185) + (xy 132.828026 89.671915) + (xy 132.834594 89.673773) + (xy 132.834595 89.673774) + (xy 133.000095 89.720606) + (xy 133.000097 89.720606) + (xy 133.006662 89.722464) + (xy 133.013471 89.722869) + (xy 133.013474 89.722869) + (xy 133.154791 89.731264) + (xy 133.191986 89.733474) + (xy 133.375352 89.704432) + (xy 133.384115 89.700998) + (xy 133.492571 89.658494) + (xy 133.548203 89.636692) + (xy 133.553871 89.632898) + (xy 133.553876 89.632895) + (xy 133.652573 89.566822) + (xy 133.702476 89.533415) + (xy 133.774886 89.457907) + (xy 134.847461 89.457907) + (xy 134.854094 89.469884) + (xy 135.487188 90.102978) + (xy 135.501132 90.110592) + (xy 135.502965 90.110461) + (xy 135.50958 90.10621) + (xy 136.133188 89.482602) + (xy 136.146 89.45914) + (xy 136.146 89.380115) + (xy 136.141525 89.364876) + (xy 136.140135 89.363671) + (xy 136.132452 89.362) + (xy 135.996809 89.362) + (xy 135.946164 89.351373) + (xy 135.892039 89.327614) + (xy 135.881444 89.324048) + (xy 135.682656 89.276323) + (xy 135.671594 89.27469) + (xy 135.467506 89.262922) + (xy 135.456322 89.263274) + (xy 135.253375 89.287833) + (xy 135.242441 89.290157) + (xy 135.047042 89.350269) + (xy 135.036695 89.354491) + (xy 134.855024 89.448258) + (xy 134.854061 89.44887) + (xy 134.847461 89.457907) + (xy 133.774886 89.457907) + (xy 133.830973 89.39942) + (xy 133.835092 89.392673) + (xy 133.914077 89.263274) + (xy 133.927699 89.240957) + (xy 133.959051 89.149904) + (xy 133.985918 89.071878) + (xy 133.985919 89.071875) + (xy 133.988141 89.065421) + (xy 133.989845 89.050698) + (xy 134.006573 88.906116) + (xy 134.009479 88.881) + (xy 134.0095 88.875) + (xy 134.008729 88.867897) + (xy 133.990187 88.69722) + (xy 133.98945 88.690435) + (xy 133.930235 88.514481) + (xy 133.924454 88.504859) + (xy 133.849815 88.380641) + (xy 133.834617 88.355347) + (xy 133.82795 88.348296) + (xy 133.766178 88.282975) + (xy 133.707059 88.220458) + (xy 133.698793 88.21484) + (xy 133.559153 88.119941) + (xy 133.559151 88.11994) + (xy 133.553511 88.116107) + (xy 133.547182 88.113575) + (xy 133.547179 88.113574) + (xy 133.387478 88.049698) + (xy 133.387476 88.049697) + (xy 133.381137 88.047162) + (xy 133.256668 88.026556) + (xy 133.204716 88.017955) + (xy 133.204713 88.017955) + (xy 133.197979 88.01684) + (xy 133.191162 88.017197) + (xy 133.191158 88.017197) + (xy 133.034627 88.025401) + (xy 133.012582 88.026556) + (xy 133.006008 88.028367) + (xy 133.006007 88.028367) + (xy 132.971111 88.037979) + (xy 132.833597 88.075857) + (xy 132.669374 88.162442) + (xy 132.527574 88.282272) + (xy 132.523427 88.287696) + (xy 132.523426 88.287697) + (xy 132.418958 88.424335) + (xy 132.418955 88.424339) + (xy 132.414814 88.429756) + (xy 132.336355 88.598013) + (xy 132.311763 88.708029) + (xy 132.299097 88.764695) + (xy 132.295856 88.779192) + (xy 132.295832 88.786021) + (xy 132.295832 88.786022) + (xy 132.295734 88.814102) + (xy 132.295208 88.964842) + (xy 128.676073 88.964842) + (xy 128.676073 88.382168) + (xy 128.668408 88.328647) + (xy 128.661868 88.282975) + (xy 128.661867 88.282972) + (xy 128.660594 88.274082) + (xy 128.654207 88.260033) + (xy 128.62408 88.193775) + (xy 128.600802 88.142578) + (xy 128.506506 88.033141) + (xy 128.387078 87.955732) + (xy 128.340794 87.901897) + (xy 128.330963 87.831584) + (xy 128.360707 87.767118) + (xy 128.420582 87.728967) + (xy 128.45561 87.724) + (xy 138.344973 87.724) + (xy 138.413094 87.744002) + (xy 138.459587 87.797658) + (xy 138.469691 87.867932) + (xy 138.436446 87.936652) + (xy 138.41659 87.957612) + (xy 138.407874 87.969264) + (xy 138.327922 88.10691) + (xy 138.322123 88.120247) + (xy 138.275736 88.273407) + (xy 138.273286 88.286039) + (xy 138.267249 88.353682) + (xy 138.267 88.359277) + (xy 138.267 88.390385) + (xy 138.271475 88.405624) + (xy 138.272865 88.406829) + (xy 138.280548 88.4085) + (xy 140.214885 88.4085) + (xy 140.230124 88.404025) + (xy 140.231329 88.402635) + (xy 140.233 88.394952) + (xy 140.233 88.373277) + (xy 140.232575 88.365973) + (xy 140.218719 88.24712) + (xy 140.215374 88.232968) + (xy 140.16106 88.083336) + (xy 140.15455 88.070337) + (xy 140.067269 87.937212) + (xy 140.061926 87.930821) + (xy 140.033576 87.86573) + (xy 140.044917 87.795645) + (xy 140.092349 87.742817) + (xy 140.15859 87.724) + (xy 140.756583 87.724) + (xy 140.824704 87.744002) + (xy 140.871197 87.797658) + (xy 140.881301 87.867932) + (xy 140.851807 87.932512) + (xy 140.808735 87.964701) + (xy 140.744731 87.993801) + (xy 140.737932 87.999659) + (xy 140.737928 87.999662) + (xy 140.644779 88.079925) + (xy 140.644777 88.079928) + (xy 140.637979 88.085785) + (xy 140.561335 88.204032) + (xy 140.558763 88.212634) + (xy 140.558761 88.212637) + (xy 140.524284 88.327922) + (xy 140.520959 88.339039) + (xy 140.5205 88.345216) + (xy 140.5205 88.651897) + (xy 140.500498 88.720018) + (xy 140.483595 88.740992) + (xy 140.340901 88.883686) + (xy 140.278589 88.917712) + (xy 140.225023 88.917711) + (xy 140.219456 88.9165) + (xy 138.285115 88.9165) + (xy 138.269876 88.920975) + (xy 138.268671 88.922365) + (xy 138.267 88.930048) + (xy 138.267 88.951723) + (xy 138.267425 88.959027) + (xy 138.281281 89.07788) + (xy 138.284626 89.092032) + (xy 138.33894 89.241664) + (xy 138.34545 89.254663) + (xy 138.432731 89.387788) + (xy 138.442055 89.39894) + (xy 138.557617 89.508413) + (xy 138.569264 89.517126) + (xy 138.706908 89.597077) + (xy 138.720346 89.602919) + (xy 138.774843 89.648423) + (xy 138.796088 89.716166) + (xy 138.777335 89.784642) + (xy 138.744259 89.818253) + (xy 138.744731 89.818801) + (xy 138.737932 89.824659) + (xy 138.737931 89.82466) + (xy 138.644779 89.904925) + (xy 138.644777 89.904928) + (xy 138.637979 89.910785) + (xy 138.561335 90.029032) + (xy 138.558763 90.037634) + (xy 138.558761 90.037637) + (xy 138.524213 90.153158) + (xy 138.520959 90.164039) + (xy 138.5205 90.170216) + (xy 138.5205 90.189911) + (xy 138.500498 90.258032) + (xy 138.483595 90.279006) + (xy 138.378095 90.384506) + (xy 138.315783 90.418532) + (xy 138.244968 90.413467) + (xy 138.188132 90.37092) + (xy 138.163321 90.3044) + (xy 138.163 90.295411) + (xy 138.163 89.877257) + (xy 138.162839 89.87275) + (xy 138.15826 89.808731) + (xy 138.155874 89.795509) + (xy 138.119181 89.670542) + (xy 138.111767 89.654308) + (xy 138.042574 89.54664) + (xy 138.030888 89.533153) + (xy 137.93416 89.449338) + (xy 137.919152 89.439693) + (xy 137.802725 89.386523) + (xy 137.785612 89.381498) + (xy 137.654446 89.362639) + (xy 137.645505 89.362) + (xy 136.672115 89.362) + (xy 136.656876 89.366475) + (xy 136.655671 89.367865) + (xy 136.654 89.375548) + (xy 136.654 90.603) + (xy 136.633998 90.671121) + (xy 136.580342 90.717614) + (xy 136.528 90.729) + (xy 136.272 90.729) + (xy 136.203879 90.708998) + (xy 136.157386 90.655342) + (xy 136.146 90.603) + (xy 136.146 90.206325) + (xy 136.142763 90.1953) + (xy 136.135672 90.198538) + (xy 135.872022 90.462188) + (xy 135.864408 90.476132) + (xy 135.864539 90.477965) + (xy 135.86879 90.48458) + (xy 136.472979 91.088769) + (xy 136.507005 91.151081) + (xy 136.50194 91.221896) + (xy 136.472979 91.266959) + (xy 136.381343 91.358595) + (xy 136.319031 91.392621) + (xy 136.292248 91.3955) + (xy 136.11348 91.3955) + (xy 136.045359 91.375498) + (xy 136.024385 91.358595) + (xy 135.512812 90.847022) + (xy 135.498868 90.839408) + (xy 135.497035 90.839539) + (xy 135.49042 90.84379) + (xy 134.8563 91.47791) + (xy 134.84954 91.49029) + (xy 134.854821 91.497344) + (xy 134.978686 91.569724) + (xy 134.986647 91.573471) + (xy 135.039766 91.620576) + (xy 135.058986 91.688922) + (xy 135.038204 91.756809) + (xy 135.022089 91.776572) + (xy 134.135898 92.662763) + (xy 134.119415 92.676076) + (xy 134.116804 92.678452) + (xy 134.108052 92.684103) + (xy 134.089313 92.707874) + (xy 134.088417 92.70901) + (xy 134.085013 92.712841) + (xy 134.085123 92.712934) + (xy 134.08177 92.716891) + (xy 134.078089 92.720572) + (xy 134.075065 92.724804) + (xy 134.068047 92.734624) + (xy 134.064483 92.73937) + (xy 134.041416 92.76863) + (xy 134.041415 92.768632) + (xy 134.034968 92.77681) + (xy 134.032134 92.784879) + (xy 134.027159 92.791841) + (xy 134.017933 92.82269) + (xy 134.013492 92.837539) + (xy 134.011665 92.843164) + (xy 133.995853 92.888191) + (xy 133.9955 92.892267) + (xy 133.9955 92.894976) + (xy 133.995382 92.897709) + (xy 133.995237 92.897703) + (xy 133.995167 92.898817) + (xy 133.993335 92.904942) + (xy 133.994468 92.933788) + (xy 133.995403 92.957584) + (xy 133.9955 92.96253) + (xy 133.9955 95.208852) + (xy 133.975498 95.276973) + (xy 133.921842 95.323466) + (xy 133.851568 95.33357) + (xy 133.786988 95.304076) + (xy 133.777952 95.295425) + (xy 133.718179 95.232217) + (xy 133.707059 95.220458) + (xy 133.695291 95.21246) + (xy 133.559153 95.119941) + (xy 133.559151 95.11994) + (xy 133.553511 95.116107) + (xy 133.547182 95.113575) + (xy 133.547179 95.113574) + (xy 133.387478 95.049698) + (xy 133.387476 95.049697) + (xy 133.381137 95.047162) + (xy 133.256668 95.026556) + (xy 133.204716 95.017955) + (xy 133.204713 95.017955) + (xy 133.197979 95.01684) + (xy 133.191162 95.017197) + (xy 133.191158 95.017197) + (xy 133.038323 95.025207) + (xy 133.012582 95.026556) + (xy 133.006008 95.028367) + (xy 133.006007 95.028367) + (xy 132.903273 95.056665) + (xy 132.833597 95.075857) + (xy 132.827558 95.079041) + (xy 132.825646 95.080049) + (xy 132.669374 95.162442) + (xy 132.527574 95.282272) + (xy 132.523427 95.287696) + (xy 132.523426 95.287697) + (xy 132.418958 95.424335) + (xy 132.418955 95.424339) + (xy 132.414814 95.429756) + (xy 132.336355 95.598013) + (xy 132.295856 95.779192) + (xy 132.295832 95.786021) + (xy 132.295832 95.786022) + (xy 132.295645 95.839693) + (xy 132.295208 95.964842) + (xy 132.296651 95.971515) + (xy 132.296651 95.971517) + (xy 132.332947 96.139388) + (xy 132.334441 96.1463) + (xy 132.411724 96.315101) + (xy 132.415828 96.320547) + (xy 132.415829 96.320549) + (xy 132.480759 96.406714) + (xy 132.523451 96.463368) + (xy 132.664411 96.584185) + (xy 132.828026 96.671915) + (xy 132.834594 96.673773) + (xy 132.834595 96.673774) + (xy 133.000095 96.720606) + (xy 133.000097 96.720606) + (xy 133.006662 96.722464) + (xy 133.013471 96.722869) + (xy 133.013474 96.722869) + (xy 133.154791 96.731264) + (xy 133.191986 96.733474) + (xy 133.375352 96.704432) + (xy 133.394299 96.697007) + (xy 133.501331 96.655061) + (xy 133.548203 96.636692) + (xy 133.553871 96.632898) + (xy 133.553876 96.632895) + (xy 133.652015 96.567196) + (xy 133.702476 96.533415) + (xy 133.811247 96.41999) + (xy 133.872833 96.384667) + (xy 133.94374 96.388248) + (xy 134.001454 96.429595) + (xy 134.013073 96.447362) + (xy 134.032545 96.483449) + (xy 134.035232 96.488724) + (xy 134.052444 96.52457) + (xy 134.052446 96.524573) + (xy 134.055875 96.531714) + (xy 134.058507 96.534846) + (xy 134.060452 96.536791) + (xy 134.062274 96.538778) + (xy 134.062166 96.538877) + (xy 134.062903 96.539713) + (xy 134.065939 96.54534) + (xy 134.073588 96.552411) + (xy 134.073589 96.552412) + (xy 134.104627 96.581103) + (xy 134.108193 96.584532) + (xy 134.562052 97.038391) + (xy 134.596078 97.100703) + (xy 134.589069 97.172678) + (xy 134.589879 97.172935) + (xy 134.588675 97.176732) + (xy 134.588598 97.177518) + (xy 134.585414 97.184876) + (xy 134.584108 97.191126) + (xy 134.584108 97.191127) + (xy 134.548218 97.362922) + (xy 134.545756 97.374705) + (xy 134.545436 97.466475) + (xy 134.545129 97.554446) + (xy 134.545079 97.568631) + (xy 134.560566 97.64544) + (xy 134.581272 97.748127) + (xy 134.58341 97.758732) + (xy 134.585905 97.764609) + (xy 134.585905 97.76461) + (xy 134.654418 97.926014) + (xy 134.659184 97.937243) + (xy 134.769304 98.096871) + (xy 134.909273 98.231096) + (xy 134.965167 98.266294) + (xy 135.067965 98.33103) + (xy 135.067969 98.331032) + (xy 135.073373 98.334435) + (xy 135.2549 98.402667) + (xy 135.261204 98.403665) + (xy 135.261206 98.403666) + (xy 135.348071 98.417424) + (xy 135.446439 98.433004) + (xy 135.640167 98.424207) + (xy 135.656963 98.419957) + (xy 135.82198 98.378201) + (xy 135.821982 98.3782) + (xy 135.828168 98.376635) + (xy 135.833915 98.373857) + (xy 135.889347 98.34706) + (xy 135.944186 98.3345) + (xy 137.65 98.3345) + (xy 137.749306 98.314747) + (xy 137.833494 98.258494) + (xy 137.889747 98.174306) + (xy 137.9095 98.075) + (xy 137.9095 96.875) + (xy 137.889747 96.775694) + (xy 137.849347 96.715231) + (xy 137.840387 96.701822) + (xy 137.833494 96.691506) + (xy 137.749306 96.635253) + (xy 137.65 96.6155) + (xy 135.944706 96.6155) + (xy 135.889076 96.602554) + (xy 135.84019 96.578499) + (xy 135.840182 96.578496) + (xy 135.834455 96.575678) + (xy 135.828277 96.574069) + (xy 135.828275 96.574068) + (xy 135.652972 96.528405) + (xy 135.652969 96.528405) + (xy 135.64679 96.526795) + (xy 135.563491 96.52243) + (xy 135.45951 96.51698) + (xy 135.459506 96.51698) + (xy 135.453129 96.516646) + (xy 135.261382 96.545644) + (xy 135.255387 96.54785) + (xy 135.255386 96.54785) + (xy 135.198548 96.568762) + (xy 135.12771 96.573512) + (xy 135.065946 96.539607) + (xy 134.741405 96.215066) + (xy 134.707379 96.152754) + (xy 134.7045 96.125971) + (xy 134.7045 94.328629) + (xy 134.724502 94.260508) + (xy 134.778158 94.214015) + (xy 134.848432 94.203911) + (xy 134.906935 94.228854) + (xy 134.909273 94.231096) + (xy 134.967868 94.267995) + (xy 135.067965 94.33103) + (xy 135.067969 94.331032) + (xy 135.073373 94.334435) + (xy 135.2549 94.402667) + (xy 135.261204 94.403665) + (xy 135.261206 94.403666) + (xy 135.339819 94.416117) + (xy 135.446439 94.433004) + (xy 135.640167 94.424207) + (xy 135.66376 94.418237) + (xy 135.82198 94.378201) + (xy 135.821982 94.3782) + (xy 135.828168 94.376635) + (xy 135.833915 94.373857) + (xy 135.889347 94.34706) + (xy 135.944186 94.3345) + (xy 137.65 94.3345) + (xy 137.749306 94.314747) + (xy 137.833494 94.258494) + (xy 137.846192 94.239491) + (xy 137.882852 94.184625) + (xy 137.889747 94.174306) + (xy 137.9095 94.075) + (xy 137.9095 92.875) + (xy 137.889747 92.775694) + (xy 137.833494 92.691506) + (xy 137.836466 92.68952) + (xy 137.812521 92.64567) + (xy 137.817586 92.574855) + (xy 137.846547 92.529792) + (xy 138.321238 92.055101) + (xy 138.38355 92.021075) + (xy 138.454365 92.02614) + (xy 138.511201 92.068687) + (xy 138.536012 92.135207) + (xy 138.53105 92.180296) + (xy 138.520959 92.214039) + (xy 138.5205 92.220216) + (xy 138.5205 92.922413) + (xy 138.523663 92.9445) + (xy 138.533853 93.015649) + (xy 138.535477 93.026991) + (xy 138.593801 93.155269) + (xy 138.599659 93.162068) + (xy 138.599662 93.162072) + (xy 138.679925 93.255221) + (xy 138.679928 93.255223) + (xy 138.685785 93.262021) + (xy 138.804032 93.338665) + (xy 138.807107 93.339585) + (xy 138.858369 93.384407) + (xy 138.878067 93.452616) + (xy 138.857761 93.520647) + (xy 138.804218 93.566754) + (xy 138.752907 93.590083) + (xy 138.752904 93.590085) + (xy 138.744731 93.593801) + (xy 138.737932 93.599659) + (xy 138.737928 93.599662) + (xy 138.644779 93.679925) + (xy 138.644777 93.679928) + (xy 138.637979 93.685785) + (xy 138.561335 93.804032) + (xy 138.558763 93.812634) + (xy 138.558761 93.812637) + (xy 138.524061 93.928667) + (xy 138.520959 93.939039) + (xy 138.5205 93.945216) + (xy 138.5205 94.647413) + (xy 138.523924 94.671318) + (xy 138.5334 94.737485) + (xy 138.535477 94.751991) + (xy 138.593801 94.880269) + (xy 138.599659 94.887068) + (xy 138.599662 94.887072) + (xy 138.679925 94.980221) + (xy 138.679928 94.980223) + (xy 138.685785 94.987021) + (xy 138.804032 95.063665) + (xy 138.812638 95.066239) + (xy 138.820782 95.070002) + (xy 138.819364 95.073071) + (xy 138.865158 95.102843) + (xy 138.89431 95.167578) + (xy 138.8955 95.184852) + (xy 138.8955 96.148283) + (xy 138.893256 96.16937) + (xy 138.89309 96.172887) + (xy 138.890899 96.183065) + (xy 138.894627 96.21456) + (xy 138.894929 96.219677) + (xy 138.895072 96.219665) + (xy 138.8955 96.224843) + (xy 138.8955 96.23004) + (xy 138.896353 96.235164) + (xy 138.898336 96.247083) + (xy 138.899171 96.252955) + (xy 138.904775 96.300297) + (xy 138.908477 96.308006) + (xy 138.909882 96.316448) + (xy 138.914828 96.325615) + (xy 138.914829 96.325617) + (xy 138.932535 96.358431) + (xy 138.935232 96.363724) + (xy 138.952444 96.39957) + (xy 138.952446 96.399573) + (xy 138.955875 96.406714) + (xy 138.958507 96.409846) + (xy 138.960452 96.411791) + (xy 138.962274 96.413778) + (xy 138.962166 96.413877) + (xy 138.962903 96.414713) + (xy 138.965939 96.42034) + (xy 138.973588 96.427411) + (xy 138.973589 96.427412) + (xy 139.004627 96.456103) + (xy 139.008193 96.459532) + (xy 139.253595 96.704934) + (xy 139.287621 96.767246) + (xy 139.2905 96.794029) + (xy 139.2905 97.55) + (xy 139.310253 97.649306) + (xy 139.366506 97.733494) + (xy 139.376822 97.740387) + (xy 139.385957 97.746491) + (xy 139.450694 97.789747) + (xy 139.55 97.8095) + (xy 140.45 97.8095) + (xy 140.549306 97.789747) + (xy 140.614043 97.746491) + (xy 140.623178 97.740387) + (xy 140.633494 97.733494) + (xy 140.689747 97.649306) + (xy 140.7095 97.55) + (xy 140.7095 97.217548) + (xy 142.337 97.217548) + (xy 142.337 97.547743) + (xy 142.337161 97.55225) + (xy 142.34174 97.616269) + (xy 142.344126 97.629491) + (xy 142.380819 97.754458) + (xy 142.388233 97.770692) + (xy 142.457426 97.87836) + (xy 142.469112 97.891847) + (xy 142.56584 97.975662) + (xy 142.580848 97.985307) + (xy 142.697275 98.038477) + (xy 142.714388 98.043502) + (xy 142.845554 98.062361) + (xy 142.854495 98.063) + (xy 143.027885 98.063) + (xy 143.043124 98.058525) + (xy 143.044329 98.057135) + (xy 143.046 98.049452) + (xy 143.046 97.222115) + (xy 143.044659 97.217548) + (xy 143.554 97.217548) + (xy 143.554 98.044885) + (xy 143.558475 98.060124) + (xy 143.559865 98.061329) + (xy 143.567548 98.063) + (xy 143.747743 98.063) + (xy 143.75225 98.062839) + (xy 143.816269 98.05826) + (xy 143.829491 98.055874) + (xy 143.954458 98.019181) + (xy 143.970692 98.011767) + (xy 144.07836 97.942574) + (xy 144.091847 97.930888) + (xy 144.175662 97.83416) + (xy 144.185307 97.819152) + (xy 144.238477 97.702725) + (xy 144.243502 97.685612) + (xy 144.262361 97.554446) + (xy 144.263 97.545503) + (xy 144.263 97.222115) + (xy 144.258525 97.206876) + (xy 144.257135 97.205671) + (xy 144.249452 97.204) + (xy 143.572115 97.204) + (xy 143.556876 97.208475) + (xy 143.555671 97.209865) + (xy 143.554 97.217548) + (xy 143.044659 97.217548) + (xy 143.041525 97.206876) + (xy 143.040135 97.205671) + (xy 143.032452 97.204) + (xy 142.355115 97.204) + (xy 142.339876 97.208475) + (xy 142.338671 97.209865) + (xy 142.337 97.217548) + (xy 140.7095 97.217548) + (xy 140.7095 96.354495) + (xy 142.337 96.354495) + (xy 142.337 96.677885) + (xy 142.341475 96.693124) + (xy 142.342865 96.694329) + (xy 142.350548 96.696) + (xy 143.027885 96.696) + (xy 143.043124 96.691525) + (xy 143.044329 96.690135) + (xy 143.046 96.682452) + (xy 143.046 95.855115) + (xy 143.044659 95.850548) + (xy 143.554 95.850548) + (xy 143.554 96.677885) + (xy 143.558475 96.693124) + (xy 143.559865 96.694329) + (xy 143.567548 96.696) + (xy 144.244885 96.696) + (xy 144.260124 96.691525) + (xy 144.261329 96.690135) + (xy 144.263 96.682452) + (xy 144.263 96.352257) + (xy 144.262839 96.34775) + (xy 144.25826 96.283731) + (xy 144.255874 96.270508) + (xy 144.219181 96.145542) + (xy 144.211767 96.129308) + (xy 144.142574 96.02164) + (xy 144.130888 96.008153) + (xy 144.03416 95.924338) + (xy 144.019152 95.914693) + (xy 143.902725 95.861523) + (xy 143.885612 95.856498) + (xy 143.754446 95.837639) + (xy 143.745505 95.837) + (xy 143.572115 95.837) + (xy 143.556876 95.841475) + (xy 143.555671 95.842865) + (xy 143.554 95.850548) + (xy 143.044659 95.850548) + (xy 143.041525 95.839876) + (xy 143.040135 95.838671) + (xy 143.032452 95.837) + (xy 142.852257 95.837) + (xy 142.84775 95.837161) + (xy 142.783731 95.84174) + (xy 142.770509 95.844126) + (xy 142.645542 95.880819) + (xy 142.629308 95.888233) + (xy 142.52164 95.957426) + (xy 142.508153 95.969112) + (xy 142.424338 96.06584) + (xy 142.414693 96.080848) + (xy 142.361523 96.197275) + (xy 142.356498 96.214388) + (xy 142.337639 96.345554) + (xy 142.337 96.354495) + (xy 140.7095 96.354495) + (xy 140.7095 96.35) + (xy 140.689747 96.250694) + (xy 140.633494 96.166506) + (xy 140.593269 96.139628) + (xy 140.559625 96.117148) + (xy 140.549306 96.110253) + (xy 140.45 96.0905) + (xy 139.7305 96.0905) + (xy 139.662379 96.070498) + (xy 139.615886 96.016842) + (xy 139.6045 95.9645) + (xy 139.6045 95.180873) + (xy 139.624502 95.112752) + (xy 139.678349 95.066172) + (xy 139.755269 95.031199) + (xy 139.762068 95.025341) + (xy 139.762072 95.025338) + (xy 139.855221 94.945075) + (xy 139.855223 94.945072) + (xy 139.862021 94.939215) + (xy 139.938665 94.820968) + (xy 139.941237 94.812366) + (xy 139.941239 94.812363) + (xy 139.977085 94.692502) + (xy 139.977085 94.6925) + (xy 139.979041 94.685961) + (xy 139.9795 94.679784) + (xy 139.9795 94.580048) + (xy 140.267 94.580048) + (xy 140.267 94.651723) + (xy 140.267425 94.659027) + (xy 140.281281 94.77788) + (xy 140.284626 94.792032) + (xy 140.33894 94.941664) + (xy 140.34545 94.954663) + (xy 140.432731 95.087788) + (xy 140.442055 95.09894) + (xy 140.557617 95.208413) + (xy 140.569264 95.217126) + (xy 140.70691 95.297078) + (xy 140.720247 95.302877) + (xy 140.873407 95.349264) + (xy 140.886039 95.351714) + (xy 140.953682 95.357751) + (xy 140.959277 95.358) + (xy 140.977885 95.358) + (xy 140.993124 95.353525) + (xy 140.994329 95.352135) + (xy 140.996 95.344452) + (xy 140.996 94.584615) + (xy 140.994659 94.580048) + (xy 141.504 94.580048) + (xy 141.504 95.339885) + (xy 141.508475 95.355124) + (xy 141.509865 95.356329) + (xy 141.517548 95.358) + (xy 141.526723 95.358) + (xy 141.534027 95.357575) + (xy 141.65288 95.343719) + (xy 141.667032 95.340374) + (xy 141.816664 95.28606) + (xy 141.829663 95.27955) + (xy 141.962788 95.192269) + (xy 141.97394 95.182945) + (xy 142.083413 95.067383) + (xy 142.092126 95.055736) + (xy 142.172078 94.91809) + (xy 142.177877 94.904753) + (xy 142.224264 94.751593) + (xy 142.226714 94.738961) + (xy 142.232751 94.671318) + (xy 142.233 94.665723) + (xy 142.233 94.584615) + (xy 142.228525 94.569376) + (xy 142.227135 94.568171) + (xy 142.219452 94.5665) + (xy 141.522115 94.5665) + (xy 141.506876 94.570975) + (xy 141.505671 94.572365) + (xy 141.504 94.580048) + (xy 140.994659 94.580048) + (xy 140.991525 94.569376) + (xy 140.990135 94.568171) + (xy 140.982452 94.5665) + (xy 140.285115 94.5665) + (xy 140.269876 94.570975) + (xy 140.268671 94.572365) + (xy 140.267 94.580048) + (xy 139.9795 94.580048) + (xy 139.9795 94.136529) + (xy 139.999502 94.068408) + (xy 140.016405 94.047434) + (xy 140.061558 94.002281) + (xy 140.12387 93.968255) + (xy 140.194685 93.97332) + (xy 140.251521 94.015867) + (xy 140.271382 94.055544) + (xy 140.272865 94.056829) + (xy 140.280548 94.0585) + (xy 142.214885 94.0585) + (xy 142.230124 94.054025) + (xy 142.231329 94.052635) + (xy 142.233 94.044952) + (xy 142.233 93.973277) + (xy 142.232575 93.965973) + (xy 142.218719 93.84712) + (xy 142.215374 93.832968) + (xy 142.16106 93.683336) + (xy 142.15455 93.670337) + (xy 142.067269 93.537212) + (xy 142.057945 93.52606) + (xy 141.942383 93.416587) + (xy 141.930736 93.407874) + (xy 141.903938 93.392308) + (xy 141.85508 93.340797) + (xy 141.841826 93.271048) + (xy 141.865402 93.216407) + (xy 141.862021 93.214215) + (xy 141.872312 93.198338) + (xy 141.938665 93.095968) + (xy 141.957826 93.031898) + (xy 141.996508 92.972364) + (xy 142.061235 92.943194) + (xy 142.078543 92.942) + (xy 142.461177 92.942) + (xy 142.529298 92.962002) + (xy 142.575878 93.015849) + (xy 142.593801 93.055269) + (xy 142.599659 93.062068) + (xy 142.599662 93.062072) + (xy 142.679925 93.155221) + (xy 142.679928 93.155223) + (xy 142.685785 93.162021) + (xy 142.804032 93.238665) + (xy 142.812634 93.241237) + (xy 142.812637 93.241239) + (xy 142.932498 93.277085) + (xy 142.9325 93.277085) + (xy 142.939039 93.279041) + (xy 142.945216 93.2795) + (xy 143.522413 93.2795) + (xy 143.597937 93.268684) + (xy 143.618104 93.265796) + (xy 143.618106 93.265795) + (xy 143.626991 93.264523) + (xy 143.755269 93.206199) + (xy 143.782662 93.182596) + (xy 143.847323 93.153281) + (xy 143.917569 93.163579) + (xy 143.957676 93.192815) + (xy 143.959087 93.194494) + (xy 143.961012 93.196419) + (xy 143.96285 93.198423) + (xy 143.962743 93.198521) + (xy 143.963482 93.199359) + (xy 143.966519 93.204988) + (xy 143.974168 93.212059) + (xy 143.974169 93.21206) + (xy 144.005207 93.240751) + (xy 144.008773 93.24418) + (xy 144.534047 93.769454) + (xy 144.568073 93.831766) + (xy 144.563099 93.901311) + (xy 144.561335 93.904032) + (xy 144.557441 93.917053) + (xy 144.557127 93.918103) + (xy 144.518444 93.977637) + (xy 144.453717 94.006806) + (xy 144.43641 94.008) + (xy 144.061556 94.008) + (xy 143.993435 93.987998) + (xy 143.946855 93.93415) + (xy 143.909917 93.852907) + (xy 143.909915 93.852904) + (xy 143.906199 93.844731) + (xy 143.900341 93.837932) + (xy 143.900338 93.837928) + (xy 143.820075 93.744779) + (xy 143.820072 93.744777) + (xy 143.814215 93.737979) + (xy 143.695968 93.661335) + (xy 143.687366 93.658763) + (xy 143.687363 93.658761) + (xy 143.567502 93.622915) + (xy 143.5675 93.622915) + (xy 143.560961 93.620959) + (xy 143.554784 93.6205) + (xy 142.977587 93.6205) + (xy 142.92445 93.62811) + (xy 142.881896 93.634204) + (xy 142.881894 93.634205) + (xy 142.873009 93.635477) + (xy 142.864839 93.639192) + (xy 142.864838 93.639192) + (xy 142.860635 93.641103) + (xy 142.744731 93.693801) + (xy 142.737932 93.699659) + (xy 142.737928 93.699662) + (xy 142.652631 93.77316) + (xy 142.637979 93.785785) + (xy 142.561335 93.904032) + (xy 142.558763 93.912634) + (xy 142.558761 93.912637) + (xy 142.522915 94.032498) + (xy 142.520959 94.039039) + (xy 142.5205 94.045216) + (xy 142.5205 94.647413) + (xy 142.523924 94.671318) + (xy 142.5334 94.737485) + (xy 142.535477 94.751991) + (xy 142.593801 94.880269) + (xy 142.599659 94.887068) + (xy 142.599662 94.887072) + (xy 142.679925 94.980221) + (xy 142.679928 94.980223) + (xy 142.685785 94.987021) + (xy 142.804032 95.063665) + (xy 142.812634 95.066237) + (xy 142.812637 95.066239) + (xy 142.932498 95.102085) + (xy 142.9325 95.102085) + (xy 142.939039 95.104041) + (xy 142.945216 95.1045) + (xy 143.522413 95.1045) + (xy 143.586171 95.095369) + (xy 143.618104 95.090796) + (xy 143.618106 95.090795) + (xy 143.626991 95.089523) + (xy 143.635345 95.085725) + (xy 143.660028 95.074502) + (xy 143.755269 95.031199) + (xy 143.762068 95.025341) + (xy 143.762072 95.025338) + (xy 143.855221 94.945075) + (xy 143.855223 94.945072) + (xy 143.862021 94.939215) + (xy 143.938665 94.820968) + (xy 143.942873 94.806897) + (xy 143.981556 94.747363) + (xy 144.046283 94.718194) + (xy 144.06359 94.717) + (xy 144.438444 94.717) + (xy 144.506565 94.737002) + (xy 144.553145 94.79085) + (xy 144.590083 94.872093) + (xy 144.590085 94.872096) + (xy 144.593801 94.880269) + (xy 144.599659 94.887068) + (xy 144.599662 94.887072) + (xy 144.679925 94.980221) + (xy 144.679928 94.980223) + (xy 144.685785 94.987021) + (xy 144.804032 95.063665) + (xy 144.812638 95.066239) + (xy 144.820782 95.070002) + (xy 144.819364 95.073071) + (xy 144.865158 95.102843) + (xy 144.89431 95.167578) + (xy 144.8955 95.184852) + (xy 144.8955 95.615365) + (xy 144.893256 95.636452) + (xy 144.89309 95.639969) + (xy 144.890899 95.650147) + (xy 144.894336 95.679181) + (xy 144.894627 95.681642) + (xy 144.894929 95.686759) + (xy 144.895072 95.686747) + (xy 144.8955 95.691925) + (xy 144.8955 95.697122) + (xy 144.896353 95.702246) + (xy 144.898336 95.714165) + (xy 144.899171 95.720037) + (xy 144.904775 95.767379) + (xy 144.908477 95.775088) + (xy 144.909882 95.78353) + (xy 144.914828 95.792697) + (xy 144.914829 95.792699) + (xy 144.932535 95.825513) + (xy 144.935232 95.830806) + (xy 144.952444 95.866652) + (xy 144.952446 95.866655) + (xy 144.955875 95.873796) + (xy 144.958507 95.876928) + (xy 144.960452 95.878873) + (xy 144.962274 95.88086) + (xy 144.962166 95.880959) + (xy 144.962903 95.881795) + (xy 144.965939 95.887422) + (xy 144.973588 95.894493) + (xy 144.973589 95.894494) + (xy 145.004627 95.923185) + (xy 145.008193 95.926614) + (xy 145.978595 96.897016) + (xy 146.012621 96.959328) + (xy 146.0155 96.986111) + (xy 146.0155 97.542082) + (xy 146.035253 97.641388) + (xy 146.042148 97.651707) + (xy 146.079977 97.708321) + (xy 146.091506 97.725576) + (xy 146.175694 97.781829) + (xy 146.275 97.801582) + (xy 147.075 97.801582) + (xy 147.174306 97.781829) + (xy 147.258494 97.725576) + (xy 147.270024 97.708321) + (xy 147.307852 97.651707) + (xy 147.314747 97.641388) + (xy 147.3345 97.542082) + (xy 147.3345 97.35963) + (xy 147.662 97.35963) + (xy 147.662 97.539825) + (xy 147.662161 97.544332) + (xy 147.66674 97.608351) + (xy 147.669126 97.621573) + (xy 147.705819 97.74654) + (xy 147.713233 97.762774) + (xy 147.782426 97.870442) + (xy 147.794112 97.883929) + (xy 147.89084 97.967744) + (xy 147.905847 97.977388) + (xy 148.022275 98.030559) + (xy 148.039388 98.035584) + (xy 148.170554 98.054443) + (xy 148.179495 98.055082) + (xy 148.302885 98.055082) + (xy 148.318124 98.050607) + (xy 148.319329 98.049217) + (xy 148.321 98.041534) + (xy 148.321 97.364197) + (xy 148.316525 97.348958) + (xy 148.315135 97.347753) + (xy 148.307452 97.346082) + (xy 147.680115 97.346082) + (xy 147.664876 97.350557) + (xy 147.663671 97.351947) + (xy 147.662 97.35963) + (xy 147.3345 97.35963) + (xy 147.3345 96.646577) + (xy 147.662 96.646577) + (xy 147.662 96.819967) + (xy 147.666475 96.835206) + (xy 147.667865 96.836411) + (xy 147.675548 96.838082) + (xy 148.302885 96.838082) + (xy 148.318124 96.833607) + (xy 148.319329 96.832217) + (xy 148.321 96.824534) + (xy 148.321 96.147197) + (xy 148.316525 96.131958) + (xy 148.315135 96.130753) + (xy 148.307452 96.129082) + (xy 148.177257 96.129082) + (xy 148.17275 96.129243) + (xy 148.108731 96.133822) + (xy 148.095509 96.136208) + (xy 147.970542 96.172901) + (xy 147.954308 96.180315) + (xy 147.84664 96.249508) + (xy 147.833153 96.261194) + (xy 147.749338 96.357922) + (xy 147.739693 96.37293) + (xy 147.686523 96.489357) + (xy 147.681498 96.50647) + (xy 147.662639 96.637636) + (xy 147.662 96.646577) + (xy 147.3345 96.646577) + (xy 147.3345 96.642082) + (xy 147.314747 96.542776) + (xy 147.258494 96.458588) + (xy 147.174306 96.402335) + (xy 147.075 96.382582) + (xy 146.519029 96.382582) + (xy 146.450908 96.36258) + (xy 146.429934 96.345677) + (xy 145.641405 95.557148) + (xy 145.607379 95.494836) + (xy 145.6045 95.468053) + (xy 145.6045 95.180873) + (xy 145.624502 95.112752) + (xy 145.678349 95.066172) + (xy 145.755269 95.031199) + (xy 145.762068 95.025341) + (xy 145.762072 95.025338) + (xy 145.855221 94.945075) + (xy 145.855223 94.945072) + (xy 145.862021 94.939215) + (xy 145.938665 94.820968) + (xy 145.941237 94.812366) + (xy 145.941239 94.812363) + (xy 145.977085 94.692502) + (xy 145.977085 94.6925) + (xy 145.979041 94.685961) + (xy 145.9795 94.679784) + (xy 145.9795 94.482408) + (xy 145.999502 94.414287) + (xy 146.053158 94.367794) + (xy 146.100553 94.356505) + (xy 146.143365 94.354823) + (xy 146.149117 94.354597) + (xy 146.154062 94.3545) + (xy 146.877288 94.3545) + (xy 146.945409 94.374502) + (xy 146.991902 94.428158) + (xy 147.002006 94.498432) + (xy 146.993697 94.528719) + (xy 146.99215 94.532454) + (xy 146.985253 94.542776) + (xy 146.982832 94.55495) + (xy 146.982831 94.554951) + (xy 146.970441 94.617243) + (xy 146.9655 94.642082) + (xy 146.9655 95.542082) + (xy 146.985253 95.641388) + (xy 147.041506 95.725576) + (xy 147.125694 95.781829) + (xy 147.225 95.801582) + (xy 148.025 95.801582) + (xy 148.124306 95.781829) + (xy 148.208494 95.725576) + (xy 148.224502 95.701618) + (xy 148.257853 95.651706) + (xy 148.257854 95.651705) + (xy 148.264747 95.641388) + (xy 148.265784 95.636176) + (xy 148.307247 95.584722) + (xy 148.37461 95.5623) + (xy 148.443402 95.579857) + (xy 148.468204 95.599125) + (xy 148.828198 95.959119) + (xy 148.862224 96.021431) + (xy 148.857159 96.092246) + (xy 148.834329 96.130725) + (xy 148.830671 96.134946) + (xy 148.829 96.14263) + (xy 148.829 98.036967) + (xy 148.833475 98.052206) + (xy 148.834865 98.053411) + (xy 148.842548 98.055082) + (xy 148.972743 98.055082) + (xy 148.97725 98.054921) + (xy 149.041269 98.050342) + (xy 149.054491 98.047956) + (xy 149.179458 98.011263) + (xy 149.195692 98.003849) + (xy 149.30336 97.934656) + (xy 149.316847 97.92297) + (xy 149.400662 97.826242) + (xy 149.410307 97.811234) + (xy 149.463477 97.694807) + (xy 149.468502 97.677693) + (xy 149.484717 97.564914) + (xy 149.51421 97.500333) + (xy 149.573936 97.461949) + (xy 149.644933 97.461949) + (xy 149.704659 97.500332) + (xy 149.715217 97.514468) + (xy 149.718801 97.522351) + (xy 149.737294 97.543813) + (xy 149.804925 97.622303) + (xy 149.804928 97.622305) + (xy 149.810785 97.629103) + (xy 149.929032 97.705747) + (xy 149.937634 97.708319) + (xy 149.937637 97.708321) + (xy 150.057498 97.744167) + (xy 150.0575 97.744167) + (xy 150.064039 97.746123) + (xy 150.070216 97.746582) + (xy 150.647413 97.746582) + (xy 150.708091 97.737892) + (xy 150.743104 97.732878) + (xy 150.743106 97.732877) + (xy 150.751991 97.731605) + (xy 150.880269 97.673281) + (xy 150.887068 97.667423) + (xy 150.887072 97.66742) + (xy 150.980221 97.587157) + (xy 150.980223 97.587154) + (xy 150.987021 97.581297) + (xy 151.063665 97.46305) + (xy 151.066237 97.454448) + (xy 151.066239 97.454445) + (xy 151.102085 97.334584) + (xy 151.102085 97.334582) + (xy 151.104041 97.328043) + (xy 151.1045 97.321866) + (xy 151.1045 97.242548) + (xy 152.512 97.242548) + (xy 152.512 97.672743) + (xy 152.512161 97.67725) + (xy 152.51674 97.741269) + (xy 152.519126 97.754491) + (xy 152.555819 97.879458) + (xy 152.563233 97.895692) + (xy 152.632426 98.00336) + (xy 152.644112 98.016847) + (xy 152.74084 98.100662) + (xy 152.755848 98.110307) + (xy 152.849047 98.152869) + (xy 152.902703 98.199362) + (xy 152.922705 98.267483) + (xy 152.902704 98.335602) + (xy 152.889694 98.355846) + (xy 152.836523 98.472275) + (xy 152.831498 98.489388) + (xy 152.812639 98.620554) + (xy 152.812 98.629495) + (xy 152.812 99.127885) + (xy 152.816475 99.143124) + (xy 152.817865 99.144329) + (xy 152.825548 99.146) + (xy 154.819885 99.146) + (xy 154.835124 99.141525) + (xy 154.836329 99.140135) + (xy 154.838 99.132452) + (xy 154.838 98.627257) + (xy 154.837839 98.62275) + (xy 154.83326 98.558731) + (xy 154.830874 98.545509) + (xy 154.794181 98.420542) + (xy 154.786767 98.404308) + (xy 154.717574 98.29664) + (xy 154.705888 98.283153) + (xy 154.60916 98.199338) + (xy 154.594152 98.189693) + (xy 154.477725 98.136523) + (xy 154.460605 98.131496) + (xy 154.358965 98.116882) + (xy 154.294384 98.087389) + (xy 154.256001 98.027663) + (xy 154.256001 97.956666) + (xy 154.262284 97.939822) + (xy 154.313476 97.827728) + (xy 154.318502 97.810612) + (xy 154.337361 97.679446) + (xy 154.338 97.670503) + (xy 154.338 97.247115) + (xy 154.333525 97.231876) + (xy 154.332135 97.230671) + (xy 154.324452 97.229) + (xy 152.530115 97.229) + (xy 152.514876 97.233475) + (xy 152.513671 97.234865) + (xy 152.512 97.242548) + (xy 151.1045 97.242548) + (xy 151.1045 96.719669) + (xy 151.093398 96.642148) + (xy 151.090796 96.623978) + (xy 151.090795 96.623976) + (xy 151.089523 96.615091) + (xy 151.082152 96.598878) + (xy 151.069101 96.570175) + (xy 151.031199 96.486813) + (xy 151.025341 96.480014) + (xy 151.025338 96.48001) + (xy 150.945075 96.386861) + (xy 150.945072 96.386859) + (xy 150.939215 96.380061) + (xy 150.820968 96.303417) + (xy 150.812366 96.300845) + (xy 150.812363 96.300843) + (xy 150.74098 96.279495) + (xy 152.512 96.279495) + (xy 152.512 96.702885) + (xy 152.516475 96.718124) + (xy 152.517865 96.719329) + (xy 152.525548 96.721) + (xy 153.152885 96.721) + (xy 153.168124 96.716525) + (xy 153.169329 96.715135) + (xy 153.171 96.707452) + (xy 153.171 95.780115) + (xy 153.169659 95.775548) + (xy 153.679 95.775548) + (xy 153.679 96.702885) + (xy 153.683475 96.718124) + (xy 153.684865 96.719329) + (xy 153.692548 96.721) + (xy 154.319885 96.721) + (xy 154.335124 96.716525) + (xy 154.336329 96.715135) + (xy 154.338 96.707452) + (xy 154.338 96.277257) + (xy 154.337839 96.27275) + (xy 154.33326 96.208731) + (xy 154.330874 96.195509) + (xy 154.294181 96.070542) + (xy 154.286767 96.054308) + (xy 154.217574 95.94664) + (xy 154.205888 95.933153) + (xy 154.10916 95.849338) + (xy 154.094152 95.839693) + (xy 153.977725 95.786523) + (xy 153.960612 95.781498) + (xy 153.829446 95.762639) + (xy 153.820505 95.762) + (xy 153.697115 95.762) + (xy 153.681876 95.766475) + (xy 153.680671 95.767865) + (xy 153.679 95.775548) + (xy 153.169659 95.775548) + (xy 153.166525 95.764876) + (xy 153.165135 95.763671) + (xy 153.157452 95.762) + (xy 153.027257 95.762) + (xy 153.02275 95.762161) + (xy 152.958731 95.76674) + (xy 152.945509 95.769126) + (xy 152.820542 95.805819) + (xy 152.804308 95.813233) + (xy 152.69664 95.882426) + (xy 152.683153 95.894112) + (xy 152.599338 95.99084) + (xy 152.589693 96.005848) + (xy 152.536523 96.122275) + (xy 152.531498 96.139388) + (xy 152.512639 96.270554) + (xy 152.512 96.279495) + (xy 150.74098 96.279495) + (xy 150.692502 96.264997) + (xy 150.6925 96.264997) + (xy 150.685961 96.263041) + (xy 150.679784 96.262582) + (xy 150.186528 96.262582) + (xy 150.118407 96.24258) + (xy 150.097433 96.225677) + (xy 150.008433 96.136677) + (xy 149.974407 96.074365) + (xy 149.979472 96.00355) + (xy 150.022019 95.946714) + (xy 150.088539 95.921903) + (xy 150.097528 95.921582) + (xy 150.647413 95.921582) + (xy 150.708091 95.912892) + (xy 150.743104 95.907878) + (xy 150.743106 95.907877) + (xy 150.751991 95.906605) + (xy 150.880269 95.848281) + (xy 150.887068 95.842423) + (xy 150.887072 95.84242) + (xy 150.980221 95.762157) + (xy 150.980223 95.762154) + (xy 150.987021 95.756297) + (xy 151.063665 95.63805) + (xy 151.066237 95.629448) + (xy 151.066239 95.629445) + (xy 151.102085 95.509584) + (xy 151.102085 95.509582) + (xy 151.104041 95.503043) + (xy 151.1045 95.496866) + (xy 151.1045 95.003611) + (xy 151.124502 94.93549) + (xy 151.141405 94.914516) + (xy 152.16449 93.891431) + (xy 152.226802 93.857405) + (xy 152.255894 93.854547) + (xy 152.312975 93.855593) + (xy 152.395963 93.832968) + (xy 152.443688 93.819957) + (xy 152.44369 93.819956) + (xy 152.452347 93.817596) + (xy 152.575452 93.742009) + (xy 152.672395 93.634909) + (xy 152.710567 93.556121) + (xy 152.731467 93.512984) + (xy 152.731467 93.512983) + (xy 152.735381 93.504905) + (xy 152.759348 93.362448) + (xy 152.7595 93.35) + (xy 152.753505 93.308135) + (xy 152.740295 93.215893) + (xy 152.740294 93.21589) + (xy 152.739021 93.207) + (xy 152.735122 93.198423) + (xy 152.712572 93.148829) + (xy 152.679229 93.075496) + (xy 152.662298 93.055846) + (xy 152.621273 93.008234) + (xy 152.59196 92.943572) + (xy 152.603334 92.87105) + (xy 152.603365 92.870986) + (xy 152.635381 92.804905) + (xy 152.659348 92.662448) + (xy 152.6595 92.65) + (xy 152.649274 92.578595) + (xy 152.640295 92.515893) + (xy 152.640294 92.51589) + (xy 152.639021 92.507) + (xy 152.634017 92.495993) + (xy 152.613576 92.451037) + (xy 152.579229 92.375496) + (xy 152.549146 92.340582) + (xy 152.484933 92.266059) + (xy 152.486566 92.264652) + (xy 152.454882 92.214996) + (xy 152.455115 92.144) + (xy 152.493693 92.084399) + (xy 152.552284 92.056125) + (xy 152.696013 92.028707) + (xy 152.696014 92.028707) + (xy 152.703797 92.027222) + (xy 152.853378 91.956834) + (xy 152.859483 91.951784) + (xy 152.859487 91.951781) + (xy 152.974647 91.856512) + (xy 152.980755 91.851459) + (xy 153.011694 91.808875) + (xy 153.073265 91.72413) + (xy 153.073266 91.724128) + (xy 153.077924 91.717717) + (xy 153.082316 91.706626) + (xy 153.135864 91.571379) + (xy 153.135864 91.571377) + (xy 153.138781 91.564011) + (xy 153.139992 91.554431) + (xy 153.158506 91.407868) + (xy 153.1595 91.4) + (xy 153.153506 91.352554) + (xy 153.139775 91.243854) + (xy 153.139774 91.243851) + (xy 153.138781 91.235989) + (xy 153.134272 91.2246) + (xy 153.080842 91.089652) + (xy 153.080841 91.08965) + (xy 153.077924 91.082283) + (xy 153.069055 91.070075) + (xy 152.985415 90.954955) + (xy 152.980755 90.948541) + (xy 152.974647 90.943488) + (xy 152.859487 90.848219) + (xy 152.859483 90.848216) + (xy 152.853378 90.843166) + (xy 152.703797 90.772778) + (xy 152.696014 90.771293) + (xy 152.696013 90.771293) + (xy 152.549196 90.743286) + (xy 152.549194 90.743286) + (xy 152.54141 90.741801) + (xy 152.458916 90.746991) + (xy 152.384333 90.751684) + (xy 152.384331 90.751684) + (xy 152.376422 90.752182) + (xy 152.368886 90.754631) + (xy 152.368884 90.754631) + (xy 152.226741 90.800816) + (xy 152.226738 90.800817) + (xy 152.219199 90.803267) + (xy 152.11897 90.866874) + (xy 152.050738 90.886487) + (xy 151.982732 90.866096) + (xy 151.936546 90.812176) + (xy 151.925457 90.760489) + (xy 151.925457 90.739539) + (xy 151.899874 90.537025) + (xy 151.885732 90.425079) + (xy 151.885731 90.425075) + (xy 151.885235 90.421147) + (xy 151.852164 90.292342) + (xy 151.806412 90.114149) + (xy 151.806409 90.114141) + (xy 151.805425 90.110307) + (xy 151.797777 90.090989) + (xy 151.737426 89.938561) + (xy 151.687286 89.811921) + (xy 151.679772 89.798252) + (xy 151.593529 89.641378) + (xy 151.53268 89.530695) + (xy 151.528846 89.525417) + (xy 151.346375 89.274267) + (xy 151.346374 89.274265) + (xy 151.344047 89.271063) + (xy 151.12436 89.037121) + (xy 151.07048 88.992548) + (xy 152.512 88.992548) + (xy 152.512 89.472743) + (xy 152.512161 89.47725) + (xy 152.51674 89.541269) + (xy 152.519126 89.554491) + (xy 152.555819 89.679458) + (xy 152.563233 89.695692) + (xy 152.632426 89.80336) + (xy 152.644112 89.816847) + (xy 152.74084 89.900662) + (xy 152.755848 89.910307) + (xy 152.872275 89.963477) + (xy 152.889388 89.968502) + (xy 153.020554 89.987361) + (xy 153.029495 89.988) + (xy 153.502885 89.988) + (xy 153.518124 89.983525) + (xy 153.519329 89.982135) + (xy 153.521 89.974452) + (xy 153.521 88.997115) + (xy 153.519659 88.992548) + (xy 154.029 88.992548) + (xy 154.029 89.969885) + (xy 154.033475 89.985124) + (xy 154.034865 89.986329) + (xy 154.042548 89.988) + (xy 154.522743 89.988) + (xy 154.52725 89.987839) + (xy 154.591269 89.98326) + (xy 154.604491 89.980874) + (xy 154.729458 89.944181) + (xy 154.745692 89.936767) + (xy 154.85336 89.867574) + (xy 154.866847 89.855888) + (xy 154.950662 89.75916) + (xy 154.960307 89.744152) + (xy 155.013477 89.627725) + (xy 155.018502 89.610612) + (xy 155.037361 89.479446) + (xy 155.038 89.470503) + (xy 155.038 88.997115) + (xy 155.033525 88.981876) + (xy 155.032135 88.980671) + (xy 155.024452 88.979) + (xy 154.047115 88.979) + (xy 154.031876 88.983475) + (xy 154.030671 88.984865) + (xy 154.029 88.992548) + (xy 153.519659 88.992548) + (xy 153.516525 88.981876) + (xy 153.515135 88.980671) + (xy 153.507452 88.979) + (xy 152.530115 88.979) + (xy 152.514876 88.983475) + (xy 152.513671 88.984865) + (xy 152.512 88.992548) + (xy 151.07048 88.992548) + (xy 150.942062 88.886311) + (xy 150.880134 88.835079) + (xy 150.88013 88.835076) + (xy 150.877085 88.832557) + (xy 150.677503 88.705898) + (xy 150.609469 88.662722) + (xy 150.609468 88.662721) + (xy 150.606122 88.660598) + (xy 150.602543 88.658914) + (xy 150.602536 88.65891) + (xy 150.319332 88.525645) + (xy 150.319328 88.525643) + (xy 150.315742 88.523956) + (xy 150.305106 88.5205) + (xy 150.075943 88.446041) + (xy 150.010527 88.424786) + (xy 149.695289 88.364651) + (xy 149.599293 88.358611) + (xy 149.456467 88.349625) + (xy 149.456451 88.349624) + (xy 149.454472 88.3495) + (xy 149.295528 88.3495) + (xy 149.293549 88.349624) + (xy 149.293533 88.349625) + (xy 149.150707 88.358611) + (xy 149.054711 88.364651) + (xy 148.739473 88.424786) + (xy 148.674057 88.446041) + (xy 148.444895 88.5205) + (xy 148.434258 88.523956) + (xy 148.430672 88.525643) + (xy 148.430668 88.525645) + (xy 148.147464 88.65891) + (xy 148.147457 88.658914) + (xy 148.143878 88.660598) + (xy 148.140532 88.662721) + (xy 148.140531 88.662722) + (xy 148.072497 88.705898) + (xy 147.872915 88.832557) + (xy 147.86987 88.835076) + (xy 147.869866 88.835079) + (xy 147.807938 88.886311) + (xy 147.62564 89.037121) + (xy 147.405953 89.271063) + (xy 147.403626 89.274265) + (xy 147.403625 89.274267) + (xy 147.221155 89.525417) + (xy 147.21732 89.530695) + (xy 147.156471 89.641378) + (xy 147.070229 89.798252) + (xy 147.062714 89.811921) + (xy 147.012574 89.938561) + (xy 146.952224 90.090989) + (xy 146.944575 90.110307) + (xy 146.943591 90.114141) + (xy 146.943588 90.114149) + (xy 146.897836 90.292342) + (xy 146.864765 90.421147) + (xy 146.864269 90.425075) + (xy 146.864268 90.425079) + (xy 146.850126 90.537025) + (xy 146.824543 90.739539) + (xy 146.824543 91.060461) + (xy 146.845886 91.229409) + (xy 146.864077 91.373405) + (xy 146.864765 91.378853) + (xy 146.890442 91.478858) + (xy 146.943588 91.685851) + (xy 146.943591 91.685859) + (xy 146.944575 91.689693) + (xy 146.946032 91.693373) + (xy 146.946033 91.693376) + (xy 146.991478 91.808157) + (xy 147.062714 91.988079) + (xy 147.064615 91.991538) + (xy 147.064617 91.991541) + (xy 147.110861 92.075657) + (xy 147.21732 92.269305) + (xy 147.219648 92.272509) + (xy 147.219651 92.272514) + (xy 147.403523 92.525592) + (xy 147.405953 92.528937) + (xy 147.62564 92.762879) + (xy 147.791758 92.900304) + (xy 147.86508 92.960961) + (xy 147.872915 92.967443) + (xy 147.944884 93.013116) + (xy 147.991681 93.066504) + (xy 148.002186 93.136719) + (xy 147.973062 93.201468) + (xy 147.913556 93.240192) + (xy 147.877368 93.2455) + (xy 146.511529 93.2455) + (xy 146.443408 93.225498) + (xy 146.422434 93.208595) + (xy 146.016405 92.802566) + (xy 145.982379 92.740254) + (xy 145.9795 92.713471) + (xy 145.9795 92.252587) + (xy 145.968841 92.178158) + (xy 145.965796 92.156896) + (xy 145.965795 92.156894) + (xy 145.964523 92.148009) + (xy 145.960808 92.139837) + (xy 145.941406 92.097166) + (xy 145.906199 92.019731) + (xy 145.900341 92.012932) + (xy 145.900338 92.012928) + (xy 145.820075 91.919779) + (xy 145.820072 91.919777) + (xy 145.814215 91.912979) + (xy 145.695968 91.836335) + (xy 145.687366 91.833763) + (xy 145.687363 91.833761) + (xy 145.567502 91.797915) + (xy 145.5675 91.797915) + (xy 145.560961 91.795959) + (xy 145.554784 91.7955) + (xy 144.977587 91.7955) + (xy 144.916909 91.80419) + (xy 144.881896 91.809204) + (xy 144.881894 91.809205) + (xy 144.873009 91.810477) + (xy 144.864839 91.814192) + (xy 144.864838 91.814192) + (xy 144.783231 91.851296) + (xy 144.71294 91.861282) + (xy 144.648408 91.831681) + (xy 144.610125 91.771891) + (xy 144.60508 91.736595) + (xy 144.60508 91.685949) + (xy 144.625082 91.617828) + (xy 144.641985 91.596854) + (xy 144.972434 91.266405) + (xy 145.034746 91.232379) + (xy 145.061529 91.2295) + (xy 145.522413 91.2295) + (xy 145.596247 91.218926) + (xy 145.618104 91.215796) + (xy 145.618106 91.215795) + (xy 145.626991 91.214523) + (xy 145.755269 91.156199) + (xy 145.762068 91.150341) + (xy 145.762072 91.150338) + (xy 145.855221 91.070075) + (xy 145.855223 91.070072) + (xy 145.862021 91.064215) + (xy 145.938665 90.945968) + (xy 145.941237 90.937366) + (xy 145.941239 90.937363) + (xy 145.977085 90.817502) + (xy 145.977085 90.8175) + (xy 145.979041 90.810961) + (xy 145.9795 90.804784) + (xy 145.9795 90.202587) + (xy 145.967307 90.117449) + (xy 145.965796 90.106896) + (xy 145.965795 90.106894) + (xy 145.964523 90.098009) + (xy 145.906199 89.969731) + (xy 145.900341 89.962932) + (xy 145.900338 89.962928) + (xy 145.820075 89.869779) + (xy 145.820072 89.869777) + (xy 145.814215 89.862979) + (xy 145.695968 89.786335) + (xy 145.687366 89.783763) + (xy 145.687363 89.783761) + (xy 145.567502 89.747915) + (xy 145.5675 89.747915) + (xy 145.560961 89.745959) + (xy 145.554784 89.7455) + (xy 144.977587 89.7455) + (xy 144.977587 89.744805) + (xy 144.911725 89.730459) + (xy 144.861537 89.680243) + (xy 144.846465 89.610865) + (xy 144.871294 89.544351) + (xy 144.883046 89.530793) + (xy 144.972434 89.441405) + (xy 145.034746 89.407379) + (xy 145.061529 89.4045) + (xy 145.522413 89.4045) + (xy 145.583091 89.39581) + (xy 145.618104 89.390796) + (xy 145.618106 89.390795) + (xy 145.626991 89.389523) + (xy 145.755269 89.331199) + (xy 145.762068 89.325341) + (xy 145.762072 89.325338) + (xy 145.855221 89.245075) + (xy 145.855223 89.245072) + (xy 145.862021 89.239215) + (xy 145.938665 89.120968) + (xy 145.941237 89.112366) + (xy 145.941239 89.112363) + (xy 145.977085 88.992502) + (xy 145.977085 88.9925) + (xy 145.979041 88.985961) + (xy 145.9795 88.979784) + (xy 145.9795 88.377587) + (xy 145.968309 88.299444) + (xy 145.965796 88.281896) + (xy 145.965795 88.281894) + (xy 145.964523 88.273009) + (xy 145.906199 88.144731) + (xy 145.900341 88.137932) + (xy 145.900338 88.137928) + (xy 145.820075 88.044779) + (xy 145.820072 88.044777) + (xy 145.814215 88.037979) + (xy 145.695968 87.961335) + (xy 145.695994 87.961295) + (xy 145.646074 87.917649) + (xy 145.626375 87.84944) + (xy 145.64668 87.781409) + (xy 145.700541 87.735155) + (xy 145.752374 87.724) + (xy 152.402676 87.724) + (xy 152.470797 87.744002) + (xy 152.51729 87.797658) + (xy 152.527394 87.867932) + (xy 152.512639 87.970554) + (xy 152.512 87.979495) + (xy 152.512 88.452885) + (xy 152.516475 88.468124) + (xy 152.517865 88.469329) + (xy 152.525548 88.471) + (xy 154.910241 88.471) + (xy 154.978362 88.491002) + (xy 155.006692 88.515925) + (xy 155.054624 88.572947) + (xy 155.054627 88.572949) + (xy 155.060402 88.57982) + (xy 155.067873 88.584793) + (xy 155.067874 88.584794) + (xy 155.162961 88.648089) + (xy 155.180655 88.659867) + (xy 155.318541 88.702946) + (xy 155.352324 88.703565) + (xy 155.420066 88.724812) + (xy 155.43911 88.740449) + (xy 155.512763 88.814102) + (xy 155.526076 88.830585) + (xy 155.528452 88.833196) + (xy 155.534103 88.841948) + (xy 155.556195 88.859364) + (xy 155.55901 88.861583) + (xy 155.562837 88.864984) + (xy 155.562931 88.864874) + (xy 155.566887 88.868226) + (xy 155.570572 88.871911) + (xy 155.571591 88.872639) + (xy 155.609996 88.93097) + (xy 155.6155 88.967804) + (xy 155.6155 89.475) + (xy 155.635253 89.574306) + (xy 155.691506 89.658494) + (xy 155.701822 89.665387) + (xy 155.720676 89.677985) + (xy 155.775694 89.714747) + (xy 155.875 89.7345) + (xy 156.575 89.7345) + (xy 156.674306 89.714747) + (xy 156.704998 89.694239) + (xy 156.77275 89.673024) + (xy 156.845002 89.694239) + (xy 156.875694 89.714747) + (xy 156.887868 89.717169) + (xy 156.892719 89.719178) + (xy 156.947999 89.763727) + (xy 156.9705 89.835587) + (xy 156.9705 92.363093) + (xy 156.968256 92.38418) + (xy 156.96809 92.387697) + (xy 156.965899 92.397875) + (xy 156.969326 92.426828) + (xy 156.969627 92.42937) + (xy 156.969929 92.434487) + (xy 156.970072 92.434475) + (xy 156.9705 92.439653) + (xy 156.9705 92.44485) + (xy 156.971353 92.449974) + (xy 156.973336 92.461893) + (xy 156.974171 92.467765) + (xy 156.97512 92.475778) + (xy 156.979775 92.515107) + (xy 156.983477 92.522816) + (xy 156.984882 92.531258) + (xy 156.989828 92.540425) + (xy 156.989829 92.540427) + (xy 157.007535 92.573241) + (xy 157.010232 92.578534) + (xy 157.027444 92.61438) + (xy 157.027446 92.614383) + (xy 157.030875 92.621524) + (xy 157.033507 92.624656) + (xy 157.035452 92.626601) + (xy 157.037274 92.628588) + (xy 157.037166 92.628687) + (xy 157.037903 92.629523) + (xy 157.040939 92.63515) + (xy 157.048588 92.642221) + (xy 157.048589 92.642222) + (xy 157.079627 92.670913) + (xy 157.083193 92.674342) + (xy 157.457428 93.048577) + (xy 157.491454 93.110889) + (xy 157.493269 93.121331) + (xy 157.495715 93.140034) + (xy 157.495754 93.140335) + (xy 157.484754 93.210475) + (xy 157.43758 93.263533) + (xy 157.370818 93.282674) + (xy 155.909371 93.282674) + (xy 155.84125 93.262672) + (xy 155.820276 93.245769) + (xy 155.010602 92.436095) + (xy 154.997289 92.419612) + (xy 154.994913 92.417001) + (xy 154.989262 92.408249) + (xy 154.964355 92.388614) + (xy 154.960524 92.38521) + (xy 154.960431 92.38532) + (xy 154.956474 92.381967) + (xy 154.952793 92.378286) + (xy 154.938741 92.368244) + (xy 154.934006 92.364689) + (xy 154.90938 92.345275) + (xy 154.898765 92.336907) + (xy 154.881319 92.320206) + (xy 154.873441 92.311063) + (xy 154.834833 92.266256) + (xy 154.713611 92.187684) + (xy 154.575209 92.146293) + (xy 154.566233 92.146238) + (xy 154.566232 92.146238) + (xy 154.504955 92.145864) + (xy 154.430753 92.145411) + (xy 154.291856 92.185108) + (xy 154.169683 92.262193) + (xy 154.074056 92.37047) + (xy 154.051553 92.418401) + (xy 154.028377 92.467765) + (xy 154.012663 92.501234) + (xy 154.011282 92.510103) + (xy 153.992113 92.633216) + (xy 153.990438 92.643972) + (xy 153.991602 92.652874) + (xy 153.991602 92.652877) + (xy 154.008004 92.778307) + (xy 154.008005 92.778311) + (xy 154.009169 92.787212) + (xy 154.067349 92.919436) + (xy 154.073124 92.926307) + (xy 154.077781 92.933788) + (xy 154.096797 93.00219) + (xy 154.076832 93.067229) + (xy 154.074056 93.070373) + (xy 154.055034 93.110889) + (xy 154.023206 93.178682) + (xy 154.012663 93.201137) + (xy 154.011282 93.210006) + (xy 153.99201 93.33378) + (xy 153.990438 93.343875) + (xy 153.991602 93.352777) + (xy 153.991602 93.35278) + (xy 154.008004 93.47821) + (xy 154.008005 93.478214) + (xy 154.009169 93.487115) + (xy 154.067349 93.619339) + (xy 154.073126 93.626212) + (xy 154.073127 93.626213) + (xy 154.154522 93.723044) + (xy 154.160302 93.72992) + (xy 154.198622 93.755428) + (xy 154.268112 93.801684) + (xy 154.280555 93.809967) + (xy 154.418441 93.853046) + (xy 154.452224 93.853665) + (xy 154.519966 93.874912) + (xy 154.53901 93.890549) + (xy 155.562563 94.914102) + (xy 155.575876 94.930585) + (xy 155.578252 94.933196) + (xy 155.583903 94.941948) + (xy 155.600032 94.954663) + (xy 155.60881 94.961583) + (xy 155.612644 94.96499) + (xy 155.612737 94.96488) + (xy 155.616695 94.968234) + (xy 155.620372 94.971911) + (xy 155.624597 94.97493) + (xy 155.624599 94.974932) + (xy 155.634419 94.98195) + (xy 155.639162 94.985512) + (xy 155.668433 95.008586) + (xy 155.67661 95.015032) + (xy 155.68468 95.017866) + (xy 155.691642 95.022841) + (xy 155.737336 95.036507) + (xy 155.74297 95.038337) + (xy 155.780512 95.051521) + (xy 155.780515 95.051522) + (xy 155.787991 95.054147) + (xy 155.792067 95.0545) + (xy 155.794775 95.0545) + (xy 155.797509 95.054618) + (xy 155.797503 95.054763) + (xy 155.798618 95.054833) + (xy 155.804743 95.056665) + (xy 155.857384 95.054597) + (xy 155.86233 95.0545) + (xy 159.685878 95.0545) + (xy 159.753999 95.074502) + (xy 159.75936 95.078581) + (xy 159.760402 95.07982) + (xy 159.880655 95.159867) + (xy 160.018541 95.202946) + (xy 160.162975 95.205593) + (xy 160.221652 95.189596) + (xy 160.293688 95.169957) + (xy 160.29369 95.169956) + (xy 160.302347 95.167596) + (xy 160.425452 95.092009) + (xy 160.522395 94.984909) + (xy 160.5565 94.914516) + (xy 160.581467 94.862984) + (xy 160.581467 94.862983) + (xy 160.585381 94.854905) + (xy 160.609348 94.712448) + (xy 160.6095 94.7) + (xy 160.596732 94.610846) + (xy 160.606874 94.540578) + (xy 160.653397 94.486948) + (xy 160.688317 94.471421) + (xy 160.693688 94.469957) + (xy 160.69369 94.469956) + (xy 160.702347 94.467596) + (xy 160.825452 94.392009) + (xy 160.837951 94.378201) + (xy 160.85219 94.36247) + (xy 160.922395 94.284909) + (xy 160.961638 94.203911) + (xy 160.981467 94.162984) + (xy 160.981467 94.162983) + (xy 160.985381 94.154905) + (xy 161.006805 94.027566) + (xy 161.041963 93.959376) + (xy 161.062946 93.938393) + (xy 161.079429 93.92508) + (xy 161.08204 93.922704) + (xy 161.090792 93.917053) + (xy 161.110427 93.892146) + (xy 161.113831 93.888315) + (xy 161.113721 93.888222) + (xy 161.117074 93.884265) + (xy 161.120755 93.880584) + (xy 161.130797 93.866532) + (xy 161.134361 93.861786) + (xy 161.157428 93.832526) + (xy 161.157429 93.832524) + (xy 161.163876 93.824346) + (xy 161.16671 93.816277) + (xy 161.171685 93.809315) + (xy 161.185354 93.76361) + (xy 161.187188 93.757965) + (xy 161.187834 93.756127) + (xy 161.191819 93.744779) + (xy 161.200365 93.720444) + (xy 161.200366 93.720441) + (xy 161.202991 93.712965) + (xy 161.203344 93.708889) + (xy 161.203344 93.70618) + (xy 161.203462 93.703447) + (xy 161.203607 93.703453) + (xy 161.203677 93.702338) + (xy 161.205509 93.696213) + (xy 161.203441 93.643572) + (xy 161.203344 93.638626) + (xy 161.203344 90.108732) + (xy 161.223346 90.040611) + (xy 161.277002 89.994118) + (xy 161.347275 89.984014) + (xy 161.370552 89.987361) + (xy 161.379495 89.988) + (xy 161.452885 89.988) + (xy 161.468124 89.983525) + (xy 161.469329 89.982135) + (xy 161.471 89.974452) + (xy 161.471 88.472) + (xy 161.491002 88.403879) + (xy 161.544658 88.357386) + (xy 161.597 88.346) + (xy 161.853 88.346) + (xy 161.921121 88.366002) + (xy 161.967614 88.419658) + (xy 161.979 88.472) + (xy 161.979 89.969885) + (xy 161.983475 89.985124) + (xy 162.001461 90.000709) + (xy 162.039845 90.060435) + (xy 162.044852 90.090989) + (xy 162.0455 90.107488) + (xy 162.045597 90.112433) + (xy 162.045597 94.10172) + (xy 162.025595 94.169841) + (xy 162.008693 94.190815) + (xy 161.953539 94.245968) + (xy 161.901563 94.297944) + (xy 161.839251 94.331969) + (xy 161.8117 94.334846) + (xy 161.804738 94.334804) + (xy 161.792186 94.334727) + (xy 161.653289 94.374424) + (xy 161.531116 94.451509) + (xy 161.525174 94.458237) + (xy 161.518433 94.46587) + (xy 161.435489 94.559786) + (xy 161.413047 94.607587) + (xy 161.379151 94.679784) + (xy 161.374096 94.69055) + (xy 161.372715 94.699419) + (xy 161.354744 94.814838) + (xy 161.351871 94.833288) + (xy 161.353035 94.84219) + (xy 161.353035 94.842193) + (xy 161.369437 94.967623) + (xy 161.369438 94.967627) + (xy 161.370602 94.976528) + (xy 161.38969 95.019909) + (xy 161.418682 95.085797) + (xy 161.428782 95.108752) + (xy 161.434559 95.115625) + (xy 161.43456 95.115626) + (xy 161.515957 95.21246) + (xy 161.51596 95.212462) + (xy 161.521735 95.219333) + (xy 161.526316 95.222382) + (xy 161.562844 95.281212) + (xy 161.561741 95.3522) + (xy 161.52412 95.409197) + (xy 161.519683 95.411996) + (xy 161.424056 95.520273) + (xy 161.400051 95.571402) + (xy 161.369511 95.636452) + (xy 161.362663 95.651037) + (xy 161.361282 95.659906) + (xy 161.34235 95.781498) + (xy 161.340438 95.793775) + (xy 161.341602 95.802677) + (xy 161.341602 95.80268) + (xy 161.358004 95.92811) + (xy 161.358005 95.928114) + (xy 161.359169 95.937015) + (xy 161.367309 95.955515) + (xy 161.412163 96.057452) + (xy 161.417349 96.069239) + (xy 161.423126 96.076112) + (xy 161.423127 96.076113) + (xy 161.495732 96.162487) + (xy 161.510302 96.17982) + (xy 161.533871 96.195509) + (xy 161.616775 96.250694) + (xy 161.630555 96.259867) + (xy 161.768441 96.302946) + (xy 161.802224 96.303565) + (xy 161.869966 96.324812) + (xy 161.88901 96.340449) + (xy 163.58766 98.039098) + (xy 163.600988 98.0556) + (xy 163.603356 98.058202) + (xy 163.609003 98.066948) + (xy 163.61718 98.073394) + (xy 163.633915 98.086587) + (xy 163.637738 98.089984) + (xy 163.637831 98.089874) + (xy 163.641794 98.093232) + (xy 163.645472 98.09691) + (xy 163.65951 98.106942) + (xy 163.66424 98.110493) + (xy 163.70171 98.140032) + (xy 163.709779 98.142866) + (xy 163.716741 98.147841) + (xy 163.755796 98.159521) + (xy 163.762439 98.161508) + (xy 163.768064 98.163335) + (xy 163.813091 98.179147) + (xy 163.817167 98.1795) + (xy 163.819876 98.1795) + (xy 163.822609 98.179618) + (xy 163.822603 98.179763) + (xy 163.823717 98.179833) + (xy 163.829842 98.181665) + (xy 163.882484 98.179597) + (xy 163.88743 98.1795) + (xy 163.9395 98.1795) + (xy 164.007621 98.199502) + (xy 164.054114 98.253158) + (xy 164.0655 98.3055) + (xy 164.0655 98.325) + (xy 164.085253 98.424306) + (xy 164.141506 98.508494) + (xy 164.225694 98.564747) + (xy 164.325 98.5845) + (xy 165.775 98.5845) + (xy 165.874306 98.564747) + (xy 165.958494 98.508494) + (xy 166.014747 98.424306) + (xy 166.0345 98.325) + (xy 166.0345 97.580628) + (xy 166.054502 97.512507) + (xy 166.092381 97.474629) + (xy 166.103362 97.467572) + (xy 166.116847 97.455888) + (xy 166.200662 97.35916) + (xy 166.210307 97.344152) + (xy 166.263477 97.227725) + (xy 166.268502 97.210612) + (xy 166.287361 97.079446) + (xy 166.288 97.070505) + (xy 166.288 96.597115) + (xy 166.283525 96.581876) + (xy 166.282135 96.580671) + (xy 166.274452 96.579) + (xy 164.480115 96.579) + (xy 164.464876 96.583475) + (xy 164.463671 96.584865) + (xy 164.462 96.592548) + (xy 164.462 96.9395) + (xy 164.441998 97.007621) + (xy 164.388342 97.054114) + (xy 164.336 97.0655) + (xy 164.325 97.0655) + (xy 164.225694 97.085253) + (xy 164.141506 97.141506) + (xy 164.134613 97.151822) + (xy 164.127955 97.161786) + (xy 164.085253 97.225694) + (xy 164.080992 97.247115) + (xy 164.080088 97.251661) + (xy 164.047182 97.314571) + (xy 163.985487 97.349703) + (xy 163.914592 97.345904) + (xy 163.867414 97.316176) + (xy 163.191324 96.640086) + (xy 162.390444 95.839205) + (xy 162.356419 95.776895) + (xy 162.35482 95.768016) + (xy 162.338921 95.657) + (xy 162.333733 95.645588) + (xy 162.313211 95.600454) + (xy 162.303681 95.579495) + (xy 164.462 95.579495) + (xy 164.462 96.052885) + (xy 164.466475 96.068124) + (xy 164.467865 96.069329) + (xy 164.475548 96.071) + (xy 165.102885 96.071) + (xy 165.118124 96.066525) + (xy 165.119329 96.065135) + (xy 165.121 96.057452) + (xy 165.121 95.080115) + (xy 165.119659 95.075548) + (xy 165.629 95.075548) + (xy 165.629 96.052885) + (xy 165.633475 96.068124) + (xy 165.634865 96.069329) + (xy 165.642548 96.071) + (xy 166.269885 96.071) + (xy 166.285124 96.066525) + (xy 166.286329 96.065135) + (xy 166.288 96.057452) + (xy 166.288 95.577257) + (xy 166.287839 95.57275) + (xy 166.28326 95.508731) + (xy 166.280874 95.495509) + (xy 166.244181 95.370542) + (xy 166.236767 95.354308) + (xy 166.167574 95.24664) + (xy 166.159117 95.23688) + (xy 166.129625 95.172299) + (xy 166.13973 95.102025) + (xy 166.186224 95.04837) + (xy 166.254345 95.028369) + (xy 166.307991 95.040361) + (xy 166.312506 95.042486) + (xy 166.319199 95.046733) + (xy 166.387037 95.068775) + (xy 166.468884 95.095369) + (xy 166.468886 95.095369) + (xy 166.476422 95.097818) + (xy 166.484331 95.098316) + (xy 166.484333 95.098316) + (xy 166.490725 95.098718) + (xy 166.557454 95.12296) + (xy 166.571904 95.135374) + (xy 166.783595 95.347065) + (xy 166.817621 95.409377) + (xy 166.8205 95.43616) + (xy 166.8205 95.597413) + (xy 166.828052 95.650147) + (xy 166.833296 95.686759) + (xy 166.835477 95.701991) + (xy 166.893801 95.830269) + (xy 166.899659 95.837068) + (xy 166.899662 95.837072) + (xy 166.979925 95.930221) + (xy 166.979928 95.930223) + (xy 166.985785 95.937021) + (xy 167.104032 96.013665) + (xy 167.112634 96.016237) + (xy 167.112637 96.016239) + (xy 167.232498 96.052085) + (xy 167.2325 96.052085) + (xy 167.239039 96.054041) + (xy 167.245216 96.0545) + (xy 167.822413 96.0545) + (xy 167.884551 96.045601) + (xy 167.918104 96.040796) + (xy 167.918106 96.040795) + (xy 167.926991 96.039523) + (xy 168.055269 95.981199) + (xy 168.062068 95.975341) + (xy 168.062072 95.975338) + (xy 168.155221 95.895075) + (xy 168.155223 95.895072) + (xy 168.162021 95.889215) + (xy 168.238665 95.770968) + (xy 168.241237 95.762366) + (xy 168.241239 95.762363) + (xy 168.277085 95.642502) + (xy 168.277085 95.6425) + (xy 168.279041 95.635961) + (xy 168.2795 95.629784) + (xy 168.2795 94.927587) + (xy 168.267823 94.846053) + (xy 168.265796 94.831896) + (xy 168.265795 94.831894) + (xy 168.264523 94.823009) + (xy 168.206199 94.694731) + (xy 168.167642 94.649983) + (xy 168.138327 94.585321) + (xy 168.148626 94.515076) + (xy 168.194009 94.462363) + (xy 168.262788 94.417269) + (xy 168.27394 94.407945) + (xy 168.383413 94.292383) + (xy 168.392126 94.280736) + (xy 168.472078 94.14309) + (xy 168.477877 94.129753) + (xy 168.524264 93.976593) + (xy 168.526714 93.963961) + (xy 168.532751 93.896318) + (xy 168.533 93.890723) + (xy 168.533 93.809615) + (xy 168.528525 93.794376) + (xy 168.527135 93.793171) + (xy 168.519452 93.7915) + (xy 166.585114 93.7915) + (xy 166.581977 93.792421) + (xy 166.554392 93.797276) + (xy 166.484333 93.801684) + (xy 166.484331 93.801684) + (xy 166.476422 93.802182) + (xy 166.468886 93.804631) + (xy 166.468884 93.804631) + (xy 166.326741 93.850816) + (xy 166.326738 93.850817) + (xy 166.319199 93.853267) + (xy 166.179619 93.941847) + (xy 166.174192 93.947626) + (xy 166.174191 93.947627) + (xy 166.075203 94.053038) + (xy 166.066453 94.062356) + (xy 165.986812 94.207222) + (xy 165.9457 94.367343) + (xy 165.9457 94.532657) + (xy 165.986812 94.692778) + (xy 166.066453 94.837644) + (xy 166.071877 94.84342) + (xy 166.141291 94.917338) + (xy 166.173342 94.980688) + (xy 166.166055 95.05131) + (xy 166.121745 95.106781) + (xy 166.054478 95.12949) + (xy 165.997099 95.118205) + (xy 165.927725 95.086523) + (xy 165.910612 95.081498) + (xy 165.779446 95.062639) + (xy 165.770505 95.062) + (xy 165.647115 95.062) + (xy 165.631876 95.066475) + (xy 165.630671 95.067865) + (xy 165.629 95.075548) + (xy 165.119659 95.075548) + (xy 165.116525 95.064876) + (xy 165.115135 95.063671) + (xy 165.107452 95.062) + (xy 164.977257 95.062) + (xy 164.97275 95.062161) + (xy 164.908731 95.06674) + (xy 164.895509 95.069126) + (xy 164.770542 95.105819) + (xy 164.754308 95.113233) + (xy 164.64664 95.182426) + (xy 164.633153 95.194112) + (xy 164.549338 95.29084) + (xy 164.539693 95.305848) + (xy 164.486523 95.422275) + (xy 164.481498 95.439388) + (xy 164.462639 95.570554) + (xy 164.462 95.579495) + (xy 162.303681 95.579495) + (xy 162.279129 95.525496) + (xy 162.268832 95.513545) + (xy 162.252711 95.494836) + (xy 162.184833 95.416059) + (xy 162.184637 95.415932) + (xy 162.148816 95.359791) + (xy 162.149049 95.288794) + (xy 162.186826 95.231559) + (xy 162.186785 95.231522) + (xy 162.187025 95.231257) + (xy 162.187025 95.231256) + (xy 162.283728 95.124422) + (xy 162.32677 95.035582) + (xy 162.3428 95.002497) + (xy 162.3428 95.002496) + (xy 162.346714 94.994418) + (xy 162.368138 94.867079) + (xy 162.403296 94.798889) + (xy 162.614199 94.587986) + (xy 162.630682 94.574673) + (xy 162.633293 94.572297) + (xy 162.642045 94.566646) + (xy 162.66168 94.541739) + (xy 162.665084 94.537908) + (xy 162.664974 94.537815) + (xy 162.668327 94.533858) + (xy 162.672008 94.530177) + (xy 162.68205 94.516125) + (xy 162.685614 94.511379) + (xy 162.708681 94.482119) + (xy 162.708682 94.482117) + (xy 162.715129 94.473939) + (xy 162.717963 94.46587) + (xy 162.722938 94.458908) + (xy 162.735736 94.416117) + (xy 162.736605 94.41321) + (xy 162.738432 94.407585) + (xy 162.754244 94.362558) + (xy 162.754597 94.358482) + (xy 162.754597 94.355773) + (xy 162.754715 94.35304) + (xy 162.75486 94.353046) + (xy 162.75493 94.351932) + (xy 162.756762 94.345807) + (xy 162.754694 94.293165) + (xy 162.754597 94.288219) + (xy 162.754597 91.959066) + (xy 162.774599 91.890945) + (xy 162.828255 91.844452) + (xy 162.898529 91.834348) + (xy 162.963109 91.863842) + (xy 162.965335 91.866102) + (xy 162.965365 91.866069) + (xy 162.972052 91.872048) + (xy 162.977832 91.878924) + (xy 162.985309 91.883901) + (xy 163.087284 91.951781) + (xy 163.098085 91.958971) + (xy 163.235971 92.00205) + (xy 163.380405 92.004697) + (xy 163.468422 91.980701) + (xy 163.511118 91.969061) + (xy 163.51112 91.96906) + (xy 163.519777 91.9667) + (xy 163.642882 91.891113) + (xy 163.739825 91.784013) + (xy 163.776367 91.70859) + (xy 163.798897 91.662088) + (xy 163.798897 91.662087) + (xy 163.802811 91.654009) + (xy 163.826778 91.511552) + (xy 163.82693 91.499104) + (xy 163.81837 91.439334) + (xy 163.807725 91.364997) + (xy 163.807724 91.364994) + (xy 163.806451 91.356104) + (xy 163.794544 91.329915) + (xy 163.775531 91.2881) + (xy 163.746659 91.2246) + (xy 163.702477 91.173324) + (xy 163.673163 91.108661) + (xy 163.67193 91.091076) + (xy 163.67193 90.481599) + (xy 163.691932 90.413478) + (xy 163.708835 90.392504) + (xy 164.133817 89.967522) + (xy 164.196129 89.933496) + (xy 164.266944 89.938561) + (xy 164.275255 89.942004) + (xy 164.322272 89.963476) + (xy 164.339388 89.968502) + (xy 164.470554 89.987361) + (xy 164.479495 89.988) + (xy 164.852885 89.988) + (xy 164.868124 89.983525) + (xy 164.869329 89.982135) + (xy 164.871 89.974452) + (xy 164.871 88.597) + (xy 164.891002 88.528879) + (xy 164.944658 88.482386) + (xy 164.997 88.471) + (xy 165.253 88.471) + (xy 165.321121 88.491002) + (xy 165.367614 88.544658) + (xy 165.379 88.597) + (xy 165.379 89.969885) + (xy 165.391936 90.013941) + (xy 165.391936 90.084938) + (xy 165.360135 90.138534) + (xy 164.790239 90.70843) + (xy 164.727927 90.742456) + (xy 164.700376 90.745333) + (xy 164.693361 90.74529) + (xy 164.680861 90.745214) + (xy 164.541964 90.784911) + (xy 164.419791 90.861996) + (xy 164.324164 90.970273) + (xy 164.299987 91.021768) + (xy 164.268719 91.088369) + (xy 164.262771 91.101037) + (xy 164.26139 91.109906) + (xy 164.242023 91.234292) + (xy 164.240546 91.243775) + (xy 164.24171 91.252677) + (xy 164.24171 91.25268) + (xy 164.258112 91.37811) + (xy 164.258113 91.378114) + (xy 164.259277 91.387015) + (xy 164.268402 91.407752) + (xy 164.296707 91.47208) + (xy 164.317457 91.519239) + (xy 164.323234 91.526112) + (xy 164.323235 91.526113) + (xy 164.40463 91.622944) + (xy 164.41041 91.62982) + (xy 164.436201 91.646988) + (xy 164.495453 91.686429) + (xy 164.530663 91.709867) + (xy 164.560802 91.719283) + (xy 164.619858 91.75869) + (xy 164.648235 91.823769) + (xy 164.636774 91.892593) + (xy 164.634968 91.894883) + (xy 164.632134 91.902952) + (xy 164.627159 91.909914) + (xy 164.622307 91.926139) + (xy 164.613492 91.955612) + (xy 164.611665 91.961237) + (xy 164.595853 92.006264) + (xy 164.5955 92.01034) + (xy 164.5955 92.013049) + (xy 164.595382 92.015782) + (xy 164.595237 92.015776) + (xy 164.595167 92.01689) + (xy 164.593335 92.023015) + (xy 164.594597 92.055136) + (xy 164.595403 92.075657) + (xy 164.5955 92.080603) + (xy 164.5955 93.091817) + (xy 164.575498 93.159938) + (xy 164.563942 93.175224) + (xy 164.524156 93.220273) + (xy 164.500481 93.270699) + (xy 164.468572 93.338665) + (xy 164.462763 93.351037) + (xy 164.461382 93.359906) + (xy 164.442384 93.48192) + (xy 164.440538 93.493775) + (xy 164.441702 93.502677) + (xy 164.441702 93.50268) + (xy 164.458104 93.62811) + (xy 164.458105 93.628114) + (xy 164.459269 93.637015) + (xy 164.46997 93.661335) + (xy 164.513723 93.76077) + (xy 164.517449 93.769239) + (xy 164.523226 93.776112) + (xy 164.523227 93.776113) + (xy 164.595243 93.861786) + (xy 164.610402 93.87982) + (xy 164.667914 93.918103) + (xy 164.699366 93.939039) + (xy 164.730655 93.959867) + (xy 164.868541 94.002946) + (xy 165.012975 94.005593) + (xy 165.076207 93.988354) + (xy 165.143688 93.969957) + (xy 165.14369 93.969956) + (xy 165.152347 93.967596) + (xy 165.275452 93.892009) + (xy 165.285794 93.880584) + (xy 165.344002 93.816277) + (xy 165.372395 93.784909) + (xy 165.41028 93.706713) + (xy 165.431467 93.662984) + (xy 165.431467 93.662983) + (xy 165.435381 93.654905) + (xy 165.459348 93.512448) + (xy 165.4595 93.5) + (xy 165.452362 93.450155) + (xy 165.440295 93.365893) + (xy 165.440294 93.36589) + (xy 165.439021 93.357) + (xy 165.434285 93.346582) + (xy 165.408393 93.289638) + (xy 165.379229 93.225496) + (xy 165.371398 93.216407) + (xy 165.351127 93.192882) + (xy 165.343713 93.184277) + (xy 166.567 93.184277) + (xy 166.567 93.265385) + (xy 166.571475 93.280624) + (xy 166.572865 93.281829) + (xy 166.580548 93.2835) + (xy 167.277885 93.2835) + (xy 167.293124 93.279025) + (xy 167.294329 93.277635) + (xy 167.296 93.269952) + (xy 167.296 92.510115) + (xy 167.294659 92.505548) + (xy 167.804 92.505548) + (xy 167.804 93.265385) + (xy 167.808475 93.280624) + (xy 167.809865 93.281829) + (xy 167.817548 93.2835) + (xy 168.514885 93.2835) + (xy 168.530124 93.279025) + (xy 168.531329 93.277635) + (xy 168.533 93.269952) + (xy 168.533 93.198277) + (xy 168.532575 93.190973) + (xy 168.518719 93.07212) + (xy 168.515374 93.057968) + (xy 168.46106 92.908336) + (xy 168.45455 92.895337) + (xy 168.367269 92.762212) + (xy 168.357945 92.75106) + (xy 168.242383 92.641587) + (xy 168.230736 92.632874) + (xy 168.09309 92.552922) + (xy 168.079753 92.547123) + (xy 167.926593 92.500736) + (xy 167.913961 92.498286) + (xy 167.846318 92.492249) + (xy 167.840723 92.492) + (xy 167.822115 92.492) + (xy 167.806876 92.496475) + (xy 167.805671 92.497865) + (xy 167.804 92.505548) + (xy 167.294659 92.505548) + (xy 167.291525 92.494876) + (xy 167.290135 92.493671) + (xy 167.282452 92.492) + (xy 167.273277 92.492) + (xy 167.265973 92.492425) + (xy 167.14712 92.506281) + (xy 167.132968 92.509626) + (xy 166.983336 92.56394) + (xy 166.970337 92.57045) + (xy 166.837212 92.657731) + (xy 166.82606 92.667055) + (xy 166.716587 92.782617) + (xy 166.707874 92.794264) + (xy 166.627922 92.93191) + (xy 166.622123 92.945247) + (xy 166.575736 93.098407) + (xy 166.573286 93.111039) + (xy 166.567249 93.178682) + (xy 166.567 93.184277) + (xy 165.343713 93.184277) + (xy 165.335047 93.17422) + (xy 165.305733 93.109557) + (xy 165.3045 93.091972) + (xy 165.3045 92.824133) + (xy 165.324502 92.756012) + (xy 165.378158 92.709519) + (xy 165.448432 92.699415) + (xy 165.478733 92.708588) + (xy 165.480655 92.709867) + (xy 165.489222 92.712543) + (xy 165.489225 92.712545) + (xy 165.572847 92.73867) + (xy 165.618541 92.752946) + (xy 165.762975 92.755593) + (xy 165.839889 92.734624) + (xy 165.893688 92.719957) + (xy 165.89369 92.719956) + (xy 165.902347 92.717596) + (xy 166.025452 92.642009) + (xy 166.122395 92.534909) + (xy 166.167219 92.442391) + (xy 166.181467 92.412984) + (xy 166.181467 92.412983) + (xy 166.185381 92.404905) + (xy 166.206805 92.277566) + (xy 166.241963 92.209376) + (xy 167.014934 91.436405) + (xy 167.077246 91.402379) + (xy 167.104029 91.3995) + (xy 167.632413 91.3995) + (xy 167.693091 91.39081) + (xy 167.728104 91.385796) + (xy 167.728106 91.385795) + (xy 167.736991 91.384523) + (xy 167.755207 91.376241) + (xy 167.77062 91.369233) + (xy 167.865269 91.326199) + (xy 167.872068 91.320341) + (xy 167.872072 91.320338) + (xy 167.965221 91.240075) + (xy 167.965223 91.240072) + (xy 167.972021 91.234215) + (xy 168.048665 91.115968) + (xy 168.058767 91.082188) + (xy 168.097446 91.022658) + (xy 168.162173 90.993488) + (xy 168.232396 91.003942) + (xy 168.285819 91.050702) + (xy 168.294184 91.066142) + (xy 168.306355 91.092911) + (xy 168.343801 91.175269) + (xy 168.349659 91.182068) + (xy 168.349662 91.182072) + (xy 168.429925 91.275221) + (xy 168.429928 91.275223) + (xy 168.435785 91.282021) + (xy 168.554032 91.358665) + (xy 168.562638 91.361239) + (xy 168.570782 91.365002) + (xy 168.569364 91.368071) + (xy 168.615158 91.397843) + (xy 168.64431 91.462578) + (xy 168.6455 91.479852) + (xy 168.6455 91.898283) + (xy 168.643256 91.91937) + (xy 168.64309 91.922887) + (xy 168.640899 91.933065) + (xy 168.644112 91.960209) + (xy 168.644627 91.96456) + (xy 168.644929 91.969677) + (xy 168.645072 91.969665) + (xy 168.6455 91.974843) + (xy 168.6455 91.98004) + (xy 168.646838 91.988079) + (xy 168.648336 91.997083) + (xy 168.649171 92.002955) + (xy 168.654775 92.050297) + (xy 168.658477 92.058006) + (xy 168.659882 92.066448) + (xy 168.664828 92.075614) + (xy 168.664828 92.075615) + (xy 168.676526 92.097295) + (xy 168.688048 92.144101) + (xy 168.690538 92.143775) + (xy 168.708104 92.27811) + (xy 168.708105 92.278114) + (xy 168.709269 92.287015) + (xy 168.71985 92.311063) + (xy 168.759777 92.401802) + (xy 168.767449 92.419239) + (xy 168.773226 92.426112) + (xy 168.773227 92.426113) + (xy 168.854622 92.522944) + (xy 168.860402 92.52982) + (xy 168.913381 92.565086) + (xy 168.924981 92.572807) + (xy 168.980655 92.609867) + (xy 169.118541 92.652946) + (xy 169.262975 92.655593) + (xy 169.328346 92.637771) + (xy 169.393688 92.619957) + (xy 169.39369 92.619956) + (xy 169.402347 92.617596) + (xy 169.450073 92.588292) + (xy 169.484438 92.567192) + (xy 169.552956 92.548594) + (xy 169.620186 92.56968) + (xy 169.680558 92.609867) + (xy 169.818444 92.652946) + (xy 169.962878 92.655593) + (xy 170.028249 92.637771) + (xy 170.093591 92.619957) + (xy 170.093593 92.619956) + (xy 170.10225 92.617596) + (xy 170.225355 92.542009) + (xy 170.322298 92.434909) + (xy 170.358553 92.360079) + (xy 170.38137 92.312984) + (xy 170.38137 92.312983) + (xy 170.385284 92.304905) + (xy 170.409251 92.162448) + (xy 170.409403 92.15) + (xy 170.398821 92.076106) + (xy 170.390198 92.015893) + (xy 170.390197 92.01589) + (xy 170.388924 92.007) + (xy 170.38275 91.99342) + (xy 170.354646 91.931611) + (xy 170.329132 91.875496) + (xy 170.28495 91.82422) + (xy 170.255636 91.759557) + (xy 170.254403 91.741972) + (xy 170.254403 91.706626) + (xy 170.274405 91.638505) + (xy 170.291308 91.617531) + (xy 170.472434 91.436405) + (xy 170.534746 91.402379) + (xy 170.561529 91.3995) + (xy 171.022413 91.3995) + (xy 171.083091 91.39081) + (xy 171.118104 91.385796) + (xy 171.118106 91.385795) + (xy 171.126991 91.384523) + (xy 171.145207 91.376241) + (xy 171.16062 91.369233) + (xy 171.255269 91.326199) + (xy 171.262068 91.320341) + (xy 171.262072 91.320338) + (xy 171.340581 91.252689) + (xy 171.353807 91.246693) + (xy 171.353947 91.246051) + (xy 171.36214 91.234292) + (xy 171.362021 91.234215) + (xy 171.374785 91.214523) + (xy 171.438665 91.115968) + (xy 171.441237 91.107366) + (xy 171.441239 91.107363) + (xy 171.477085 90.987502) + (xy 171.477085 90.9875) + (xy 171.479041 90.980961) + (xy 171.4795 90.974784) + (xy 171.4795 90.372587) + (xy 171.464523 90.268009) + (xy 171.459188 90.256274) + (xy 171.449277 90.234476) + (xy 171.406199 90.139731) + (xy 171.400341 90.132932) + (xy 171.400338 90.132928) + (xy 171.320075 90.039779) + (xy 171.320072 90.039777) + (xy 171.314215 90.032979) + (xy 171.195968 89.956335) + (xy 171.187366 89.953763) + (xy 171.187363 89.953761) + (xy 171.067502 89.917915) + (xy 171.0675 89.917915) + (xy 171.060961 89.915959) + (xy 171.054784 89.9155) + (xy 170.477587 89.9155) + (xy 170.416909 89.92419) + (xy 170.381896 89.929204) + (xy 170.381894 89.929205) + (xy 170.373009 89.930477) + (xy 170.364839 89.934192) + (xy 170.364838 89.934192) + (xy 170.363138 89.934965) + (xy 170.244731 89.988801) + (xy 170.237932 89.994659) + (xy 170.237928 89.994662) + (xy 170.144779 90.074925) + (xy 170.144777 90.074928) + (xy 170.137979 90.080785) + (xy 170.061335 90.199032) + (xy 170.058763 90.207632) + (xy 170.058761 90.207637) + (xy 170.02891 90.307452) + (xy 170.020959 90.334039) + (xy 170.0205 90.340216) + (xy 170.0205 90.833471) + (xy 170.000498 90.901592) + (xy 169.983595 90.922566) + (xy 169.944595 90.961566) + (xy 169.882283 90.995592) + (xy 169.811468 90.990527) + (xy 169.754632 90.94798) + (xy 169.729821 90.88146) + (xy 169.7295 90.872471) + (xy 169.7295 90.372587) + (xy 169.714523 90.268009) + (xy 169.709188 90.256274) + (xy 169.699277 90.234476) + (xy 169.656199 90.139731) + (xy 169.650341 90.132932) + (xy 169.650338 90.132928) + (xy 169.570075 90.039779) + (xy 169.570072 90.039777) + (xy 169.564215 90.032979) + (xy 169.445968 89.956335) + (xy 169.437366 89.953763) + (xy 169.437363 89.953761) + (xy 169.317502 89.917915) + (xy 169.3175 89.917915) + (xy 169.310961 89.915959) + (xy 169.304784 89.9155) + (xy 168.727587 89.9155) + (xy 168.666909 89.92419) + (xy 168.631896 89.929204) + (xy 168.631894 89.929205) + (xy 168.623009 89.930477) + (xy 168.614839 89.934192) + (xy 168.614838 89.934192) + (xy 168.613138 89.934965) + (xy 168.494731 89.988801) + (xy 168.487932 89.994659) + (xy 168.487928 89.994662) + (xy 168.394779 90.074925) + (xy 168.394777 90.074928) + (xy 168.387979 90.080785) + (xy 168.311335 90.199032) + (xy 168.301233 90.232812) + (xy 168.262554 90.292342) + (xy 168.197827 90.321512) + (xy 168.127604 90.311058) + (xy 168.074181 90.264298) + (xy 168.065816 90.248858) + (xy 168.0357 90.182622) + (xy 168.016199 90.139731) + (xy 168.010341 90.132932) + (xy 168.010338 90.132928) + (xy 167.930075 90.039779) + (xy 167.930072 90.039777) + (xy 167.924215 90.032979) + (xy 167.805968 89.956335) + (xy 167.797366 89.953763) + (xy 167.797363 89.953761) + (xy 167.677502 89.917915) + (xy 167.6775 89.917915) + (xy 167.670961 89.915959) + (xy 167.664784 89.9155) + (xy 167.217128 89.9155) + (xy 167.149007 89.895498) + (xy 167.102514 89.841842) + (xy 167.091128 89.7895) + (xy 167.091128 89.7005) + (xy 167.11113 89.632379) + (xy 167.164786 89.585886) + (xy 167.217128 89.5745) + (xy 167.632413 89.5745) + (xy 167.707043 89.563812) + (xy 167.728104 89.560796) + (xy 167.728106 89.560795) + (xy 167.736991 89.559523) + (xy 167.748059 89.554491) + (xy 167.788202 89.536239) + (xy 167.865269 89.501199) + (xy 167.872068 89.495341) + (xy 167.872072 89.495338) + (xy 167.965221 89.415075) + (xy 167.965223 89.415072) + (xy 167.972021 89.409215) + (xy 168.048665 89.290968) + (xy 168.052873 89.276897) + (xy 168.091556 89.217363) + (xy 168.156283 89.188194) + (xy 168.17359 89.187) + (xy 168.188444 89.187) + (xy 168.256565 89.207002) + (xy 168.303145 89.26085) + (xy 168.340083 89.342093) + (xy 168.340085 89.342096) + (xy 168.343801 89.350269) + (xy 168.349659 89.357068) + (xy 168.349662 89.357072) + (xy 168.429925 89.450221) + (xy 168.429928 89.450223) + (xy 168.435785 89.457021) + (xy 168.554032 89.533665) + (xy 168.562634 89.536237) + (xy 168.562637 89.536239) + (xy 168.682498 89.572085) + (xy 168.6825 89.572085) + (xy 168.689039 89.574041) + (xy 168.695216 89.5745) + (xy 169.272413 89.5745) + (xy 169.347043 89.563812) + (xy 169.368104 89.560796) + (xy 169.368106 89.560795) + (xy 169.376991 89.559523) + (xy 169.388059 89.554491) + (xy 169.428202 89.536239) + (xy 169.505269 89.501199) + (xy 169.512068 89.495341) + (xy 169.512072 89.495338) + (xy 169.605221 89.415075) + (xy 169.605223 89.415072) + (xy 169.612021 89.409215) + (xy 169.688665 89.290968) + (xy 169.692873 89.276897) + (xy 169.731556 89.217363) + (xy 169.796283 89.188194) + (xy 169.81359 89.187) + (xy 169.938444 89.187) + (xy 170.006565 89.207002) + (xy 170.053145 89.26085) + (xy 170.090083 89.342093) + (xy 170.090085 89.342096) + (xy 170.093801 89.350269) + (xy 170.099659 89.357068) + (xy 170.099662 89.357072) + (xy 170.179925 89.450221) + (xy 170.179928 89.450223) + (xy 170.185785 89.457021) + (xy 170.304032 89.533665) + (xy 170.312634 89.536237) + (xy 170.312637 89.536239) + (xy 170.432498 89.572085) + (xy 170.4325 89.572085) + (xy 170.439039 89.574041) + (xy 170.445216 89.5745) + (xy 171.022413 89.5745) + (xy 171.097043 89.563812) + (xy 171.118104 89.560796) + (xy 171.118106 89.560795) + (xy 171.126991 89.559523) + (xy 171.138059 89.554491) + (xy 171.178202 89.536239) + (xy 171.255269 89.501199) + (xy 171.262068 89.495341) + (xy 171.262072 89.495338) + (xy 171.355221 89.415075) + (xy 171.355223 89.415072) + (xy 171.362021 89.409215) + (xy 171.438665 89.290968) + (xy 171.441237 89.282366) + (xy 171.441239 89.282363) + (xy 171.477085 89.162502) + (xy 171.477085 89.1625) + (xy 171.479041 89.155961) + (xy 171.4795 89.149784) + (xy 171.4795 88.547587) + (xy 171.468532 88.471) + (xy 171.465796 88.451896) + (xy 171.465795 88.451894) + (xy 171.464523 88.443009) + (xy 171.460807 88.434835) + (xy 171.460036 88.433139) + (xy 171.459776 88.431309) + (xy 171.458288 88.42622) + (xy 171.459023 88.426005) + (xy 171.45005 88.362848) + (xy 171.479651 88.298317) + (xy 171.539442 88.260033) + (xy 171.574737 88.254989) + (xy 171.9645 88.254989) + (xy 172.032621 88.274991) + (xy 172.079114 88.328647) + (xy 172.0905 88.380989) + (xy 172.0905 89.2) + (xy 172.110253 89.299306) + (xy 172.166506 89.383494) + (xy 172.250694 89.439747) + (xy 172.35 89.4595) + (xy 172.3695 89.4595) + (xy 172.437621 89.479502) + (xy 172.484114 89.533158) + (xy 172.4955 89.5855) + (xy 172.4955 90.401471) + (xy 172.475498 90.469592) + (xy 172.458595 90.490566) + (xy 171.55363 91.395531) + (xy 171.53125 91.407752) + (xy 171.528638 91.416556) + (xy 171.511924 91.437237) + (xy 171.290231 91.65893) + (xy 171.227919 91.692956) + (xy 171.200368 91.695833) + (xy 171.193353 91.69579) + (xy 171.180853 91.695714) + (xy 171.041956 91.735411) + (xy 170.919783 91.812496) + (xy 170.824156 91.920773) + (xy 170.805371 91.960785) + (xy 170.773482 92.028707) + (xy 170.762763 92.051537) + (xy 170.761382 92.060406) + (xy 170.741965 92.185111) + (xy 170.740538 92.194275) + (xy 170.741702 92.203177) + (xy 170.741702 92.20318) + (xy 170.758104 92.32861) + (xy 170.758105 92.328614) + (xy 170.759269 92.337515) + (xy 170.772033 92.366524) + (xy 170.812399 92.458261) + (xy 170.817449 92.469739) + (xy 170.823226 92.476612) + (xy 170.823227 92.476613) + (xy 170.904622 92.573444) + (xy 170.910402 92.58032) + (xy 170.958927 92.612621) + (xy 171.019507 92.652946) + (xy 171.030655 92.660367) + (xy 171.168541 92.703446) + (xy 171.312975 92.706093) + (xy 171.393634 92.684103) + (xy 171.443688 92.670457) + (xy 171.44369 92.670456) + (xy 171.452347 92.668096) + (xy 171.459994 92.663401) + (xy 171.468236 92.659834) + (xy 171.469605 92.662997) + (xy 171.522054 92.648745) + (xy 171.589756 92.670124) + (xy 171.635151 92.724711) + (xy 171.6455 92.774719) + (xy 171.6455 92.841817) + (xy 171.625498 92.909938) + (xy 171.613942 92.925224) + (xy 171.574156 92.970273) + (xy 171.547599 93.026839) + (xy 171.516603 93.092859) + (xy 171.512763 93.101037) + (xy 171.511382 93.109906) + (xy 171.492004 93.234361) + (xy 171.490538 93.243775) + (xy 171.491702 93.252677) + (xy 171.491702 93.25268) + (xy 171.508104 93.37811) + (xy 171.508105 93.378114) + (xy 171.509269 93.387015) + (xy 171.512886 93.395235) + (xy 171.556245 93.493775) + (xy 171.567449 93.519239) + (xy 171.573226 93.526112) + (xy 171.573227 93.526113) + (xy 171.653102 93.621136) + (xy 171.660402 93.62982) + (xy 171.719756 93.66933) + (xy 171.768188 93.701568) + (xy 171.780655 93.709867) + (xy 171.918541 93.752946) + (xy 172.062975 93.755593) + (xy 172.127583 93.737979) + (xy 172.193688 93.719957) + (xy 172.19369 93.719956) + (xy 172.202347 93.717596) + (xy 172.325452 93.642009) + (xy 172.422395 93.534909) + (xy 172.468264 93.440234) + (xy 172.481467 93.412984) + (xy 172.481467 93.412983) + (xy 172.485381 93.404905) + (xy 172.509348 93.262448) + (xy 172.5095 93.25) + (xy 172.502114 93.198423) + (xy 172.490295 93.115893) + (xy 172.490294 93.11589) + (xy 172.489021 93.107) + (xy 172.483971 93.095892) + (xy 172.446557 93.013606) + (xy 172.429229 92.975496) + (xy 172.430305 92.975007) + (xy 172.412879 92.915163) + (xy 172.433035 92.847088) + (xy 172.486795 92.800716) + (xy 172.541187 92.789468) + (xy 172.603498 92.79061) + (xy 172.603502 92.79061) + (xy 172.612475 92.790774) + (xy 172.686994 92.770458) + (xy 172.743188 92.755138) + (xy 172.74319 92.755137) + (xy 172.751847 92.752777) + (xy 172.874952 92.67719) + (xy 172.881047 92.670457) + (xy 172.906797 92.642009) + (xy 172.971895 92.57009) + (xy 173.006684 92.498286) + (xy 173.030967 92.448165) + (xy 173.030967 92.448164) + (xy 173.034881 92.440086) + (xy 173.053204 92.331174) + (xy 173.088363 92.262984) + (xy 175.604102 89.747245) + (xy 175.620585 89.733932) + (xy 175.623196 89.731556) + (xy 175.631948 89.725905) + (xy 175.651583 89.700998) + (xy 175.654987 89.697167) + (xy 175.654877 89.697074) + (xy 175.65823 89.693117) + (xy 175.661911 89.689436) + (xy 175.671953 89.675384) + (xy 175.675517 89.670638) + (xy 175.698584 89.641378) + (xy 175.698585 89.641376) + (xy 175.705032 89.633198) + (xy 175.707866 89.625129) + (xy 175.712841 89.618167) + (xy 175.726508 89.572469) + (xy 175.728335 89.566844) + (xy 175.744147 89.521817) + (xy 175.7445 89.517741) + (xy 175.7445 89.515032) + (xy 175.744618 89.512299) + (xy 175.745175 89.512323) + (xy 175.746499 89.506171) + (xy 175.747717 89.506433) + (xy 175.764502 89.44927) + (xy 175.818158 89.402777) + (xy 175.888432 89.392673) + (xy 175.952163 89.421437) + (xy 176.077175 89.527831) + (xy 176.087247 89.534831) + (xy 176.249062 89.625266) + (xy 176.256809 89.628651) + (xy 176.311222 89.674255) + (xy 176.332343 89.742037) + (xy 176.313465 89.810478) + (xy 176.295455 89.833206) + (xy 173.535898 92.592763) + (xy 173.519415 92.606076) + (xy 173.516804 92.608452) + (xy 173.508052 92.614103) + (xy 173.489679 92.637409) + (xy 173.488417 92.63901) + (xy 173.485013 92.642841) + (xy 173.485123 92.642934) + (xy 173.48177 92.646891) + (xy 173.478089 92.650572) + (xy 173.473076 92.657587) + (xy 173.468047 92.664624) + (xy 173.464483 92.66937) + (xy 173.441416 92.69863) + (xy 173.441415 92.698632) + (xy 173.434968 92.70681) + (xy 173.432134 92.714879) + (xy 173.427159 92.721841) + (xy 173.418397 92.75114) + (xy 173.413492 92.767539) + (xy 173.411665 92.773164) + (xy 173.395853 92.818191) + (xy 173.3955 92.822267) + (xy 173.3955 92.824976) + (xy 173.395382 92.827709) + (xy 173.395237 92.827703) + (xy 173.395167 92.828817) + (xy 173.393335 92.834942) + (xy 173.395088 92.879573) + (xy 173.395403 92.887584) + (xy 173.3955 92.89253) + (xy 173.3955 94.191817) + (xy 173.375498 94.259938) + (xy 173.363942 94.275224) + (xy 173.324156 94.320273) + (xy 173.302376 94.366664) + (xy 173.271366 94.432714) + (xy 173.262763 94.451037) + (xy 173.261382 94.459906) + (xy 173.241996 94.584414) + (xy 173.240538 94.593775) + (xy 173.241702 94.602677) + (xy 173.241702 94.60268) + (xy 173.258104 94.72811) + (xy 173.258105 94.728114) + (xy 173.259269 94.737015) + (xy 173.317449 94.869239) + (xy 173.323226 94.876112) + (xy 173.323227 94.876113) + (xy 173.399824 94.967236) + (xy 173.410402 94.97982) + (xy 173.423603 94.988607) + (xy 173.52277 95.054618) + (xy 173.530655 95.059867) + (xy 173.668541 95.102946) + (xy 173.812975 95.105593) + (xy 173.885586 95.085797) + (xy 173.943688 95.069957) + (xy 173.94369 95.069956) + (xy 173.952347 95.067596) + (xy 174.075452 94.992009) + (xy 174.172395 94.884909) + (xy 174.223163 94.780124) + (xy 174.231467 94.762984) + (xy 174.231467 94.762983) + (xy 174.235381 94.754905) + (xy 174.259348 94.612448) + (xy 174.2595 94.6) + (xy 174.251305 94.542776) + (xy 174.240295 94.465893) + (xy 174.240294 94.46589) + (xy 174.239021 94.457) + (xy 174.234347 94.446719) + (xy 174.210668 94.394642) + (xy 174.179229 94.325496) + (xy 174.135047 94.27422) + (xy 174.105733 94.209557) + (xy 174.1045 94.191972) + (xy 174.1045 93.079029) + (xy 174.124502 93.010908) + (xy 174.141405 92.989934) + (xy 175.097413 92.033926) + (xy 175.159725 91.9999) + (xy 175.23054 92.004965) + (xy 175.287376 92.047512) + (xy 175.312187 92.114032) + (xy 175.312508 92.123021) + (xy 175.312508 96.838865) + (xy 175.292506 96.906986) + (xy 175.23885 96.953479) + (xy 175.186508 96.964865) + (xy 174.291797 96.964865) + (xy 174.223676 96.944863) + (xy 174.202702 96.927961) + (xy 173.929852 96.655112) + (xy 173.922834 96.646328) + (xy 173.922383 96.646712) + (xy 173.916563 96.639874) + (xy 173.911774 96.632283) + (xy 173.874027 96.598946) + (xy 173.86834 96.5936) + (xy 173.855817 96.581077) + (xy 173.846504 96.574097) + (xy 173.838671 96.56772) + (xy 173.810223 96.542596) + (xy 173.810222 96.542596) + (xy 173.803497 96.536656) + (xy 173.795374 96.532842) + (xy 173.792543 96.530983) + (xy 173.778598 96.522605) + (xy 173.775631 96.52098) + (xy 173.768444 96.515594) + (xy 173.724524 96.49913) + (xy 173.715202 96.495202) + (xy 173.680863 96.47908) + (xy 173.680862 96.47908) + (xy 173.672733 96.475263) + (xy 173.66386 96.473882) + (xy 173.660623 96.472892) + (xy 173.644878 96.468762) + (xy 173.641583 96.468038) + (xy 173.633177 96.464886) + (xy 173.624225 96.464221) + (xy 173.615458 96.462293) + (xy 173.615968 96.459973) + (xy 173.561561 96.43951) + (xy 173.522646 96.391001) + (xy 173.507946 96.35867) + (xy 173.507944 96.358668) + (xy 173.504229 96.350496) + (xy 173.409933 96.241059) + (xy 173.288711 96.162487) + (xy 173.191793 96.133502) + (xy 173.15685 96.123052) + (xy 173.156848 96.123052) + (xy 173.150309 96.121096) + (xy 173.142288 96.1205) + (xy 172.090086 96.1205) + (xy 172.051562 96.126017) + (xy 171.990893 96.134705) + (xy 171.99089 96.134706) + (xy 171.982 96.135979) + (xy 171.973824 96.139696) + (xy 171.973822 96.139697) + (xy 171.93002 96.159613) + (xy 171.850496 96.195771) + (xy 171.741059 96.290067) + (xy 171.686015 96.374989) + (xy 171.671278 96.397726) + (xy 171.617442 96.44401) + (xy 171.573348 96.454952) + (xy 171.528119 96.457758) + (xy 171.520317 96.458) + (xy 171.502586 96.458) + (xy 171.491046 96.459653) + (xy 171.481003 96.460681) + (xy 171.443137 96.46303) + (xy 171.443135 96.46303) + (xy 171.434179 96.463586) + (xy 171.425738 96.466633) + (xy 171.422446 96.467315) + (xy 171.406646 96.471254) + (xy 171.403392 96.472206) + (xy 171.3945 96.473479) + (xy 171.386325 96.477196) + (xy 171.386322 96.477197) + (xy 171.351794 96.492897) + (xy 171.342428 96.49671) + (xy 171.335193 96.499322) + (xy 171.306743 96.509592) + (xy 171.30674 96.509593) + (xy 171.298304 96.512639) + (xy 171.291061 96.51793) + (xy 171.28809 96.51951) + (xy 171.274018 96.527733) + (xy 171.27117 96.529555) + (xy 171.262996 96.533271) + (xy 171.249335 96.545042) + (xy 171.227461 96.563889) + (xy 171.219545 96.570175) + (xy 171.212013 96.575678) + (xy 171.2077 96.578829) + (xy 171.195925 96.590604) + (xy 171.189077 96.596963) + (xy 171.160357 96.621709) + (xy 171.160355 96.621711) + (xy 171.153559 96.627567) + (xy 171.148679 96.635096) + (xy 171.142775 96.641864) + (xy 171.142712 96.641809) + (xy 171.134202 96.652327) + (xy 170.982681 96.803849) + (xy 170.85857 96.92796) + (xy 170.796257 96.961985) + (xy 170.769474 96.964865) + (xy 168.34823 96.964865) + (xy 168.337058 96.963617) + (xy 168.337011 96.964207) + (xy 168.328065 96.963487) + (xy 168.319309 96.961506) + (xy 168.269067 96.964623) + (xy 168.261265 96.964865) + (xy 168.243534 96.964865) + (xy 168.231994 96.966518) + (xy 168.221951 96.967546) + (xy 168.184085 96.969895) + (xy 168.184083 96.969895) + (xy 168.175127 96.970451) + (xy 168.166686 96.973498) + (xy 168.163394 96.97418) + (xy 168.147594 96.978119) + (xy 168.14434 96.979071) + (xy 168.135448 96.980344) + (xy 168.127273 96.984061) + (xy 168.12727 96.984062) + (xy 168.092742 96.999762) + (xy 168.083376 97.003575) + (xy 168.073052 97.007302) + (xy 168.047691 97.016457) + (xy 168.047688 97.016458) + (xy 168.039252 97.019504) + (xy 168.032009 97.024795) + (xy 168.029038 97.026375) + (xy 168.014966 97.034598) + (xy 168.012118 97.03642) + (xy 168.003944 97.040136) + (xy 167.978966 97.061658) + (xy 167.968409 97.070754) + (xy 167.960493 97.07704) + (xy 167.957572 97.079174) + (xy 167.948648 97.085694) + (xy 167.936873 97.097469) + (xy 167.930025 97.103828) + (xy 167.901305 97.128574) + (xy 167.901303 97.128576) + (xy 167.894507 97.134432) + (xy 167.889627 97.141961) + (xy 167.883723 97.148729) + (xy 167.88366 97.148674) + (xy 167.87515 97.159192) + (xy 167.712357 97.321986) + (xy 167.571903 97.46244) + (xy 167.50959 97.496465) + (xy 167.490731 97.499095) + (xy 167.476422 97.499995) + (xy 167.468886 97.502444) + (xy 167.468884 97.502444) + (xy 167.326741 97.548629) + (xy 167.326738 97.54863) + (xy 167.319199 97.55108) + (xy 167.179619 97.63966) + (xy 167.174192 97.645439) + (xy 167.174191 97.64544) + (xy 167.079212 97.746582) + (xy 167.066453 97.760169) + (xy 166.986812 97.905035) + (xy 166.9457 98.065156) + (xy 166.9457 98.23047) + (xy 166.986812 98.390591) + (xy 167.041126 98.489388) + (xy 167.056631 98.51759) + (xy 167.066453 98.535457) + (xy 167.071877 98.541233) + (xy 167.171287 98.647093) + (xy 167.179619 98.655966) + (xy 167.319199 98.744546) + (xy 167.326738 98.746996) + (xy 167.326741 98.746997) + (xy 167.468884 98.793182) + (xy 167.468886 98.793182) + (xy 167.476422 98.795631) + (xy 167.484331 98.796129) + (xy 167.484333 98.796129) + (xy 167.558916 98.800822) + (xy 167.64141 98.806012) + (xy 167.649194 98.804527) + (xy 167.649196 98.804527) + (xy 167.796013 98.77652) + (xy 167.796014 98.77652) + (xy 167.803797 98.775035) + (xy 167.953378 98.704647) + (xy 167.959483 98.699597) + (xy 167.959487 98.699594) + (xy 168.074647 98.604325) + (xy 168.080755 98.599272) + (xy 168.157416 98.493757) + (xy 168.173265 98.471943) + (xy 168.173266 98.471941) + (xy 168.177924 98.46553) + (xy 168.180995 98.457775) + (xy 168.235865 98.319189) + (xy 168.238781 98.311824) + (xy 168.23992 98.302814) + (xy 168.243329 98.275824) + (xy 168.24629 98.252386) + (xy 168.274671 98.18731) + (xy 168.282201 98.179083) + (xy 168.450514 98.01077) + (xy 168.512826 97.976744) + (xy 168.539609 97.973865) + (xy 170.96085 97.973865) + (xy 170.972021 97.975113) + (xy 170.972068 97.974523) + (xy 170.981019 97.975243) + (xy 170.989773 97.977224) + (xy 171.040016 97.974107) + (xy 171.047817 97.973865) + (xy 171.065549 97.973865) + (xy 171.077094 97.972212) + (xy 171.087131 97.971184) + (xy 171.124993 97.968835) + (xy 171.133955 97.968279) + (xy 171.142399 97.965231) + (xy 171.145724 97.964542) + (xy 171.161509 97.960606) + (xy 171.16475 97.959658) + (xy 171.173635 97.958386) + (xy 171.181806 97.954671) + (xy 171.181809 97.95467) + (xy 171.209458 97.942098) + (xy 171.216351 97.938964) + (xy 171.225687 97.935163) + (xy 171.269831 97.919226) + (xy 171.277078 97.913932) + (xy 171.280055 97.912349) + (xy 171.294117 97.904132) + (xy 171.296965 97.90231) + (xy 171.305139 97.898594) + (xy 171.340674 97.867976) + (xy 171.34859 97.86169) + (xy 171.356504 97.855908) + (xy 171.356505 97.855907) + (xy 171.360435 97.853036) + (xy 171.37221 97.841261) + (xy 171.379058 97.834902) + (xy 171.407778 97.810156) + (xy 171.40778 97.810154) + (xy 171.414576 97.804298) + (xy 171.419456 97.796769) + (xy 171.42536 97.790001) + (xy 171.425423 97.790056) + (xy 171.433936 97.779535) + (xy 171.573517 97.639954) + (xy 171.635829 97.605928) + (xy 171.706644 97.610993) + (xy 171.758065 97.646801) + (xy 171.771254 97.662107) + (xy 171.790067 97.683941) + (xy 171.905271 97.758612) + (xy 171.951552 97.812445) + (xy 171.961383 97.882758) + (xy 171.931639 97.947224) + (xy 171.879727 97.982781) + (xy 171.790239 98.015263) + (xy 171.77724 98.021773) + (xy 171.641694 98.110641) + (xy 171.630542 98.119965) + (xy 171.519078 98.237629) + (xy 171.510365 98.249276) + (xy 171.428957 98.389429) + (xy 171.423163 98.402754) + (xy 171.375932 98.5587) + (xy 171.373484 98.571323) + (xy 171.367249 98.641184) + (xy 171.367 98.646779) + (xy 171.367 98.765385) + (xy 171.371474 98.780623) + (xy 171.372865 98.781829) + (xy 171.380548 98.7835) + (xy 173.814885 98.7835) + (xy 173.830124 98.779025) + (xy 173.831329 98.777635) + (xy 173.833 98.769952) + (xy 173.833 98.660777) + (xy 173.832576 98.653476) + (xy 173.818383 98.531745) + (xy 173.815037 98.51759) + (xy 173.759737 98.365239) + (xy 173.753227 98.35224) + (xy 173.664359 98.216694) + (xy 173.655035 98.205542) + (xy 173.537371 98.094078) + (xy 173.525724 98.085365) + (xy 173.385571 98.003957) + (xy 173.37224 97.99816) + (xy 173.323565 97.983418) + (xy 173.264167 97.944529) + (xy 173.235224 97.8797) + (xy 173.245924 97.809514) + (xy 173.292871 97.756255) + (xy 173.307931 97.748131) + (xy 173.349504 97.729229) + (xy 173.383097 97.700283) + (xy 173.447759 97.670969) + (xy 173.518004 97.681268) + (xy 173.55444 97.706641) + (xy 173.624554 97.776755) + (xy 173.631571 97.785538) + (xy 173.632023 97.785154) + (xy 173.637841 97.79199) + (xy 173.642631 97.799582) + (xy 173.649359 97.805524) + (xy 173.680369 97.832911) + (xy 173.686056 97.838257) + (xy 173.698587 97.850788) + (xy 173.70755 97.857506) + (xy 173.707903 97.85777) + (xy 173.715746 97.864155) + (xy 173.750908 97.895209) + (xy 173.759033 97.899024) + (xy 173.761859 97.90088) + (xy 173.775814 97.909265) + (xy 173.778778 97.910888) + (xy 173.785961 97.916271) + (xy 173.794364 97.919421) + (xy 173.829881 97.932735) + (xy 173.839203 97.936663) + (xy 173.867602 97.949996) + (xy 173.881672 97.956602) + (xy 173.890545 97.957983) + (xy 173.893782 97.958973) + (xy 173.909519 97.963101) + (xy 173.91282 97.963827) + (xy 173.921228 97.966979) + (xy 173.959916 97.969854) + (xy 173.968005 97.970455) + (xy 173.978053 97.971609) + (xy 173.983281 97.972423) + (xy 173.992542 97.973865) + (xy 174.009218 97.973865) + (xy 174.018556 97.974212) + (xy 174.056338 97.97702) + (xy 174.056339 97.97702) + (xy 174.065289 97.977685) + (xy 174.074064 97.975812) + (xy 174.083026 97.975201) + (xy 174.083032 97.975285) + (xy 174.096484 97.973865) + (xy 177.158924 97.973865) + (xy 177.227045 97.993867) + (xy 177.248019 98.01077) + (xy 177.968446 98.731197) + (xy 177.973589 98.734633) + (xy 177.973592 98.734636) + (xy 178.027252 98.77049) + (xy 178.052634 98.78745) + (xy 178.15194 98.807203) + (xy 178.251246 98.78745) + (xy 178.276628 98.77049) + (xy 178.330288 98.734636) + (xy 178.330291 98.734633) + (xy 178.335434 98.731197) + (xy 178.649743 98.416888) + (xy 178.712055 98.382862) + (xy 178.78287 98.387927) + (xy 178.827933 98.416888) + (xy 180.018435 99.60739) + (xy 180.025452 99.616173) + (xy 180.025904 99.615789) + (xy 180.031722 99.622625) + (xy 180.036512 99.630217) + (xy 180.04324 99.636159) + (xy 180.07425 99.663546) + (xy 180.079937 99.668892) + (xy 180.092468 99.681423) + (xy 180.0993 99.686543) + (xy 180.101784 99.688405) + (xy 180.109627 99.69479) + (xy 180.144789 99.725844) + (xy 180.152914 99.729659) + (xy 180.15574 99.731515) + (xy 180.169695 99.7399) + (xy 180.172659 99.741523) + (xy 180.179842 99.746906) + (xy 180.188245 99.750056) + (xy 180.223762 99.76337) + (xy 180.233084 99.767298) + (xy 180.266064 99.782782) + (xy 180.275553 99.787237) + (xy 180.284426 99.788618) + (xy 180.287663 99.789608) + (xy 180.303408 99.793738) + (xy 180.306703 99.794463) + (xy 180.315109 99.797614) + (xy 180.361912 99.801092) + (xy 180.371932 99.802244) + (xy 180.381602 99.80375) + (xy 180.381611 99.803751) + (xy 180.386423 99.8045) + (xy 180.403089 99.8045) + (xy 180.412428 99.804847) + (xy 180.450219 99.807656) + (xy 180.450221 99.807656) + (xy 180.45917 99.808321) + (xy 180.467944 99.806448) + (xy 180.476908 99.805837) + (xy 180.476914 99.805921) + (xy 180.49037 99.8045) + (xy 184.330215 99.8045) + (xy 184.341386 99.805748) + (xy 184.341433 99.805158) + (xy 184.350384 99.805878) + (xy 184.359138 99.807859) + (xy 184.409381 99.804742) + (xy 184.417182 99.8045) + (xy 184.434914 99.8045) + (xy 184.446459 99.802847) + (xy 184.456496 99.801819) + (xy 184.494358 99.79947) + (xy 184.50332 99.798914) + (xy 184.511764 99.795866) + (xy 184.515089 99.795177) + (xy 184.530874 99.791241) + (xy 184.534115 99.790293) + (xy 184.543 99.789021) + (xy 184.551171 99.785306) + (xy 184.551174 99.785305) + (xy 184.574847 99.774541) + (xy 184.585716 99.769599) + (xy 184.595052 99.765798) + (xy 184.639196 99.749861) + (xy 184.646443 99.744567) + (xy 184.64942 99.742984) + (xy 184.663482 99.734767) + (xy 184.66633 99.732945) + (xy 184.674504 99.729229) + (xy 184.710039 99.698611) + (xy 184.717955 99.692325) + (xy 184.725869 99.686543) + (xy 184.72587 99.686542) + (xy 184.7298 99.683671) + (xy 184.741575 99.671896) + (xy 184.748423 99.665537) + (xy 184.777143 99.640791) + (xy 184.777145 99.640789) + (xy 184.783941 99.634933) + (xy 184.788821 99.627404) + (xy 184.794725 99.620636) + (xy 184.794788 99.620691) + (xy 184.803301 99.61017) + (xy 188.259325 96.154146) + (xy 188.321637 96.12012) + (xy 188.392452 96.125185) + (xy 188.437515 96.154146) + (xy 188.751824 96.468455) + (xy 188.756967 96.471891) + (xy 188.75697 96.471894) + (xy 188.836012 96.524708) + (xy 188.833636 96.528264) + (xy 188.870643 96.558105) + (xy 188.872275 96.561093) + (xy 188.872348 96.561044) + (xy 188.925162 96.640086) + (xy 188.925165 96.640089) + (xy 188.928601 96.645232) + (xy 189.31751 97.034141) + (xy 189.322653 97.037577) + (xy 189.322656 97.03758) + (xy 189.401698 97.090394) + (xy 189.399317 97.093957) + (xy 189.436274 97.12371) + (xy 189.437952 97.126783) + (xy 189.438033 97.126729) + (xy 189.490847 97.205771) + (xy 189.49085 97.205774) + (xy 189.494286 97.210917) + (xy 189.883195 97.599826) + (xy 189.888338 97.603262) + (xy 189.888341 97.603265) + (xy 189.967383 97.656079) + (xy 189.965007 97.659635) + (xy 190.002014 97.689476) + (xy 190.003646 97.692464) + (xy 190.003719 97.692415) + (xy 190.056533 97.771457) + (xy 190.056536 97.77146) + (xy 190.059972 97.776603) + (xy 190.137732 97.854363) + (xy 190.171758 97.916675) + (xy 190.166693 97.98749) + (xy 190.124146 98.044326) + (xy 190.057626 98.069137) + (xy 190.048637 98.069458) + (xy 189.273086 98.069458) + (xy 189.204965 98.049456) + (xy 189.183991 98.032553) + (xy 188.840545 97.689107) + (xy 188.806519 97.626795) + (xy 188.804919 97.617912) + (xy 188.789021 97.506901) + (xy 188.774766 97.475548) + (xy 188.752344 97.426235) + (xy 188.729229 97.375397) + (xy 188.718932 97.363446) + (xy 188.640792 97.27276) + (xy 188.634933 97.26596) + (xy 188.513711 97.187388) + (xy 188.375309 97.145997) + (xy 188.366333 97.145942) + (xy 188.366332 97.145942) + (xy 188.305055 97.145568) + (xy 188.230853 97.145115) + (xy 188.091956 97.184812) + (xy 187.969783 97.261897) + (xy 187.874156 97.370174) + (xy 187.85349 97.414192) + (xy 187.827699 97.469126) + (xy 187.812763 97.500938) + (xy 187.811382 97.509807) + (xy 187.792047 97.633986) + (xy 187.790538 97.643676) + (xy 187.791702 97.652578) + (xy 187.791702 97.652581) + (xy 187.808104 97.778011) + (xy 187.808105 97.778015) + (xy 187.809269 97.786916) + (xy 187.823453 97.819152) + (xy 187.860763 97.903944) + (xy 187.867449 97.91914) + (xy 187.873226 97.926013) + (xy 187.873227 97.926014) + (xy 187.933872 97.99816) + (xy 187.960402 98.029721) + (xy 187.998501 98.055082) + (xy 188.065876 98.09993) + (xy 188.080655 98.109768) + (xy 188.218541 98.152847) + (xy 188.252324 98.153466) + (xy 188.320066 98.174713) + (xy 188.33911 98.19035) + (xy 188.78682 98.63806) + (xy 188.800133 98.654543) + (xy 188.802509 98.657154) + (xy 188.80816 98.665906) + (xy 188.826726 98.680542) + (xy 188.833067 98.685541) + (xy 188.836898 98.688945) + (xy 188.836991 98.688835) + (xy 188.840948 98.692188) + (xy 188.844629 98.695869) + (xy 188.856152 98.704103) + (xy 188.858681 98.705911) + (xy 188.863427 98.709475) + (xy 188.892687 98.732542) + (xy 188.892689 98.732543) + (xy 188.900867 98.73899) + (xy 188.908936 98.741824) + (xy 188.915898 98.746799) + (xy 188.959267 98.759769) + (xy 188.961601 98.760467) + (xy 188.967248 98.762302) + (xy 189.004769 98.775479) + (xy 189.004772 98.77548) + (xy 189.012248 98.778105) + (xy 189.016324 98.778458) + (xy 189.019033 98.778458) + (xy 189.021766 98.778576) + (xy 189.02176 98.778721) + (xy 189.022875 98.778791) + (xy 189.029 98.780623) + (xy 189.081641 98.778555) + (xy 189.086587 98.778458) + (xy 190.881179 98.778458) + (xy 190.902266 98.780702) + (xy 190.905783 98.780868) + (xy 190.915961 98.783059) + (xy 190.947459 98.779331) + (xy 190.952573 98.779029) + (xy 190.952561 98.778886) + (xy 190.957739 98.778458) + (xy 190.962936 98.778458) + (xy 190.974581 98.77652) + (xy 190.979979 98.775622) + (xy 190.985851 98.774787) + (xy 191.022151 98.77049) + (xy 191.085181 98.779207) + (xy 191.088434 98.780555) + (xy 191.098754 98.78745) + (xy 191.19806 98.807203) + (xy 191.297366 98.78745) + (xy 191.322748 98.77049) + (xy 191.376408 98.734636) + (xy 191.376411 98.734633) + (xy 191.381554 98.731197) + (xy 191.925673 98.187078) + (xy 191.987985 98.153052) + (xy 192.0588 98.158117) + (xy 192.103863 98.187078) + (xy 192.268387 98.351602) + (xy 192.2817 98.368085) + (xy 192.284076 98.370696) + (xy 192.289727 98.379448) + (xy 192.312673 98.397537) + (xy 192.314634 98.399083) + (xy 192.318468 98.40249) + (xy 192.318561 98.40238) + (xy 192.322519 98.405734) + (xy 192.326196 98.409411) + (xy 192.330421 98.41243) + (xy 192.330423 98.412432) + (xy 192.340243 98.41945) + (xy 192.344986 98.423012) + (xy 192.360147 98.434963) + (xy 192.382434 98.452532) + (xy 192.390504 98.455366) + (xy 192.397466 98.460341) + (xy 192.436261 98.471943) + (xy 192.443166 98.474008) + (xy 192.448814 98.475843) + (xy 192.493815 98.491647) + (xy 192.497891 98.492) + (xy 192.500603 98.492) + (xy 192.503333 98.492118) + (xy 192.503327 98.492264) + (xy 192.504442 98.492334) + (xy 192.510567 98.494166) + (xy 192.563223 98.492097) + (xy 192.568169 98.492) + (xy 194.838444 98.492) + (xy 194.906565 98.512002) + (xy 194.953145 98.56585) + (xy 194.990083 98.647093) + (xy 194.990085 98.647096) + (xy 194.993801 98.655269) + (xy 194.999659 98.662068) + (xy 194.999662 98.662072) + (xy 195.079925 98.755221) + (xy 195.079928 98.755223) + (xy 195.085785 98.762021) + (xy 195.134114 98.793346) + (xy 195.180395 98.847179) + (xy 195.190226 98.917492) + (xy 195.188796 98.923837) + (xy 195.187763 98.926037) + (xy 195.165538 99.068775) + (xy 195.166702 99.077677) + (xy 195.166702 99.077679) + (xy 195.172469 99.121779) + (xy 195.175637 99.146) + (xy 195.181062 99.187488) + (xy 195.170062 99.257628) + (xy 195.138375 99.299278) + (xy 195.037979 99.385785) + (xy 194.961335 99.504032) + (xy 194.958763 99.512634) + (xy 194.958761 99.512637) + (xy 194.923605 99.630192) + (xy 194.920959 99.639039) + (xy 194.9205 99.645216) + (xy 194.9205 100.247413) + (xy 194.935477 100.351991) + (xy 194.993801 100.480269) + (xy 194.999659 100.487068) + (xy 194.999662 100.487072) + (xy 195.079925 100.580221) + (xy 195.079928 100.580223) + (xy 195.085785 100.587021) + (xy 195.204032 100.663665) + (xy 195.212634 100.666237) + (xy 195.212637 100.666239) + (xy 195.332498 100.702085) + (xy 195.3325 100.702085) + (xy 195.339039 100.704041) + (xy 195.345216 100.7045) + (xy 195.922413 100.7045) + (xy 195.98416 100.695657) + (xy 196.018104 100.690796) + (xy 196.018106 100.690795) + (xy 196.026991 100.689523) + (xy 196.155269 100.631199) + (xy 196.162068 100.625341) + (xy 196.162072 100.625338) + (xy 196.255221 100.545075) + (xy 196.255223 100.545072) + (xy 196.262021 100.539215) + (xy 196.338665 100.420968) + (xy 196.341237 100.412366) + (xy 196.341239 100.412363) + (xy 196.377085 100.292502) + (xy 196.377085 100.2925) + (xy 196.379041 100.285961) + (xy 196.3795 100.279784) + (xy 196.3795 99.677587) + (xy 196.368198 99.598669) + (xy 196.365796 99.581896) + (xy 196.365795 99.581894) + (xy 196.364523 99.573009) + (xy 196.306199 99.444731) + (xy 196.300341 99.437932) + (xy 196.300338 99.437928) + (xy 196.220073 99.344777) + (xy 196.220071 99.344775) + (xy 196.214215 99.337979) + (xy 196.206687 99.3331) + (xy 196.204287 99.331006) + (xy 196.166103 99.271152) + (xy 196.162863 99.215154) + (xy 196.1767 99.132905) + (xy 196.184348 99.087448) + (xy 196.1845 99.075) + (xy 196.164021 98.932) + (xy 196.160306 98.923829) + (xy 196.160305 98.923826) + (xy 196.159546 98.922157) + (xy 196.15929 98.920355) + (xy 196.157785 98.915208) + (xy 196.158528 98.914991) + (xy 196.149558 98.851867) + (xy 196.179158 98.787335) + (xy 196.191998 98.774551) + (xy 196.255221 98.720075) + (xy 196.255223 98.720072) + (xy 196.262021 98.714215) + (xy 196.338665 98.595968) + (xy 196.341237 98.587366) + (xy 196.341239 98.587363) + (xy 196.377085 98.467502) + (xy 196.377085 98.4675) + (xy 196.379041 98.460961) + (xy 196.3795 98.454784) + (xy 196.3795 97.852587) + (xy 196.3705 97.789747) + (xy 196.365796 97.756896) + (xy 196.365795 97.756894) + (xy 196.364523 97.748009) + (xy 196.357924 97.733494) + (xy 196.337742 97.689107) + (xy 196.306199 97.619731) + (xy 196.300341 97.612932) + (xy 196.300338 97.612928) + (xy 196.220075 97.519779) + (xy 196.220072 97.519777) + (xy 196.214215 97.512979) + (xy 196.095968 97.436335) + (xy 196.087366 97.433763) + (xy 196.087363 97.433761) + (xy 195.967502 97.397915) + (xy 195.9675 97.397915) + (xy 195.960961 97.395959) + (xy 195.954784 97.3955) + (xy 195.377587 97.3955) + (xy 195.316909 97.40419) + (xy 195.281896 97.409204) + (xy 195.281894 97.409205) + (xy 195.273009 97.410477) + (xy 195.144731 97.468801) + (xy 195.137932 97.474659) + (xy 195.137928 97.474662) + (xy 195.044779 97.554925) + (xy 195.044777 97.554928) + (xy 195.037979 97.560785) + (xy 194.961335 97.679032) + (xy 194.958762 97.687636) + (xy 194.957127 97.693103) + (xy 194.918444 97.752637) + (xy 194.853717 97.781806) + (xy 194.83641 97.783) + (xy 192.754653 97.783) + (xy 192.686532 97.762998) + (xy 192.665558 97.746095) + (xy 192.605202 97.685739) + (xy 192.571176 97.623427) + (xy 192.576241 97.552612) + (xy 192.605202 97.507549) + (xy 193.149321 96.96343) + (xy 193.152757 96.958287) + (xy 193.15276 96.958284) + (xy 193.198679 96.889561) + (xy 193.205574 96.879242) + (xy 193.225327 96.779936) + (xy 193.205574 96.68063) + (xy 193.186855 96.652615) + (xy 193.15276 96.601588) + (xy 193.152757 96.601585) + (xy 193.149321 96.596442) + (xy 193.071561 96.518682) + (xy 193.037535 96.45637) + (xy 193.0426 96.385555) + (xy 193.085147 96.328719) + (xy 193.151667 96.303908) + (xy 193.160656 96.303587) + (xy 193.345932 96.303587) + (xy 193.414053 96.323589) + (xy 193.435027 96.340492) + (xy 193.522572 96.428037) + (xy 193.527715 96.431473) + (xy 193.527718 96.431476) + (xy 193.557978 96.451695) + (xy 193.60676 96.48429) + (xy 193.706066 96.504043) + (xy 193.805372 96.48429) + (xy 193.854154 96.451695) + (xy 193.884414 96.431476) + (xy 193.884417 96.431473) + (xy 193.88956 96.428037) + (xy 194.455245 95.862352) + (xy 194.458681 95.857209) + (xy 194.458684 95.857206) + (xy 194.504603 95.788483) + (xy 194.511498 95.778164) + (xy 194.531251 95.678858) + (xy 194.532877 95.679181) + (xy 194.548832 95.624844) + (xy 194.602488 95.578351) + (xy 194.621688 95.571402) + (xy 194.718688 95.544957) + (xy 194.71869 95.544956) + (xy 194.727347 95.542596) + (xy 194.850452 95.467009) + (xy 194.947395 95.359909) + (xy 194.978637 95.295425) + (xy 194.98569 95.280868) + (xy 195.033392 95.228285) + (xy 195.055012 95.219839) + (xy 195.054527 95.218668) + (xy 195.065992 95.213919) + (xy 195.078164 95.211498) + (xy 195.10947 95.19058) + (xy 195.157206 95.158684) + (xy 195.157209 95.158681) + (xy 195.162352 95.155245) + (xy 195.728037 94.58956) + (xy 195.731473 94.584417) + (xy 195.731476 94.584414) + (xy 195.777395 94.515691) + (xy 195.78429 94.505372) + (xy 195.804043 94.406066) + (xy 195.78429 94.30676) + (xy 195.763219 94.275225) + (xy 195.731476 94.227718) + (xy 195.731473 94.227715) + (xy 195.728037 94.222572) + (xy 195.374484 93.869019) + (xy 195.369341 93.865583) + (xy 195.369338 93.86558) + (xy 195.300615 93.819661) + (xy 195.290296 93.812766) + (xy 195.278124 93.810345) + (xy 195.266659 93.805596) + (xy 195.267687 93.803115) + (xy 195.220677 93.778527) + (xy 195.193199 93.728206) + (xy 195.19042 93.729357) + (xy 195.185671 93.717892) + (xy 195.18325 93.70572) + (xy 195.159608 93.670337) + (xy 195.130436 93.626678) + (xy 195.130433 93.626675) + (xy 195.126997 93.621532) + (xy 194.844154 93.338689) + (xy 194.839011 93.335253) + (xy 194.839008 93.33525) + (xy 194.770285 93.289331) + (xy 194.759966 93.282436) + (xy 194.723763 93.275235) + (xy 194.660854 93.242328) + (xy 194.624765 93.176237) + (xy 194.623159 93.16816) + (xy 194.617564 93.140034) + (xy 194.593157 93.103506) + (xy 194.56475 93.060992) + (xy 194.564747 93.060989) + (xy 194.561311 93.055846) + (xy 194.278468 92.773003) + (xy 194.273325 92.769567) + (xy 194.273322 92.769564) + (xy 194.204599 92.723645) + (xy 194.19428 92.71675) + (xy 194.182108 92.714329) + (xy 194.170643 92.70958) + (xy 194.171671 92.707099) + (xy 194.124661 92.682511) + (xy 194.097183 92.63219) + (xy 194.094404 92.633341) + (xy 194.089655 92.621876) + (xy 194.087234 92.609704) + (xy 194.072373 92.587463) + (xy 194.03442 92.530662) + (xy 194.034417 92.530659) + (xy 194.030981 92.525516) + (xy 193.677428 92.171963) + (xy 193.672285 92.168527) + (xy 193.672282 92.168524) + (xy 193.603559 92.122605) + (xy 193.59324 92.11571) + (xy 193.493934 92.095957) + (xy 193.39955 92.114731) + (xy 193.328837 92.108403) + (xy 193.27277 92.064849) + (xy 193.249151 91.997896) + (xy 193.265478 91.928802) + (xy 193.276157 91.912973) + (xy 193.348725 91.821251) + (xy 193.356369 91.808875) + (xy 193.423766 91.664669) + (xy 193.428355 91.650874) + (xy 193.460772 91.49502) + (xy 193.462064 91.480543) + (xy 193.457759 91.321415) + (xy 193.455686 91.307026) + (xy 193.414887 91.153155) + (xy 193.409559 91.139628) + (xy 193.334054 90.998518) + (xy 193.326863 90.987866) + (xy 193.283292 90.935755) + (xy 193.279511 90.931622) + (xy 193.266362 90.918473) + (xy 193.252418 90.910859) + (xy 193.250583 90.91099) + (xy 193.24397 90.91524) + (xy 192.423759 91.735451) + (xy 192.361447 91.769477) + (xy 192.290632 91.764412) + (xy 192.245569 91.735451) + (xy 192.064549 91.554431) + (xy 192.030523 91.492119) + (xy 192.035588 91.421304) + (xy 192.064549 91.376241) + (xy 192.88153 90.55926) + (xy 192.889142 90.54532) + (xy 192.88901 90.543484) + (xy 192.884761 90.536873) + (xy 192.878268 90.53038) + (xy 192.87281 90.525522) + (xy 192.773225 90.446732) + (xy 192.774314 90.445356) + (xy 192.733011 90.399383) + (xy 192.721649 90.329301) + (xy 192.749979 90.264202) + (xy 192.757572 90.255899) + (xy 193.222065 89.791405) + (xy 193.284378 89.75738) + (xy 193.311161 89.7545) + (xy 195.185385 89.7545) + (xy 195.253506 89.774502) + (xy 195.280837 89.798251) + (xy 195.335785 89.862021) + (xy 195.378984 89.890021) + (xy 195.400532 89.903988) + (xy 195.446816 89.957824) + (xy 195.458 90.00972) + (xy 195.458 90.692715) + (xy 195.456752 90.703886) + (xy 195.457342 90.703933) + (xy 195.456622 90.712884) + (xy 195.454641 90.721638) + (xy 195.455197 90.730597) + (xy 195.457758 90.771879) + (xy 195.458 90.779681) + (xy 195.458 90.797414) + (xy 195.459653 90.808959) + (xy 195.460681 90.818996) + (xy 195.462181 90.843166) + (xy 195.463586 90.86582) + (xy 195.466634 90.874264) + (xy 195.467323 90.877589) + (xy 195.471259 90.893374) + (xy 195.472207 90.896615) + (xy 195.473479 90.9055) + (xy 195.477194 90.913671) + (xy 195.477195 90.913674) + (xy 195.492899 90.948211) + (xy 195.496702 90.957552) + (xy 195.512639 91.001696) + (xy 195.517933 91.008943) + (xy 195.519516 91.01192) + (xy 195.527733 91.025982) + (xy 195.529555 91.02883) + (xy 195.533271 91.037004) + (xy 195.541876 91.046991) + (xy 195.563889 91.072539) + (xy 195.570175 91.080455) + (xy 195.578829 91.0923) + (xy 195.590604 91.104075) + (xy 195.596963 91.110923) + (xy 195.621709 91.139643) + (xy 195.621711 91.139645) + (xy 195.627567 91.146441) + (xy 195.635096 91.151321) + (xy 195.641864 91.157225) + (xy 195.641809 91.157288) + (xy 195.652327 91.165798) + (xy 195.894282 91.407752) + (xy 196.113213 91.626683) + (xy 196.146158 91.68444) + (xy 196.186812 91.842778) + (xy 196.266453 91.987644) + (xy 196.271877 91.99342) + (xy 196.37044 92.098378) + (xy 196.379619 92.108153) + (xy 196.519199 92.196733) + (xy 196.526738 92.199183) + (xy 196.526741 92.199184) + (xy 196.668884 92.245369) + (xy 196.668886 92.245369) + (xy 196.676422 92.247818) + (xy 196.684331 92.248316) + (xy 196.684333 92.248316) + (xy 196.752217 92.252587) + (xy 196.84141 92.258199) + (xy 196.849194 92.256714) + (xy 196.849196 92.256714) + (xy 196.996013 92.228707) + (xy 196.996014 92.228707) + (xy 197.003797 92.227222) + (xy 197.153378 92.156834) + (xy 197.159483 92.151784) + (xy 197.159487 92.151781) + (xy 197.274647 92.056512) + (xy 197.280755 92.051459) + (xy 197.314535 92.004965) + (xy 197.373265 91.92413) + (xy 197.373266 91.924128) + (xy 197.377924 91.917717) + (xy 197.381014 91.909914) + (xy 197.435864 91.771379) + (xy 197.435864 91.771377) + (xy 197.438781 91.764011) + (xy 197.439963 91.75466) + (xy 197.458506 91.607868) + (xy 197.4595 91.6) + (xy 197.449097 91.51765) + (xy 197.439775 91.443854) + (xy 197.439774 91.443851) + (xy 197.438781 91.435989) + (xy 197.431844 91.418468) + (xy 197.380842 91.289652) + (xy 197.380841 91.28965) + (xy 197.377924 91.282283) + (xy 197.371871 91.273951) + (xy 197.285415 91.154955) + (xy 197.280755 91.148541) + (xy 197.247153 91.120743) + (xy 197.159487 91.048219) + (xy 197.159483 91.048216) + (xy 197.153378 91.043166) + (xy 197.003797 90.972778) + (xy 196.996016 90.971294) + (xy 196.996014 90.971293) + (xy 196.896612 90.952331) + (xy 196.831128 90.917658) + (xy 196.503905 90.590435) + (xy 196.469879 90.528123) + (xy 196.467 90.50134) + (xy 196.467 90.014133) + (xy 196.487002 89.946012) + (xy 196.524087 89.90901) + (xy 196.530269 89.906199) + (xy 196.537071 89.900338) + (xy 196.537074 89.900336) + (xy 196.575016 89.867642) + (xy 196.639679 89.838327) + (xy 196.709924 89.848626) + (xy 196.762637 89.894009) + (xy 196.807731 89.962788) + (xy 196.817055 89.97394) + (xy 196.932617 90.083413) + (xy 196.944264 90.092126) + (xy 197.08191 90.172078) + (xy 197.095247 90.177877) + (xy 197.248407 90.224264) + (xy 197.261039 90.226714) + (xy 197.328682 90.232751) + (xy 197.334277 90.233) + (xy 197.415385 90.233) + (xy 197.430624 90.228525) + (xy 197.431829 90.227135) + (xy 197.4335 90.219452) + (xy 197.4335 89.517548) + (xy 197.9415 89.517548) + (xy 197.9415 90.214885) + (xy 197.945975 90.230124) + (xy 197.947365 90.231329) + (xy 197.955048 90.233) + (xy 198.026723 90.233) + (xy 198.034027 90.232575) + (xy 198.15288 90.218719) + (xy 198.167032 90.215374) + (xy 198.316664 90.16106) + (xy 198.329663 90.15455) + (xy 198.462788 90.067269) + (xy 198.47394 90.057945) + (xy 198.583413 89.942383) + (xy 198.592126 89.930736) + (xy 198.672078 89.79309) + (xy 198.677877 89.779753) + (xy 198.724264 89.626593) + (xy 198.726714 89.613961) + (xy 198.732751 89.546318) + (xy 198.733 89.540723) + (xy 198.733 89.522115) + (xy 198.728525 89.506876) + (xy 198.727135 89.505671) + (xy 198.719452 89.504) + (xy 197.959615 89.504) + (xy 197.944376 89.508475) + (xy 197.943171 89.509865) + (xy 197.9415 89.517548) + (xy 197.4335 89.517548) + (xy 197.4335 88.285115) + (xy 197.432159 88.280548) + (xy 197.9415 88.280548) + (xy 197.9415 88.977885) + (xy 197.945975 88.993124) + (xy 197.947365 88.994329) + (xy 197.955048 88.996) + (xy 198.714885 88.996) + (xy 198.730124 88.991525) + (xy 198.731329 88.990135) + (xy 198.733 88.982452) + (xy 198.733 88.973277) + (xy 198.732575 88.965973) + (xy 198.718719 88.84712) + (xy 198.715374 88.832968) + (xy 198.66106 88.683336) + (xy 198.65455 88.670337) + (xy 198.567269 88.537212) + (xy 198.557945 88.52606) + (xy 198.442383 88.416587) + (xy 198.430736 88.407874) + (xy 198.29309 88.327922) + (xy 198.279753 88.322123) + (xy 198.126593 88.275736) + (xy 198.113961 88.273286) + (xy 198.046318 88.267249) + (xy 198.040723 88.267) + (xy 197.959615 88.267) + (xy 197.944376 88.271475) + (xy 197.943171 88.272865) + (xy 197.9415 88.280548) + (xy 197.432159 88.280548) + (xy 197.429025 88.269876) + (xy 197.427635 88.268671) + (xy 197.419952 88.267) + (xy 197.348277 88.267) + (xy 197.340973 88.267425) + (xy 197.22212 88.281281) + (xy 197.207968 88.284626) + (xy 197.058336 88.33894) + (xy 197.045337 88.34545) + (xy 196.912212 88.432731) + (xy 196.90106 88.442055) + (xy 196.791587 88.557617) + (xy 196.782874 88.569264) + (xy 196.767308 88.596062) + (xy 196.715797 88.64492) + (xy 196.646048 88.658174) + (xy 196.591407 88.634598) + (xy 196.589215 88.637979) + (xy 196.527543 88.598005) + (xy 196.470968 88.561335) + (xy 196.462366 88.558763) + (xy 196.462363 88.558761) + (xy 196.342502 88.522915) + (xy 196.3425 88.522915) + (xy 196.335961 88.520959) + (xy 196.329784 88.5205) + (xy 195.627587 88.5205) + (xy 195.56908 88.528879) + (xy 195.531896 88.534204) + (xy 195.531894 88.534205) + (xy 195.523009 88.535477) + (xy 195.394731 88.593801) + (xy 195.387932 88.599659) + (xy 195.387928 88.599662) + (xy 195.294777 88.679927) + (xy 195.287979 88.685785) + (xy 195.283099 88.693314) + (xy 195.277194 88.700083) + (xy 195.274865 88.698051) + (xy 195.232702 88.734309) + (xy 195.18079 88.7455) + (xy 193.119785 88.7455) + (xy 193.108614 88.744252) + (xy 193.108567 88.744842) + (xy 193.099616 88.744122) + (xy 193.090862 88.742141) + (xy 193.04062 88.745258) + (xy 193.032818 88.7455) + (xy 193.015086 88.7455) + (xy 193.003541 88.747153) + (xy 192.993504 88.748181) + (xy 192.955642 88.75053) + (xy 192.94668 88.751086) + (xy 192.938236 88.754134) + (xy 192.934911 88.754823) + (xy 192.919126 88.758759) + (xy 192.915885 88.759707) + (xy 192.907 88.760979) + (xy 192.898829 88.764694) + (xy 192.898826 88.764695) + (xy 192.888833 88.769239) + (xy 192.864284 88.780401) + (xy 192.854948 88.784202) + (xy 192.810804 88.800139) + (xy 192.803554 88.805436) + (xy 192.800554 88.807031) + (xy 192.786516 88.815234) + (xy 192.783666 88.817056) + (xy 192.775496 88.820771) + (xy 192.768698 88.826628) + (xy 192.768697 88.826629) + (xy 192.754954 88.838471) + (xy 192.743437 88.848395) + (xy 192.739955 88.851395) + (xy 192.732033 88.857685) + (xy 192.724137 88.863453) + (xy 192.724133 88.863457) + (xy 192.720201 88.866329) + (xy 192.708432 88.878098) + (xy 192.701595 88.884448) + (xy 192.686278 88.897645) + (xy 192.621619 88.926958) + (xy 192.551373 88.916661) + (xy 192.497846 88.87002) + (xy 192.478031 88.801845) + (xy 192.490638 88.747255) + (xy 192.493672 88.740992) + (xy 192.535381 88.654905) + (xy 192.559348 88.512448) + (xy 192.5595 88.5) + (xy 192.539021 88.357) + (xy 192.535064 88.348296) + (xy 192.50751 88.287697) + (xy 192.479229 88.225496) + (xy 192.468932 88.213545) + (xy 192.414278 88.150116) + (xy 192.384933 88.116059) + (xy 192.263711 88.037487) + (xy 192.125309 87.996096) + (xy 192.116333 87.996041) + (xy 192.116332 87.996041) + (xy 192.055055 87.995667) + (xy 191.980853 87.995214) + (xy 191.841956 88.034911) + (xy 191.719783 88.111996) + (xy 191.713841 88.118724) + (xy 191.675231 88.162442) + (xy 191.624156 88.220273) + (xy 191.602218 88.267) + (xy 191.570527 88.334501) + (xy 191.562763 88.351037) + (xy 191.561382 88.359906) + (xy 191.543645 88.473822) + (xy 191.540538 88.493775) + (xy 191.541702 88.502677) + (xy 191.541702 88.50268) + (xy 191.558104 88.62811) + (xy 191.558105 88.628114) + (xy 191.559269 88.637015) + (xy 191.562886 88.645235) + (xy 191.613815 88.760979) + (xy 191.617449 88.769239) + (xy 191.623228 88.776114) + (xy 191.638555 88.794348) + (xy 191.667076 88.859364) + (xy 191.65592 88.929479) + (xy 191.631199 88.964518) + (xy 191.074624 89.521093) + (xy 191.012312 89.555119) + (xy 190.941497 89.550054) + (xy 190.880764 89.502) + (xy 190.876129 89.495062) + (xy 190.851302 89.457907) + (xy 190.830436 89.426678) + (xy 190.830433 89.426675) + (xy 190.826997 89.421532) + (xy 190.544154 89.138689) + (xy 190.539011 89.135253) + (xy 190.539008 89.13525) + (xy 190.470285 89.089331) + (xy 190.459966 89.082436) + (xy 190.446623 89.079782) + (xy 190.444307 89.078571) + (xy 190.436329 89.075266) + (xy 190.436625 89.074552) + (xy 190.383714 89.046878) + (xy 190.34858 88.985184) + (xy 190.35232 88.914454) + (xy 190.358625 88.8965) + (xy 190.358626 88.896497) + (xy 190.361252 88.889018) + (xy 190.361605 88.884942) + (xy 190.361605 88.882233) + (xy 190.361723 88.8795) + (xy 190.361868 88.879506) + (xy 190.361938 88.878392) + (xy 190.36377 88.872267) + (xy 190.361702 88.819625) + (xy 190.361605 88.814679) + (xy 190.361605 88.596064) + (xy 190.363849 88.574977) + (xy 190.364015 88.57146) + (xy 190.366206 88.561282) + (xy 190.362478 88.529784) + (xy 190.362176 88.52467) + (xy 190.362033 88.524682) + (xy 190.361605 88.519504) + (xy 190.361605 88.514307) + (xy 190.35967 88.50268) + (xy 190.358769 88.497264) + (xy 190.357934 88.491392) + (xy 190.353554 88.454392) + (xy 190.35233 88.44405) + (xy 190.348628 88.43634) + (xy 190.347223 88.427899) + (xy 190.34112 88.416587) + (xy 190.32458 88.385935) + (xy 190.321882 88.380641) + (xy 190.306929 88.3495) + (xy 190.301231 88.337633) + (xy 190.298598 88.334501) + (xy 190.296664 88.332567) + (xy 190.29484 88.330578) + (xy 190.294948 88.330479) + (xy 190.294201 88.329632) + (xy 190.291166 88.324007) + (xy 190.281132 88.314731) + (xy 190.252478 88.288244) + (xy 190.248912 88.284815) + (xy 189.903192 87.939095) + (xy 189.869166 87.876783) + (xy 189.874231 87.805968) + (xy 189.916778 87.749132) + (xy 189.983298 87.724321) + (xy 189.992287 87.724) + (xy 198.97 87.724) + (xy 199.038121 87.744002) + (xy 199.084614 87.797658) + (xy 199.096 87.85) + (xy 199.096 111.57) + (xy 199.075998 111.638121) + (xy 199.022342 111.684614) + (xy 198.97 111.696) + (xy 99.73 111.696) + (xy 99.661879 111.675998) + (xy 99.615386 111.622342) + (xy 99.604 111.57) + (xy 99.604 108.389539) + (xy 100.199543 108.389539) + (xy 100.199543 108.710461) + (xy 100.218409 108.8598) + (xy 100.238554 109.019264) + (xy 100.239765 109.028853) + (xy 100.260629 109.110114) + (xy 100.318588 109.335851) + (xy 100.318591 109.335859) + (xy 100.319575 109.339693) + (xy 100.321032 109.343373) + (xy 100.321033 109.343376) + (xy 100.372425 109.473178) + (xy 100.437714 109.638079) + (xy 100.439615 109.641538) + (xy 100.439617 109.641541) + (xy 100.497141 109.746176) + (xy 100.59232 109.919305) + (xy 100.594648 109.922509) + (xy 100.594651 109.922514) + (xy 100.778625 110.175733) + (xy 100.780953 110.178937) + (xy 101.00064 110.412879) + (xy 101.141393 110.52932) + (xy 101.241389 110.612044) + (xy 101.247915 110.617443) + (xy 101.518878 110.789402) + (xy 101.522457 110.791086) + (xy 101.522464 110.79109) + (xy 101.805668 110.924355) + (xy 101.805672 110.924357) + (xy 101.809258 110.926044) + (xy 101.81303 110.92727) + (xy 101.813031 110.92727) + (xy 101.894212 110.953647) + (xy 102.114473 111.025214) + (xy 102.429711 111.085349) + (xy 102.525707 111.091389) + (xy 102.668533 111.100375) + (xy 102.668549 111.100376) + (xy 102.670528 111.1005) + (xy 102.829472 111.1005) + (xy 102.831451 111.100376) + (xy 102.831467 111.100375) + (xy 102.974293 111.091389) + (xy 103.070289 111.085349) + (xy 103.385527 111.025214) + (xy 103.605788 110.953647) + (xy 103.686969 110.92727) + (xy 103.68697 110.92727) + (xy 103.690742 110.926044) + (xy 103.694328 110.924357) + (xy 103.694332 110.924355) + (xy 103.977536 110.79109) + (xy 103.977543 110.791086) + (xy 103.981122 110.789402) + (xy 104.252085 110.617443) + (xy 104.258612 110.612044) + (xy 104.358607 110.52932) + (xy 104.49936 110.412879) + (xy 104.719047 110.178937) + (xy 104.721375 110.175733) + (xy 104.905349 109.922514) + (xy 104.905352 109.922509) + (xy 104.90768 109.919305) + (xy 105.002859 109.746176) + (xy 105.060383 109.641541) + (xy 105.060385 109.641538) + (xy 105.062286 109.638079) + (xy 105.063738 109.634411) + (xy 105.063743 109.634401) + (xy 105.14294 109.43437) + (xy 105.151432 109.412922) + (xy 105.195105 109.356949) + (xy 105.262108 109.333472) + (xy 105.331167 109.349947) + (xy 105.357678 109.370211) + (xy 106.174405 110.186938) + (xy 106.186792 110.201351) + (xy 106.199028 110.217978) + (xy 106.229805 110.244125) + (xy 106.241683 110.254216) + (xy 106.241289 110.25468) + (xy 106.243164 110.255697) + (xy 106.243385 110.255918) + (xy 106.246258 110.258191) + (xy 106.246341 110.258265) + (xy 106.249441 110.260807) + (xy 106.333087 110.331869) + (xy 106.381978 110.356834) + (xy 106.478103 110.405918) + (xy 106.489751 110.411866) + (xy 106.496856 110.413605) + (xy 106.49686 110.413606) + (xy 106.568808 110.431211) + (xy 106.660616 110.453676) + (xy 106.666218 110.454024) + (xy 106.666221 110.454024) + (xy 106.671959 110.45438) + (xy 106.671968 110.45438) + (xy 106.673898 110.4545) + (xy 106.935986 110.4545) + (xy 107.004107 110.474502) + (xy 107.052395 110.532281) + (xy 107.054405 110.537133) + (xy 107.056826 110.549306) + (xy 107.063721 110.559625) + (xy 107.063722 110.559627) + (xy 107.096958 110.609367) + (xy 107.113079 110.633494) + (xy 107.197267 110.689747) + (xy 107.296573 110.7095) + (xy 109.296573 110.7095) + (xy 109.395879 110.689747) + (xy 109.480067 110.633494) + (xy 109.53632 110.549306) + (xy 109.538741 110.537134) + (xy 109.54349 110.525669) + (xy 109.547408 110.527292) + (xy 109.569515 110.485063) + (xy 109.63122 110.44995) + (xy 109.660159 110.446582) + (xy 109.984967 110.446582) + (xy 109.988601 110.446158) + (xy 109.988606 110.446158) + (xy 110.109532 110.432059) + (xy 110.116805 110.431211) + (xy 110.123687 110.428713) + (xy 110.275276 110.373689) + (xy 110.282155 110.371192) + (xy 110.429263 110.274744) + (xy 110.550237 110.14704) + (xy 110.638589 109.994932) + (xy 110.640981 109.987036) + (xy 110.659791 109.924928) + (xy 110.689579 109.826578) + (xy 110.690249 109.815792) + (xy 110.69597 109.723565) + (xy 110.700471 109.651009) + (xy 110.698713 109.640775) + (xy 110.671921 109.48486) + (xy 110.670681 109.477644) + (xy 110.601808 109.315781) + (xy 110.597475 109.309893) + (xy 110.597472 109.309888) + (xy 110.501887 109.180003) + (xy 110.501883 109.179999) + (xy 110.497545 109.174104) + (xy 110.363486 109.060213) + (xy 110.261723 109.00825) + (xy 110.213338 108.983543) + (xy 110.213336 108.983542) + (xy 110.206822 108.980216) + (xy 110.199717 108.978477) + (xy 110.199713 108.978476) + (xy 110.118056 108.958495) + (xy 110.035957 108.938406) + (xy 110.030355 108.938058) + (xy 110.030352 108.938058) + (xy 110.024614 108.937702) + (xy 110.024605 108.937702) + (xy 110.022675 108.937582) + (xy 109.65388 108.937582) + (xy 109.585759 108.91758) + (xy 109.538338 108.860837) + (xy 109.53632 108.850694) + (xy 109.480067 108.766506) + (xy 109.395879 108.710253) + (xy 109.296573 108.6905) + (xy 107.296573 108.6905) + (xy 107.197267 108.710253) + (xy 107.113079 108.766506) + (xy 107.106186 108.776822) + (xy 107.097409 108.785599) + (xy 107.095888 108.784078) + (xy 107.053746 108.819293) + (xy 106.983302 108.828136) + (xy 106.91437 108.792855) + (xy 106.591405 108.46989) + (xy 106.557379 108.407578) + (xy 106.5545 108.380795) + (xy 106.5545 107.26586) + (xy 106.555933 107.246911) + (xy 106.556541 107.242911) + (xy 106.559037 107.226506) + (xy 106.558284 107.217241) + (xy 106.554915 107.175829) + (xy 106.5545 107.165614) + (xy 106.5545 107.157115) + (xy 106.551555 107.131856) + (xy 106.551093 107.127891) + (xy 106.55066 107.123515) + (xy 106.547896 107.089539) + (xy 106.544776 107.051179) + (xy 106.542521 107.044218) + (xy 106.541353 107.038371) + (xy 106.539977 107.032552) + (xy 106.539129 107.025277) + (xy 106.535179 107.014393) + (xy 106.514358 106.957034) + (xy 106.512954 106.952947) + (xy 106.490565 106.883834) + (xy 106.486766 106.877574) + (xy 106.484288 106.87216) + (xy 106.481608 106.866808) + (xy 106.47911 106.859927) + (xy 106.439314 106.799229) + (xy 106.436974 106.795519) + (xy 106.402223 106.738251) + (xy 106.402219 106.738246) + (xy 106.399309 106.73345) + (xy 106.390986 106.724026) + (xy 106.388799 106.721481) + (xy 106.386677 106.718943) + (xy 106.382662 106.712819) + (xy 106.330385 106.663297) + (xy 106.327943 106.660919) + (xy 105.034572 105.367548) + (xy 106.783573 105.367548) + (xy 106.783573 105.847743) + (xy 106.783734 105.85225) + (xy 106.788313 105.916269) + (xy 106.790699 105.929491) + (xy 106.827392 106.054458) + (xy 106.834806 106.070692) + (xy 106.903999 106.17836) + (xy 106.915685 106.191847) + (xy 107.012413 106.275662) + (xy 107.027425 106.285309) + (xy 107.051137 106.296138) + (xy 107.104792 106.342631) + (xy 107.124794 106.410752) + (xy 107.103559 106.480753) + (xy 107.079483 106.516785) + (xy 107.056826 106.550694) + (xy 107.037073 106.65) + (xy 107.037073 108.15) + (xy 107.056826 108.249306) + (xy 107.113079 108.333494) + (xy 107.123395 108.340387) + (xy 107.13014 108.344894) + (xy 107.197267 108.389747) + (xy 107.296573 108.4095) + (xy 109.296573 108.4095) + (xy 109.395879 108.389747) + (xy 109.463006 108.344894) + (xy 109.469751 108.340387) + (xy 109.480067 108.333494) + (xy 109.53632 108.249306) + (xy 109.556073 108.15) + (xy 109.556073 108.00109) + (xy 109.576075 107.932969) + (xy 109.629731 107.886476) + (xy 109.700005 107.876372) + (xy 109.719647 107.880823) + (xy 109.765114 107.895028) + (xy 109.909548 107.897675) + (xy 109.98096 107.878206) + (xy 110.040261 107.862039) + (xy 110.040263 107.862038) + (xy 110.04892 107.859678) + (xy 110.172025 107.784091) + (xy 110.268968 107.676991) + (xy 110.311193 107.589838) + (xy 110.32804 107.555066) + (xy 110.32804 107.555065) + (xy 110.331954 107.546987) + (xy 110.355921 107.40453) + (xy 110.356052 107.393775) + (xy 112.837111 107.393775) + (xy 112.838275 107.402677) + (xy 112.838275 107.40268) + (xy 112.854677 107.52811) + (xy 112.854678 107.528114) + (xy 112.855842 107.537015) + (xy 112.859459 107.545235) + (xy 112.908811 107.657395) + (xy 112.914022 107.669239) + (xy 112.919799 107.676112) + (xy 112.9198 107.676113) + (xy 113.000608 107.772246) + (xy 113.006975 107.77982) + (xy 113.044747 107.804963) + (xy 113.108175 107.847184) + (xy 113.127228 107.859867) + (xy 113.248648 107.897802) + (xy 113.307704 107.937207) + (xy 113.336081 108.002286) + (xy 113.337073 108.018068) + (xy 113.337073 109.3) + (xy 113.356826 109.399306) + (xy 113.413079 109.483494) + (xy 113.497267 109.539747) + (xy 113.596573 109.5595) + (xy 115.596573 109.5595) + (xy 115.695879 109.539747) + (xy 115.780067 109.483494) + (xy 115.83632 109.399306) + (xy 115.853577 109.312548) + (xy 116.163573 109.312548) + (xy 116.163573 109.584222) + (xy 116.163997 109.591523) + (xy 116.17819 109.713254) + (xy 116.181536 109.727409) + (xy 116.236836 109.879762) + (xy 116.243346 109.89276) + (xy 116.332214 110.028306) + (xy 116.341538 110.039458) + (xy 116.459204 110.150924) + (xy 116.470849 110.159636) + (xy 116.610997 110.24104) + (xy 116.624332 110.246838) + (xy 116.780274 110.294068) + (xy 116.792897 110.296516) + (xy 116.862758 110.302751) + (xy 116.868353 110.303) + (xy 117.574458 110.303) + (xy 117.589697 110.298525) + (xy 117.590902 110.297135) + (xy 117.592573 110.289452) + (xy 117.592573 109.317115) + (xy 117.591232 109.312548) + (xy 118.100573 109.312548) + (xy 118.100573 110.284885) + (xy 118.105048 110.300124) + (xy 118.106438 110.301329) + (xy 118.114121 110.303) + (xy 118.810795 110.303) + (xy 118.818096 110.302576) + (xy 118.939827 110.288383) + (xy 118.953982 110.285037) + (xy 119.106335 110.229737) + (xy 119.119333 110.223227) + (xy 119.254879 110.134359) + (xy 119.266031 110.125035) + (xy 119.377497 110.007369) + (xy 119.386208 109.995724) + (xy 119.391255 109.987036) + (xy 119.442767 109.938179) + (xy 119.512516 109.924928) + (xy 119.578357 109.951489) + (xy 119.616148 110.000992) + (xy 119.648192 110.076301) + (xy 119.652525 110.082189) + (xy 119.652528 110.082194) + (xy 119.748113 110.212079) + (xy 119.748117 110.212083) + (xy 119.752455 110.217978) + (xy 119.886514 110.331869) + (xy 119.935405 110.356834) + (xy 120.03153 110.405918) + (xy 120.043178 110.411866) + (xy 120.050283 110.413605) + (xy 120.050287 110.413606) + (xy 120.122235 110.431211) + (xy 120.214043 110.453676) + (xy 120.219645 110.454024) + (xy 120.219648 110.454024) + (xy 120.225386 110.45438) + (xy 120.225395 110.45438) + (xy 120.227325 110.4545) + (xy 120.539413 110.4545) + (xy 120.607534 110.474502) + (xy 120.655822 110.532281) + (xy 120.657832 110.537133) + (xy 120.660253 110.549306) + (xy 120.667148 110.559625) + (xy 120.667149 110.559627) + (xy 120.700385 110.609367) + (xy 120.716506 110.633494) + (xy 120.800694 110.689747) + (xy 120.9 110.7095) + (xy 122.9 110.7095) + (xy 122.999306 110.689747) + (xy 123.083494 110.633494) + (xy 123.139747 110.549306) + (xy 123.1595 110.45) + (xy 123.1595 109.134477) + (xy 123.179502 109.066356) + (xy 123.233158 109.019863) + (xy 123.303432 109.009759) + (xy 123.368012 109.039253) + (xy 123.37735 109.048224) + (xy 123.419104 109.092687) + (xy 123.426192 109.100235) + (xy 123.565772 109.188815) + (xy 123.573311 109.191265) + (xy 123.573314 109.191266) + (xy 123.715457 109.237451) + (xy 123.715459 109.237451) + (xy 123.722995 109.2399) + (xy 123.730904 109.240398) + (xy 123.730906 109.240398) + (xy 123.804718 109.245042) + (xy 123.887983 109.250281) + (xy 123.895767 109.248796) + (xy 123.895769 109.248796) + (xy 124.042586 109.220789) + (xy 124.042587 109.220789) + (xy 124.05037 109.219304) + (xy 124.199951 109.148916) + (xy 124.206056 109.143866) + (xy 124.20606 109.143863) + (xy 124.32122 109.048594) + (xy 124.327328 109.043541) + (xy 124.364768 108.992009) + (xy 124.419838 108.916212) + (xy 124.419839 108.91621) + (xy 124.424497 108.909799) + (xy 124.43095 108.893502) + (xy 124.482437 108.763461) + (xy 124.482437 108.763459) + (xy 124.485354 108.756093) + (xy 124.486623 108.746053) + (xy 124.505079 108.59995) + (xy 124.506073 108.592082) + (xy 124.499888 108.54312) + (xy 124.486348 108.435936) + (xy 124.486347 108.435933) + (xy 124.485354 108.428071) + (xy 124.482437 108.420703) + (xy 124.427415 108.281734) + (xy 124.427414 108.281732) + (xy 124.424497 108.274365) + (xy 124.375136 108.206425) + (xy 124.351073 108.132365) + (xy 124.351073 108.116355) + (xy 124.352321 108.105183) + (xy 124.351731 108.105136) + (xy 124.352451 108.09619) + (xy 124.354432 108.087434) + (xy 124.351315 108.037191) + (xy 124.351073 108.02939) + (xy 124.351073 108.011659) + (xy 124.34942 108.000119) + (xy 124.348392 107.990076) + (xy 124.346043 107.95221) + (xy 124.346043 107.952208) + (xy 124.345487 107.943252) + (xy 124.34244 107.934811) + (xy 124.341758 107.931519) + (xy 124.337819 107.915719) + (xy 124.336867 107.912465) + (xy 124.335594 107.903573) + (xy 124.331839 107.895313) + (xy 124.316176 107.860867) + (xy 124.312361 107.851496) + (xy 124.312267 107.851234) + (xy 124.299997 107.817246) + (xy 124.299481 107.815816) + (xy 124.29948 107.815813) + (xy 124.296434 107.807377) + (xy 124.291143 107.800134) + (xy 124.289563 107.797163) + (xy 124.28134 107.783091) + (xy 124.279518 107.780243) + (xy 124.275802 107.772069) + (xy 124.245184 107.736534) + (xy 124.238898 107.728618) + (xy 124.233116 107.720704) + (xy 124.233115 107.720703) + (xy 124.230244 107.716773) + (xy 124.218469 107.704998) + (xy 124.21211 107.69815) + (xy 124.187364 107.66943) + (xy 124.187362 107.669428) + (xy 124.181506 107.662632) + (xy 124.173977 107.657752) + (xy 124.167209 107.651848) + (xy 124.167264 107.651785) + (xy 124.156746 107.643275) + (xy 123.606082 107.092612) + (xy 123.599064 107.083828) + (xy 123.598613 107.084212) + (xy 123.592793 107.077374) + (xy 123.588004 107.069783) + (xy 123.550257 107.036446) + (xy 123.54457 107.0311) + (xy 123.532047 107.018577) + (xy 123.522734 107.011597) + (xy 123.514901 107.00522) + (xy 123.486453 106.980096) + (xy 123.486452 106.980096) + (xy 123.479727 106.974156) + (xy 123.471604 106.970342) + (xy 123.468773 106.968483) + (xy 123.454828 106.960105) + (xy 123.451861 106.95848) + (xy 123.444674 106.953094) + (xy 123.400754 106.93663) + (xy 123.391432 106.932702) + (xy 123.357093 106.91658) + (xy 123.357092 106.91658) + (xy 123.348963 106.912763) + (xy 123.34009 106.911382) + (xy 123.336853 106.910392) + (xy 123.321108 106.906262) + (xy 123.317814 106.905538) + (xy 123.309407 106.902386) + (xy 123.281851 106.900338) + (xy 123.276161 106.899915) + (xy 123.20971 106.874919) + (xy 123.167322 106.817965) + (xy 123.1595 106.774262) + (xy 123.1595 106.65) + (xy 123.139747 106.550694) + (xy 123.093599 106.481629) + (xy 123.072384 106.413876) + (xy 123.091167 106.345409) + (xy 123.130243 106.305629) + (xy 123.228363 106.242572) + (xy 123.241847 106.230888) + (xy 123.325662 106.13416) + (xy 123.335307 106.119152) + (xy 123.388477 106.002725) + (xy 123.393502 105.985612) + (xy 123.412361 105.854446) + (xy 123.413 105.845503) + (xy 123.413 105.372115) + (xy 123.408525 105.356876) + (xy 123.407135 105.355671) + (xy 123.399452 105.354) + (xy 120.405115 105.354) + (xy 120.389876 105.358475) + (xy 120.388671 105.359865) + (xy 120.387 105.367548) + (xy 120.387 105.847743) + (xy 120.387161 105.85225) + (xy 120.39174 105.916269) + (xy 120.394126 105.929491) + (xy 120.430819 106.054458) + (xy 120.438233 106.070692) + (xy 120.507426 106.17836) + (xy 120.519112 106.191847) + (xy 120.61584 106.275662) + (xy 120.630852 106.285309) + (xy 120.654564 106.296138) + (xy 120.708219 106.342631) + (xy 120.728221 106.410752) + (xy 120.706986 106.480753) + (xy 120.68291 106.516785) + (xy 120.660253 106.550694) + (xy 120.6405 106.65) + (xy 120.6405 108.15) + (xy 120.660253 108.249306) + (xy 120.716506 108.333494) + (xy 120.726822 108.340387) + (xy 120.733567 108.344894) + (xy 120.800694 108.389747) + (xy 120.9 108.4095) + (xy 122.9 108.4095) + (xy 122.999306 108.389747) + (xy 123.009625 108.382852) + (xy 123.019876 108.378606) + (xy 123.090466 108.371017) + (xy 123.153953 108.402797) + (xy 123.19018 108.463855) + (xy 123.192869 108.507102) + (xy 123.192273 108.509425) + (xy 123.192273 108.604231) + (xy 123.172271 108.672352) + (xy 123.118615 108.718845) + (xy 123.048341 108.728949) + (xy 123.018055 108.72064) + (xy 123.009626 108.717149) + (xy 122.999306 108.710253) + (xy 122.9 108.6905) + (xy 120.9 108.6905) + (xy 120.800694 108.710253) + (xy 120.716506 108.766506) + (xy 120.709613 108.776822) + (xy 120.681235 108.819293) + (xy 120.660253 108.850694) + (xy 120.657832 108.862867) + (xy 120.655822 108.867719) + (xy 120.611273 108.922999) + (xy 120.539413 108.9455) + (xy 120.265033 108.9455) + (xy 120.261399 108.945924) + (xy 120.261394 108.945924) + (xy 120.140468 108.960023) + (xy 120.133195 108.960871) + (xy 120.126313 108.963369) + (xy 119.975259 109.018199) + (xy 119.967845 109.02089) + (xy 119.820737 109.117338) + (xy 119.699763 109.245042) + (xy 119.696087 109.251371) + (xy 119.696083 109.251376) + (xy 119.695768 109.251919) + (xy 119.695455 109.252216) + (xy 119.691701 109.257234) + (xy 119.690842 109.256591) + (xy 119.644257 109.300777) + (xy 119.574508 109.31403) + (xy 119.555491 109.305857) + (xy 119.555132 109.307507) + (xy 119.516025 109.299) + (xy 118.118688 109.299) + (xy 118.103449 109.303475) + (xy 118.102244 109.304865) + (xy 118.100573 109.312548) + (xy 117.591232 109.312548) + (xy 117.588098 109.301876) + (xy 117.586708 109.300671) + (xy 117.579025 109.299) + (xy 116.181688 109.299) + (xy 116.166449 109.303475) + (xy 116.165244 109.304865) + (xy 116.163573 109.312548) + (xy 115.853577 109.312548) + (xy 115.856073 109.3) + (xy 115.856073 108.2805) + (xy 115.876075 108.212379) + (xy 115.929731 108.165886) + (xy 115.982073 108.1545) + (xy 116.078166 108.1545) + (xy 116.146287 108.174502) + (xy 116.19278 108.228158) + (xy 116.202884 108.298432) + (xy 116.198756 108.317023) + (xy 116.172507 108.403692) + (xy 116.170057 108.416324) + (xy 116.163822 108.486185) + (xy 116.163573 108.49178) + (xy 116.163573 108.772885) + (xy 116.168048 108.788124) + (xy 116.169438 108.789329) + (xy 116.177121 108.791) + (xy 117.574458 108.791) + (xy 117.589697 108.786525) + (xy 117.590902 108.785135) + (xy 117.592573 108.777452) + (xy 117.592573 107.805115) + (xy 117.591232 107.800548) + (xy 118.100573 107.800548) + (xy 118.100573 108.772885) + (xy 118.105048 108.788124) + (xy 118.106438 108.789329) + (xy 118.114121 108.791) + (xy 119.511458 108.791) + (xy 119.526697 108.786525) + (xy 119.527902 108.785135) + (xy 119.529573 108.777452) + (xy 119.529573 108.505778) + (xy 119.529149 108.498477) + (xy 119.514956 108.376746) + (xy 119.51161 108.362591) + (xy 119.45631 108.210238) + (xy 119.4498 108.19724) + (xy 119.360932 108.061694) + (xy 119.351608 108.050542) + (xy 119.233942 107.939076) + (xy 119.222297 107.930364) + (xy 119.082149 107.84896) + (xy 119.068814 107.843162) + (xy 118.912872 107.795932) + (xy 118.900249 107.793484) + (xy 118.830388 107.787249) + (xy 118.824793 107.787) + (xy 118.118688 107.787) + (xy 118.103449 107.791475) + (xy 118.102244 107.792865) + (xy 118.100573 107.800548) + (xy 117.591232 107.800548) + (xy 117.588098 107.789876) + (xy 117.586708 107.788671) + (xy 117.579025 107.787) + (xy 117.225787 107.787) + (xy 117.157666 107.766998) + (xy 117.111173 107.713342) + (xy 117.101069 107.643068) + (xy 117.130563 107.578488) + (xy 117.136674 107.571923) + (xy 117.872195 106.836403) + (xy 117.934505 106.802379) + (xy 117.961288 106.7995) + (xy 118.806486 106.7995) + (xy 118.851371 106.793072) + (xy 118.905679 106.785295) + (xy 118.905682 106.785294) + (xy 118.914572 106.784021) + (xy 118.922748 106.780304) + (xy 118.92275 106.780303) + (xy 119.037904 106.727945) + (xy 119.037906 106.727944) + (xy 119.046076 106.724229) + (xy 119.058013 106.713944) + (xy 119.148711 106.635793) + (xy 119.155513 106.629932) + (xy 119.234086 106.50871) + (xy 119.236696 106.499985) + (xy 119.273521 106.376849) + (xy 119.273521 106.376847) + (xy 119.275477 106.370308) + (xy 119.276073 106.362287) + (xy 119.276073 105.484714) + (xy 119.296075 105.416593) + (xy 119.312978 105.395619) + (xy 120.171905 104.536692) + (xy 120.234217 104.502666) + (xy 120.305032 104.507731) + (xy 120.361868 104.550278) + (xy 120.386679 104.616798) + (xy 120.387 104.625787) + (xy 120.387 104.827885) + (xy 120.391475 104.843124) + (xy 120.392865 104.844329) + (xy 120.400548 104.846) + (xy 123.394885 104.846) + (xy 123.410124 104.841525) + (xy 123.411329 104.840135) + (xy 123.413 104.832452) + (xy 123.413 104.530989) + (xy 123.433002 104.462868) + (xy 123.486658 104.416375) + (xy 123.539 104.404989) + (xy 135.120161 104.404989) + (xy 135.188282 104.424991) + (xy 135.234775 104.478647) + (xy 135.244879 104.548921) + (xy 135.215385 104.613501) + (xy 135.209256 104.620084) + (xy 134.825745 105.003595) + (xy 134.763433 105.037621) + (xy 134.73665 105.0405) + (xy 132.729219 105.0405) + (xy 132.661098 105.020498) + (xy 132.633766 104.996748) + (xy 132.565793 104.917862) + (xy 132.559932 104.91106) + (xy 132.43871 104.832487) + (xy 132.430113 104.829916) + (xy 132.430111 104.829915) + (xy 132.306849 104.793052) + (xy 132.306847 104.793052) + (xy 132.300308 104.791096) + (xy 132.292287 104.7905) + (xy 130.340087 104.7905) + (xy 130.297598 104.796585) + (xy 130.240894 104.804705) + (xy 130.240891 104.804706) + (xy 130.232001 104.805979) + (xy 130.223825 104.809696) + (xy 130.223823 104.809697) + (xy 130.108669 104.862055) + (xy 130.108667 104.862056) + (xy 130.100497 104.865771) + (xy 130.093698 104.871629) + (xy 130.093697 104.87163) + (xy 130.045249 104.913376) + (xy 129.99106 104.960068) + (xy 129.986179 104.967599) + (xy 129.986176 104.967602) + (xy 129.976213 104.982974) + (xy 129.922377 105.029257) + (xy 129.851533 105.039008) + (xy 129.838743 105.037063) + (xy 129.831506 105.035962) + (xy 129.824214 105.036555) + (xy 129.824211 105.036555) + (xy 129.780817 105.040085) + (xy 129.770602 105.0405) + (xy 129.762115 105.0405) + (xy 129.758484 105.040923) + (xy 129.758473 105.040924) + (xy 129.732916 105.043904) + (xy 129.72854 105.044337) + (xy 129.663477 105.049629) + (xy 129.663474 105.04963) + (xy 129.656179 105.050223) + (xy 129.649218 105.052478) + (xy 129.643353 105.05365) + (xy 129.637539 105.055024) + (xy 129.630277 105.055871) + (xy 129.562015 105.080649) + (xy 129.557894 105.082063) + (xy 129.488834 105.104435) + (xy 129.482579 105.10823) + (xy 129.477173 105.110705) + (xy 129.471806 105.113393) + (xy 129.464927 105.11589) + (xy 129.435919 105.134909) + (xy 129.404239 105.155679) + (xy 129.400519 105.158026) + (xy 129.343251 105.192777) + (xy 129.343246 105.192781) + (xy 129.33845 105.195691) + (xy 129.33142 105.2019) + (xy 129.329068 105.203977) + (xy 129.326483 105.206199) + (xy 129.323942 105.208323) + (xy 129.317819 105.212338) + (xy 129.314407 105.215939) + (xy 129.250207 105.243903) + (xy 129.209889 105.242467) + (xy 129.2 105.2405) + (xy 127.2 105.2405) + (xy 127.100694 105.260253) + (xy 127.016506 105.316506) + (xy 126.960253 105.400694) + (xy 126.9405 105.5) + (xy 126.9405 109.3) + (xy 126.960253 109.399306) + (xy 127.016506 109.483494) + (xy 127.100694 109.539747) + (xy 127.2 109.5595) + (xy 127.223788 109.5595) + (xy 127.291909 109.579502) + (xy 127.338402 109.633158) + (xy 127.348264 109.701747) + (xy 127.348665 109.701798) + (xy 127.348481 109.703257) + (xy 127.348506 109.703432) + (xy 127.348377 109.704081) + (xy 127.347672 109.709663) + (xy 127.3457 109.717343) + (xy 127.3457 109.882657) + (xy 127.386812 110.042778) + (xy 127.466453 110.187644) + (xy 127.471877 110.19342) + (xy 127.570578 110.298525) + (xy 127.579619 110.308153) + (xy 127.719199 110.396733) + (xy 127.726738 110.399183) + (xy 127.726741 110.399184) + (xy 127.868884 110.445369) + (xy 127.868886 110.445369) + (xy 127.876422 110.447818) + (xy 127.884331 110.448316) + (xy 127.884333 110.448316) + (xy 127.948307 110.452341) + (xy 128.04141 110.458199) + (xy 128.049194 110.456714) + (xy 128.049196 110.456714) + (xy 128.196013 110.428707) + (xy 128.196014 110.428707) + (xy 128.203797 110.427222) + (xy 128.353378 110.356834) + (xy 128.359483 110.351784) + (xy 128.359487 110.351781) + (xy 128.474647 110.256512) + (xy 128.480755 110.251459) + (xy 128.507253 110.214987) + (xy 128.573265 110.12413) + (xy 128.573266 110.124128) + (xy 128.577924 110.117717) + (xy 128.592493 110.080922) + (xy 128.635864 109.971379) + (xy 128.635864 109.971377) + (xy 128.638781 109.964011) + (xy 128.639818 109.955809) + (xy 128.655541 109.831342) + (xy 128.66257 109.802892) + (xy 128.663383 109.800724) + (xy 128.667305 109.791418) + (xy 128.683421 109.757091) + (xy 128.683421 109.75709) + (xy 128.687237 109.748963) + (xy 128.688618 109.740093) + (xy 128.689611 109.736846) + (xy 128.693741 109.721101) + (xy 128.694464 109.717813) + (xy 128.697615 109.709407) + (xy 128.700085 109.676163) + (xy 128.72508 109.609713) + (xy 128.782033 109.567323) + (xy 128.825739 109.5595) + (xy 129.2 109.5595) + (xy 129.299306 109.539747) + (xy 129.383494 109.483494) + (xy 129.390387 109.473178) + (xy 129.399164 109.464401) + (xy 129.401444 109.466681) + (xy 129.440714 109.433863) + (xy 129.511158 109.425017) + (xy 129.575201 109.455659) + (xy 129.612511 109.516062) + (xy 129.617 109.549394) + (xy 129.617 109.584222) + (xy 129.617424 109.591523) + (xy 129.631617 109.713254) + (xy 129.634963 109.727409) + (xy 129.690263 109.879762) + (xy 129.696773 109.89276) + (xy 129.785641 110.028306) + (xy 129.794965 110.039458) + (xy 129.912631 110.150924) + (xy 129.924276 110.159636) + (xy 130.064424 110.24104) + (xy 130.077759 110.246838) + (xy 130.233701 110.294068) + (xy 130.246324 110.296516) + (xy 130.316185 110.302751) + (xy 130.32178 110.303) + (xy 131.027885 110.303) + (xy 131.043124 110.298525) + (xy 131.044329 110.297135) + (xy 131.046 110.289452) + (xy 131.046 109.312548) + (xy 131.554 109.312548) + (xy 131.554 110.284885) + (xy 131.558475 110.300124) + (xy 131.559865 110.301329) + (xy 131.567548 110.303) + (xy 132.264222 110.303) + (xy 132.271523 110.302576) + (xy 132.393254 110.288383) + (xy 132.407409 110.285037) + (xy 132.559762 110.229737) + (xy 132.57276 110.223227) + (xy 132.708306 110.134359) + (xy 132.719458 110.125035) + (xy 132.830924 110.007369) + (xy 132.839636 109.995724) + (xy 132.92104 109.855576) + (xy 132.926838 109.842241) + (xy 132.974068 109.686299) + (xy 132.976516 109.673676) + (xy 132.982751 109.603815) + (xy 132.983 109.59822) + (xy 132.983 109.317115) + (xy 132.978525 109.301876) + (xy 132.977135 109.300671) + (xy 132.969452 109.299) + (xy 131.572115 109.299) + (xy 131.556876 109.303475) + (xy 131.555671 109.304865) + (xy 131.554 109.312548) + (xy 131.046 109.312548) + (xy 131.046 107.805115) + (xy 131.044659 107.800548) + (xy 131.554 107.800548) + (xy 131.554 108.772885) + (xy 131.558475 108.788124) + (xy 131.559865 108.789329) + (xy 131.567548 108.791) + (xy 132.964885 108.791) + (xy 132.980124 108.786525) + (xy 132.981329 108.785135) + (xy 132.983 108.777452) + (xy 132.983 108.505778) + (xy 132.982576 108.498477) + (xy 132.968383 108.376746) + (xy 132.965037 108.362591) + (xy 132.909737 108.210238) + (xy 132.903227 108.19724) + (xy 132.814359 108.061694) + (xy 132.805035 108.050542) + (xy 132.687369 107.939076) + (xy 132.675724 107.930364) + (xy 132.535576 107.84896) + (xy 132.522241 107.843162) + (xy 132.366299 107.795932) + (xy 132.353676 107.793484) + (xy 132.283815 107.787249) + (xy 132.27822 107.787) + (xy 131.572115 107.787) + (xy 131.556876 107.791475) + (xy 131.555671 107.792865) + (xy 131.554 107.800548) + (xy 131.044659 107.800548) + (xy 131.041525 107.789876) + (xy 131.040135 107.788671) + (xy 131.032452 107.787) + (xy 130.335778 107.787) + (xy 130.328477 107.787424) + (xy 130.206746 107.801617) + (xy 130.192591 107.804963) + (xy 130.040238 107.860263) + (xy 130.02724 107.866773) + (xy 129.891694 107.955641) + (xy 129.880542 107.964965) + (xy 129.769076 108.082631) + (xy 129.760365 108.094276) + (xy 129.694454 108.20775) + (xy 129.642943 108.256609) + (xy 129.573195 108.269863) + (xy 129.507353 108.243304) + (xy 129.466322 108.185364) + (xy 129.4595 108.144465) + (xy 129.4595 107.259715) + (xy 129.479502 107.191594) + (xy 129.496405 107.170619) + (xy 129.936445 106.73058) + (xy 129.998757 106.696555) + (xy 130.069573 106.70162) + (xy 130.094065 106.713939) + (xy 130.16129 106.757513) + (xy 130.169887 106.760084) + (xy 130.169889 106.760085) + (xy 130.293151 106.796948) + (xy 130.293153 106.796948) + (xy 130.299692 106.798904) + (xy 130.307713 106.7995) + (xy 132.259913 106.7995) + (xy 132.304798 106.793072) + (xy 132.359106 106.785295) + (xy 132.359109 106.785294) + (xy 132.367999 106.784021) + (xy 132.376175 106.780304) + (xy 132.376177 106.780303) + (xy 132.491331 106.727945) + (xy 132.491333 106.727944) + (xy 132.499503 106.724229) + (xy 132.51144 106.713944) + (xy 132.602138 106.635793) + (xy 132.60894 106.629932) + (xy 132.623826 106.606967) + (xy 132.67766 106.560684) + (xy 132.729557 106.5495) + (xy 135.035504 106.5495) + (xy 135.054453 106.550933) + (xy 135.074858 106.554037) + (xy 135.08215 106.553444) + (xy 135.082153 106.553444) + (xy 135.125535 106.549915) + (xy 135.13575 106.5495) + (xy 135.144249 106.5495) + (xy 135.166691 106.546884) + (xy 135.173473 106.546093) + (xy 135.177849 106.54566) + (xy 135.192658 106.544455) + (xy 135.250185 106.539776) + (xy 135.257145 106.537521) + (xy 135.263014 106.536349) + (xy 135.268244 106.535112) + (xy 135.270616 106.535239) + (xy 135.275265 106.534225) + (xy 135.276087 106.534129) + (xy 135.276088 106.534129) + (xy 135.27612 106.534125) + (xy 135.276285 106.535542) + (xy 135.339139 106.538901) + (xy 135.365849 106.553608) + (xy 135.365871 106.553568) + (xy 135.374994 106.558584) + (xy 135.383174 106.565032) + (xy 135.391243 106.567866) + (xy 135.398205 106.572841) + (xy 135.420755 106.579585) + (xy 135.443903 106.586508) + (xy 135.449528 106.588335) + (xy 135.494555 106.604147) + (xy 135.498631 106.6045) + (xy 135.50134 106.6045) + (xy 135.504073 106.604618) + (xy 135.504067 106.604763) + (xy 135.505181 106.604833) + (xy 135.511306 106.606665) + (xy 135.563948 106.604597) + (xy 135.568894 106.6045) + (xy 136.269127 106.6045) + (xy 136.337248 106.624502) + (xy 136.383828 106.678349) + (xy 136.418801 106.755269) + (xy 136.424659 106.762068) + (xy 136.424662 106.762072) + (xy 136.504925 106.855221) + (xy 136.504928 106.855223) + (xy 136.510785 106.862021) + (xy 136.629032 106.938665) + (xy 136.637637 106.941238) + (xy 136.637636 106.941238) + (xy 136.643103 106.942873) + (xy 136.702637 106.981556) + (xy 136.731806 107.046283) + (xy 136.733 107.06359) + (xy 136.733 107.1145) + (xy 136.712998 107.182621) + (xy 136.659342 107.229114) + (xy 136.607 107.2405) + (xy 136.1 107.2405) + (xy 136.000694 107.260253) + (xy 135.916506 107.316506) + (xy 135.860253 107.400694) + (xy 135.8405 107.5) + (xy 135.8405 108.2695) + (xy 135.820498 108.337621) + (xy 135.766842 108.384114) + (xy 135.7145 108.3955) + (xy 135.599029 108.3955) + (xy 135.530908 108.375498) + (xy 135.509934 108.358595) + (xy 135.296405 108.145066) + (xy 135.262379 108.082754) + (xy 135.2595 108.055971) + (xy 135.2595 107.5) + (xy 135.239747 107.400694) + (xy 135.183494 107.316506) + (xy 135.099306 107.260253) + (xy 135 107.2405) + (xy 134.2 107.2405) + (xy 134.100694 107.260253) + (xy 134.016506 107.316506) + (xy 133.960253 107.400694) + (xy 133.9405 107.5) + (xy 133.9405 108.4) + (xy 133.960253 108.499306) + (xy 134.016506 108.583494) + (xy 134.100694 108.639747) + (xy 134.2 108.6595) + (xy 134.755971 108.6595) + (xy 134.824092 108.679502) + (xy 134.845066 108.696405) + (xy 135.112763 108.964102) + (xy 135.126076 108.980585) + (xy 135.128452 108.983196) + (xy 135.134103 108.991948) + (xy 135.149258 109.003895) + (xy 135.15901 109.011583) + (xy 135.16284 109.014987) + (xy 135.162933 109.014877) + (xy 135.166897 109.018236) + (xy 135.170572 109.021911) + (xy 135.174799 109.024932) + (xy 135.17694 109.026746) + (xy 135.215981 109.086044) + (xy 135.216765 109.157037) + (xy 135.179042 109.217183) + (xy 135.120066 109.246454) + (xy 135.100827 109.250281) + (xy 135.050694 109.260253) + (xy 134.966506 109.316506) + (xy 134.910253 109.400694) + (xy 134.8905 109.5) + (xy 134.8905 110.4) + (xy 134.910253 110.499306) + (xy 134.966506 110.583494) + (xy 135.050694 110.639747) + (xy 135.15 110.6595) + (xy 135.95 110.6595) + (xy 136.049306 110.639747) + (xy 136.133494 110.583494) + (xy 136.189747 110.499306) + (xy 136.207408 110.410519) + (xy 136.208323 110.405918) + (xy 136.241231 110.343009) + (xy 136.302926 110.307877) + (xy 136.331902 110.3045) + (xy 136.648283 110.3045) + (xy 136.66937 110.306744) + (xy 136.672887 110.30691) + (xy 136.683065 110.309101) + (xy 136.714563 110.305373) + (xy 136.719677 110.305071) + (xy 136.719665 110.304928) + (xy 136.724843 110.3045) + (xy 136.73004 110.3045) + (xy 136.742821 110.302373) + (xy 136.747083 110.301664) + (xy 136.752955 110.300829) + (xy 136.761138 110.29986) + (xy 136.800297 110.295225) + (xy 136.808006 110.291523) + (xy 136.816448 110.290118) + (xy 136.825615 110.285172) + (xy 136.825617 110.285171) + (xy 136.858431 110.267465) + (xy 136.863724 110.264768) + (xy 136.89957 110.247556) + (xy 136.899573 110.247554) + (xy 136.906714 110.244125) + (xy 136.909846 110.241493) + (xy 136.911791 110.239548) + (xy 136.913778 110.237726) + (xy 136.913877 110.237834) + (xy 136.914713 110.237097) + (xy 136.92034 110.234061) + (xy 136.929578 110.224068) + (xy 136.956103 110.195373) + (xy 136.959532 110.191807) + (xy 137.775405 109.375934) + (xy 137.837717 109.341908) + (xy 137.908532 109.346973) + (xy 137.965368 109.38952) + (xy 137.990179 109.45604) + (xy 137.9905 109.465029) + (xy 137.9905 110.8) + (xy 138.010253 110.899306) + (xy 138.066506 110.983494) + (xy 138.150694 111.039747) + (xy 138.25 111.0595) + (xy 139.95 111.0595) + (xy 140.049306 111.039747) + (xy 140.133494 110.983494) + (xy 140.189747 110.899306) + (xy 140.2095 110.8) + (xy 140.2095 109.1) + (xy 140.189747 109.000694) + (xy 140.133494 108.916506) + (xy 140.049306 108.860253) + (xy 139.95 108.8405) + (xy 138.615029 108.8405) + (xy 138.546908 108.820498) + (xy 138.500415 108.766842) + (xy 138.490311 108.696568) + (xy 138.519805 108.631988) + (xy 138.525934 108.625405) + (xy 138.899934 108.251405) + (xy 138.962246 108.217379) + (xy 138.989029 108.2145) + (xy 141.1595 108.2145) + (xy 141.227621 108.234502) + (xy 141.274114 108.288158) + (xy 141.2855 108.3405) + (xy 141.2855 108.816769) + (xy 141.265498 108.88489) + (xy 141.211652 108.931469) + (xy 141.086928 108.988178) + (xy 140.978695 109.064953) + (xy 140.939598 109.092687) + (xy 140.91503 109.110114) + (xy 140.858313 109.169361) + (xy 140.77569 109.255671) + (xy 140.769291 109.262355) + (xy 140.654969 109.439408) + (xy 140.652726 109.444974) + (xy 140.582995 109.618) + (xy 140.57619 109.634885) + (xy 140.563133 109.701747) + (xy 140.542039 109.809761) + (xy 140.535795 109.841732) + (xy 140.535779 109.847718) + (xy 140.535779 109.847721) + (xy 140.535544 109.937513) + (xy 140.535243 110.052485) + (xy 140.548845 110.12413) + (xy 140.57302 110.251459) + (xy 140.574554 110.259541) + (xy 140.652309 110.455427) + (xy 140.655533 110.460477) + (xy 140.655534 110.46048) + (xy 140.704462 110.537134) + (xy 140.765702 110.633076) + (xy 140.910642 110.786079) + (xy 140.915507 110.789569) + (xy 140.91551 110.789571) + (xy 141.03031 110.871911) + (xy 141.081899 110.908913) + (xy 141.152636 110.941523) + (xy 141.245171 110.984182) + (xy 141.273294 110.997147) + (xy 141.27911 110.998581) + (xy 141.279113 110.998582) + (xy 141.373566 111.021869) + (xy 141.477921 111.047598) + (xy 141.483911 111.047907) + (xy 141.483913 111.047907) + (xy 141.557857 111.051717) + (xy 141.688396 111.058444) + (xy 141.694331 111.057615) + (xy 141.694335 111.057615) + (xy 141.877081 111.032094) + (xy 141.897124 111.029295) + (xy 142.061496 110.973178) + (xy 142.090896 110.963141) + (xy 142.090898 110.96314) + (xy 142.096575 110.961202) + (xy 142.188062 110.908913) + (xy 142.274344 110.859599) + (xy 142.274347 110.859597) + (xy 142.279552 110.856622) + (xy 142.284101 110.852716) + (xy 142.284104 110.852714) + (xy 142.4349 110.723237) + (xy 142.434902 110.723235) + (xy 142.439451 110.719329) + (xy 142.447256 110.7095) + (xy 142.566257 110.559627) + (xy 142.570505 110.554277) + (xy 142.624904 110.45) + (xy 142.66521 110.372739) + (xy 142.665211 110.372736) + (xy 142.667984 110.367421) + (xy 142.72837 110.165503) + (xy 142.749485 109.955809) + (xy 142.7495 109.95) + (xy 142.748453 109.939021) + (xy 142.73612 109.809761) + (xy 142.729483 109.740199) + (xy 142.670155 109.537967) + (xy 142.655707 109.509914) + (xy 142.576402 109.355934) + (xy 142.5764 109.355931) + (xy 142.573656 109.350603) + (xy 142.508416 109.267548) + (xy 142.447175 109.189584) + (xy 142.447171 109.189579) + (xy 142.443469 109.184867) + (xy 142.3854 109.134477) + (xy 142.350447 109.104147) + (xy 142.28429 109.046739) + (xy 142.101864 108.941203) + (xy 142.096199 108.939236) + (xy 142.096193 108.939233) + (xy 142.079165 108.93332) + (xy 142.021376 108.892078) + (xy 141.995058 108.826139) + (xy 141.9945 108.814293) + (xy 141.9945 107.882177) + (xy 141.99497 107.871305) + (xy 141.995281 107.867717) + (xy 141.998392 107.831794) + (xy 141.995881 107.821685) + (xy 141.995064 107.811303) + (xy 141.995788 107.811246) + (xy 141.9945 107.800709) + (xy 141.9945 107.221529) + (xy 142.014502 107.153408) + (xy 142.031405 107.132434) + (xy 142.147434 107.016405) + (xy 142.209746 106.982379) + (xy 142.236529 106.9795) + (xy 142.697413 106.9795) + (xy 142.76233 106.970203) + (xy 142.793104 106.965796) + (xy 142.793106 106.965795) + (xy 142.801991 106.964523) + (xy 142.930269 106.906199) + (xy 142.937068 106.900341) + (xy 142.937072 106.900338) + (xy 143.030221 106.820075) + (xy 143.030223 106.820072) + (xy 143.037021 106.814215) + (xy 143.113665 106.695968) + (xy 143.116237 106.687366) + (xy 143.116239 106.687363) + (xy 143.152085 106.567502) + (xy 143.152085 106.5675) + (xy 143.154041 106.560961) + (xy 143.1545 106.554784) + (xy 143.1545 105.977587) + (xy 143.142158 105.891405) + (xy 143.140796 105.881896) + (xy 143.140795 105.881894) + (xy 143.139523 105.873009) + (xy 143.1332 105.859101) + (xy 143.112074 105.812637) + (xy 143.081199 105.744731) + (xy 143.075341 105.737932) + (xy 143.075338 105.737928) + (xy 142.995075 105.644779) + (xy 142.995072 105.644777) + (xy 142.989215 105.637979) + (xy 142.870968 105.561335) + (xy 142.862366 105.558763) + (xy 142.862363 105.558761) + (xy 142.742502 105.522915) + (xy 142.7425 105.522915) + (xy 142.735961 105.520959) + (xy 142.729784 105.5205) + (xy 142.127587 105.5205) + (xy 142.076447 105.527824) + (xy 142.031896 105.534204) + (xy 142.031894 105.534205) + (xy 142.023009 105.535477) + (xy 142.014839 105.539192) + (xy 142.014838 105.539192) + (xy 142.003144 105.544509) + (xy 141.894731 105.593801) + (xy 141.887932 105.599659) + (xy 141.887928 105.599662) + (xy 141.794779 105.679925) + (xy 141.794777 105.679928) + (xy 141.787979 105.685785) + (xy 141.711335 105.804032) + (xy 141.708763 105.812634) + (xy 141.708761 105.812637) + (xy 141.67765 105.916666) + (xy 141.670959 105.939039) + (xy 141.6705 105.945216) + (xy 141.6705 106.438471) + (xy 141.650498 106.506592) + (xy 141.633595 106.527566) + (xy 141.544595 106.616566) + (xy 141.482283 106.650592) + (xy 141.411468 106.645527) + (xy 141.354632 106.60298) + (xy 141.329821 106.53646) + (xy 141.3295 106.527471) + (xy 141.3295 105.977587) + (xy 141.317158 105.891405) + (xy 141.315796 105.881896) + (xy 141.315795 105.881894) + (xy 141.314523 105.873009) + (xy 141.3082 105.859101) + (xy 141.287074 105.812637) + (xy 141.256199 105.744731) + (xy 141.250341 105.737932) + (xy 141.250338 105.737928) + (xy 141.170075 105.644779) + (xy 141.170072 105.644777) + (xy 141.164215 105.637979) + (xy 141.045968 105.561335) + (xy 141.037366 105.558763) + (xy 141.037363 105.558761) + (xy 140.917502 105.522915) + (xy 140.9175 105.522915) + (xy 140.910961 105.520959) + (xy 140.904784 105.5205) + (xy 140.447529 105.5205) + (xy 140.379408 105.500498) + (xy 140.332915 105.446842) + (xy 140.322811 105.376568) + (xy 140.352305 105.311988) + (xy 140.358434 105.305405) + (xy 140.422434 105.241405) + (xy 140.484746 105.207379) + (xy 140.511529 105.2045) + (xy 141.085878 105.2045) + (xy 141.153999 105.224502) + (xy 141.15936 105.228581) + (xy 141.160402 105.22982) + (xy 141.199364 105.255755) + (xy 141.26127 105.296963) + (xy 141.280655 105.309867) + (xy 141.418541 105.352946) + (xy 141.562975 105.355593) + (xy 141.641818 105.334098) + (xy 141.693688 105.319957) + (xy 141.69369 105.319956) + (xy 141.702347 105.317596) + (xy 141.825452 105.242009) + (xy 141.844508 105.220957) + (xy 141.876094 105.186061) + (xy 141.922395 105.134909) + (xy 141.961162 105.054894) + (xy 141.981467 105.012984) + (xy 141.981467 105.012983) + (xy 141.985381 105.004905) + (xy 142.009348 104.862448) + (xy 142.0095 104.85) + (xy 142.001448 104.793776) + (xy 141.990294 104.715886) + (xy 141.990293 104.715883) + (xy 141.989021 104.707) + (xy 141.990153 104.706838) + (xy 141.990223 104.644375) + (xy 142.028674 104.584692) + (xy 142.093289 104.555272) + (xy 142.111079 104.55401) + (xy 144.338765 104.55401) + (xy 144.406886 104.574012) + (xy 144.453379 104.627668) + (xy 144.463483 104.697942) + (xy 144.462818 104.70092) + (xy 144.462763 104.701037) + (xy 144.462697 104.701461) + (xy 144.442296 104.832487) + (xy 144.440538 104.843775) + (xy 144.441702 104.852677) + (xy 144.441702 104.85268) + (xy 144.458104 104.97811) + (xy 144.458105 104.978114) + (xy 144.459269 104.987015) + (xy 144.48262 105.040085) + (xy 144.50297 105.086332) + (xy 144.517449 105.119239) + (xy 144.523226 105.126112) + (xy 144.523227 105.126113) + (xy 144.602952 105.220957) + (xy 144.610402 105.22982) + (xy 144.617879 105.234797) + (xy 144.71127 105.296963) + (xy 144.730655 105.309867) + (xy 144.868541 105.352946) + (xy 145.012975 105.355593) + (xy 145.091818 105.334098) + (xy 145.143688 105.319957) + (xy 145.14369 105.319956) + (xy 145.152347 105.317596) + (xy 145.275452 105.242009) + (xy 145.281478 105.235351) + (xy 145.283642 105.233555) + (xy 145.348831 105.205432) + (xy 145.364127 105.2045) + (xy 145.988471 105.2045) + (xy 146.056592 105.224502) + (xy 146.077566 105.241405) + (xy 146.141566 105.305405) + (xy 146.175592 105.367717) + (xy 146.170527 105.438532) + (xy 146.12798 105.495368) + (xy 146.06146 105.520179) + (xy 146.052471 105.5205) + (xy 145.690087 105.5205) + (xy 145.638947 105.527824) + (xy 145.594396 105.534204) + (xy 145.594394 105.534205) + (xy 145.585509 105.535477) + (xy 145.577339 105.539192) + (xy 145.577338 105.539192) + (xy 145.565644 105.544509) + (xy 145.457231 105.593801) + (xy 145.450432 105.599659) + (xy 145.450428 105.599662) + (xy 145.357279 105.679925) + (xy 145.357277 105.679928) + (xy 145.350479 105.685785) + (xy 145.273835 105.804032) + (xy 145.271263 105.812634) + (xy 145.271261 105.812637) + (xy 145.24015 105.916666) + (xy 145.233459 105.939039) + (xy 145.233 105.945216) + (xy 145.233 106.522413) + (xy 145.239912 106.570675) + (xy 145.246609 106.617436) + (xy 145.247977 106.626991) + (xy 145.251692 106.635161) + (xy 145.251692 106.635162) + (xy 145.256065 106.644779) + (xy 145.306301 106.755269) + (xy 145.312159 106.762068) + (xy 145.312162 106.762072) + (xy 145.392425 106.855221) + (xy 145.392428 106.855223) + (xy 145.398285 106.862021) + (xy 145.442689 106.890802) + (xy 145.488973 106.944638) + (xy 145.498803 107.014951) + (xy 145.493068 107.033982) + (xy 145.494202 107.034329) + (xy 145.491578 107.042911) + (xy 145.487763 107.051037) + (xy 145.486382 107.059906) + (xy 145.467034 107.184168) + (xy 145.465538 107.193775) + (xy 145.466702 107.202677) + (xy 145.466702 107.20268) + (xy 145.482936 107.326822) + (xy 145.484269 107.337015) + (xy 145.485155 107.339028) + (xy 145.484255 107.406604) + (xy 145.44508 107.465814) + (xy 145.380113 107.494445) + (xy 145.363842 107.4955) + (xy 144.6305 107.4955) + (xy 144.562379 107.475498) + (xy 144.515886 107.421842) + (xy 144.5045 107.3695) + (xy 144.5045 107.061556) + (xy 144.524502 106.993435) + (xy 144.57835 106.946855) + (xy 144.659593 106.909917) + (xy 144.659596 106.909915) + (xy 144.667769 106.906199) + (xy 144.674568 106.900341) + (xy 144.674572 106.900338) + (xy 144.767721 106.820075) + (xy 144.767723 106.820072) + (xy 144.774521 106.814215) + (xy 144.851165 106.695968) + (xy 144.853737 106.687366) + (xy 144.853739 106.687363) + (xy 144.889585 106.567502) + (xy 144.889585 106.5675) + (xy 144.891541 106.560961) + (xy 144.892 106.554784) + (xy 144.892 105.977587) + (xy 144.879658 105.891405) + (xy 144.878296 105.881896) + (xy 144.878295 105.881894) + (xy 144.877023 105.873009) + (xy 144.8707 105.859101) + (xy 144.849574 105.812637) + (xy 144.818699 105.744731) + (xy 144.812841 105.737932) + (xy 144.812838 105.737928) + (xy 144.732575 105.644779) + (xy 144.732572 105.644777) + (xy 144.726715 105.637979) + (xy 144.608468 105.561335) + (xy 144.599866 105.558763) + (xy 144.599863 105.558761) + (xy 144.480002 105.522915) + (xy 144.48 105.522915) + (xy 144.473461 105.520959) + (xy 144.467284 105.5205) + (xy 143.865087 105.5205) + (xy 143.813947 105.527824) + (xy 143.769396 105.534204) + (xy 143.769394 105.534205) + (xy 143.760509 105.535477) + (xy 143.752339 105.539192) + (xy 143.752338 105.539192) + (xy 143.740644 105.544509) + (xy 143.632231 105.593801) + (xy 143.625432 105.599659) + (xy 143.625428 105.599662) + (xy 143.532279 105.679925) + (xy 143.532277 105.679928) + (xy 143.525479 105.685785) + (xy 143.448835 105.804032) + (xy 143.446263 105.812634) + (xy 143.446261 105.812637) + (xy 143.41515 105.916666) + (xy 143.408459 105.939039) + (xy 143.408 105.945216) + (xy 143.408 106.522413) + (xy 143.414912 106.570675) + (xy 143.421609 106.617436) + (xy 143.422977 106.626991) + (xy 143.426692 106.635161) + (xy 143.426692 106.635162) + (xy 143.431065 106.644779) + (xy 143.481301 106.755269) + (xy 143.487159 106.762068) + (xy 143.487162 106.762072) + (xy 143.567425 106.855221) + (xy 143.567428 106.855223) + (xy 143.573285 106.862021) + (xy 143.691532 106.938665) + (xy 143.700137 106.941238) + (xy 143.700136 106.941238) + (xy 143.705603 106.942873) + (xy 143.765137 106.981556) + (xy 143.794306 107.046283) + (xy 143.7955 107.06359) + (xy 143.7955 107.827823) + (xy 143.79503 107.838694) + (xy 143.791608 107.878206) + (xy 143.794119 107.888315) + (xy 143.794936 107.898697) + (xy 143.794212 107.898754) + (xy 143.7955 107.909291) + (xy 143.7955 108.830409) + (xy 143.775498 108.89853) + (xy 143.721651 108.94511) + (xy 143.626928 108.988178) + (xy 143.518695 109.064953) + (xy 143.479598 109.092687) + (xy 143.45503 109.110114) + (xy 143.398313 109.169361) + (xy 143.31569 109.255671) + (xy 143.309291 109.262355) + (xy 143.194969 109.439408) + (xy 143.192726 109.444974) + (xy 143.122995 109.618) + (xy 143.11619 109.634885) + (xy 143.103133 109.701747) + (xy 143.082039 109.809761) + (xy 143.075795 109.841732) + (xy 143.075779 109.847718) + (xy 143.075779 109.847721) + (xy 143.075544 109.937513) + (xy 143.075243 110.052485) + (xy 143.088845 110.12413) + (xy 143.11302 110.251459) + (xy 143.114554 110.259541) + (xy 143.192309 110.455427) + (xy 143.195533 110.460477) + (xy 143.195534 110.46048) + (xy 143.244462 110.537134) + (xy 143.305702 110.633076) + (xy 143.450642 110.786079) + (xy 143.455507 110.789569) + (xy 143.45551 110.789571) + (xy 143.57031 110.871911) + (xy 143.621899 110.908913) + (xy 143.692636 110.941523) + (xy 143.785171 110.984182) + (xy 143.813294 110.997147) + (xy 143.81911 110.998581) + (xy 143.819113 110.998582) + (xy 143.913566 111.021869) + (xy 144.017921 111.047598) + (xy 144.023911 111.047907) + (xy 144.023913 111.047907) + (xy 144.097857 111.051717) + (xy 144.228396 111.058444) + (xy 144.234331 111.057615) + (xy 144.234335 111.057615) + (xy 144.417081 111.032094) + (xy 144.437124 111.029295) + (xy 144.601496 110.973178) + (xy 144.630896 110.963141) + (xy 144.630898 110.96314) + (xy 144.636575 110.961202) + (xy 144.728062 110.908913) + (xy 144.814344 110.859599) + (xy 144.814347 110.859597) + (xy 144.819552 110.856622) + (xy 144.824101 110.852716) + (xy 144.824104 110.852714) + (xy 144.9749 110.723237) + (xy 144.974902 110.723235) + (xy 144.979451 110.719329) + (xy 144.987256 110.7095) + (xy 145.106257 110.559627) + (xy 145.110505 110.554277) + (xy 145.20465 110.373812) + (xy 145.253889 110.32267) + (xy 145.322964 110.306266) + (xy 145.389943 110.329811) + (xy 145.435602 110.391383) + (xy 145.47023 110.49281) + (xy 145.474497 110.502533) + (xy 145.579556 110.695625) + (xy 145.585402 110.704491) + (xy 145.721486 110.877113) + (xy 145.72875 110.884875) + (xy 145.891967 111.032094) + (xy 145.900444 111.038528) + (xy 146.086122 111.156136) + (xy 146.095567 111.161053) + (xy 146.298406 111.245694) + (xy 146.308545 111.24895) + (xy 146.448345 111.281096) + (xy 146.462422 111.280257) + (xy 146.466 111.270999) + (xy 146.466 110.217548) + (xy 146.974 110.217548) + (xy 146.974 111.269941) + (xy 146.978151 111.284079) + (xy 146.988798 111.285774) + (xy 146.992192 111.285096) + (xy 147.203333 111.223954) + (xy 147.213259 111.220143) + (xy 147.411065 111.124307) + (xy 147.420212 111.118876) + (xy 147.599041 110.991083) + (xy 147.607149 110.984182) + (xy 147.761893 110.828082) + (xy 147.768706 110.819933) + (xy 147.89494 110.639988) + (xy 147.900295 110.630787) + (xy 147.994399 110.432156) + (xy 147.998123 110.422197) + (xy 148.055968 110.215718) + (xy 148.05443 110.207351) + (xy 148.042137 110.204) + (xy 146.992115 110.204) + (xy 146.976876 110.208475) + (xy 146.975671 110.209865) + (xy 146.974 110.217548) + (xy 146.466 110.217548) + (xy 146.466 108.633717) + (xy 146.462027 108.620186) + (xy 146.45142 108.618661) + (xy 146.333554 108.643391) + (xy 146.323358 108.646451) + (xy 146.118932 108.727182) + (xy 146.109396 108.731916) + (xy 145.921486 108.845942) + (xy 145.912896 108.852206) + (xy 145.746884 108.996264) + (xy 145.739464 109.003895) + (xy 145.6001 109.17386) + (xy 145.594075 109.182627) + (xy 145.485342 109.373644) + (xy 145.480877 109.383308) + (xy 145.43443 109.511267) + (xy 145.392386 109.568475) + (xy 145.326086 109.593871) + (xy 145.256582 109.579391) + (xy 145.203975 109.525968) + (xy 145.116402 109.355934) + (xy 145.1164 109.355931) + (xy 145.113656 109.350603) + (xy 145.048416 109.267548) + (xy 144.987175 109.189584) + (xy 144.987171 109.189579) + (xy 144.983469 109.184867) + (xy 144.9254 109.134477) + (xy 144.890447 109.104147) + (xy 144.82429 109.046739) + (xy 144.641864 108.941203) + (xy 144.589166 108.922903) + (xy 144.531376 108.881661) + (xy 144.505058 108.815723) + (xy 144.5045 108.803876) + (xy 144.5045 108.3305) + (xy 144.524502 108.262379) + (xy 144.578158 108.215886) + (xy 144.6305 108.2045) + (xy 147.150971 108.2045) + (xy 147.219092 108.224502) + (xy 147.240066 108.241405) + (xy 147.596032 108.597371) + (xy 147.630058 108.659683) + (xy 147.624993 108.730498) + (xy 147.582446 108.787334) + (xy 147.515926 108.812145) + (xy 147.440539 108.793551) + (xy 147.433492 108.789181) + (xy 147.23755 108.689559) + (xy 147.227699 108.685559) + (xy 147.017778 108.620378) + (xy 147.007396 108.618095) + (xy 146.991959 108.616049) + (xy 146.977792 108.618246) + (xy 146.974 108.63143) + (xy 146.974 109.677885) + (xy 146.978475 109.693124) + (xy 146.979865 109.694329) + (xy 146.987548 109.696) + (xy 148.039079 109.696) + (xy 148.05261 109.692027) + (xy 148.053876 109.683218) + (xy 148.006954 109.502433) + (xy 148.003419 109.492395) + (xy 147.913147 109.291998) + (xy 147.907967 109.282692) + (xy 147.866125 109.220541) + (xy 147.844674 109.152863) + (xy 147.863218 109.084331) + (xy 147.915869 109.036703) + (xy 147.985911 109.025102) + (xy 148.051107 109.05321) + (xy 148.05974 109.061079) + (xy 149.162763 110.164102) + (xy 149.176076 110.180585) + (xy 149.178452 110.183196) + (xy 149.184103 110.191948) + (xy 149.206831 110.209865) + (xy 149.20901 110.211583) + (xy 149.212841 110.214987) + (xy 149.212934 110.214877) + (xy 149.216891 110.21823) + (xy 149.220572 110.221911) + (xy 149.224804 110.224935) + (xy 149.234624 110.231953) + (xy 149.23937 110.235517) + (xy 149.26863 110.258584) + (xy 149.268632 110.258585) + (xy 149.27681 110.265032) + (xy 149.284879 110.267866) + (xy 149.291841 110.272841) + (xy 149.311619 110.278756) + (xy 149.337539 110.286508) + (xy 149.343164 110.288335) + (xy 149.388191 110.304147) + (xy 149.392267 110.3045) + (xy 149.394976 110.3045) + (xy 149.397709 110.304618) + (xy 149.397703 110.304763) + (xy 149.398817 110.304833) + (xy 149.404942 110.306665) + (xy 149.457584 110.304597) + (xy 149.46253 110.3045) + (xy 149.518098 110.3045) + (xy 149.586219 110.324502) + (xy 149.632712 110.378158) + (xy 149.641677 110.405918) + (xy 149.642592 110.410519) + (xy 149.660253 110.499306) + (xy 149.716506 110.583494) + (xy 149.800694 110.639747) + (xy 149.9 110.6595) + (xy 150.7 110.6595) + (xy 150.799306 110.639747) + (xy 150.883494 110.583494) + (xy 150.939747 110.499306) + (xy 150.9595 110.4) + (xy 150.9595 110.030048) + (xy 152.067 110.030048) + (xy 152.067 110.101723) + (xy 152.067425 110.109027) + (xy 152.081281 110.22788) + (xy 152.084626 110.242032) + (xy 152.13894 110.391664) + (xy 152.14545 110.404663) + (xy 152.232731 110.537788) + (xy 152.242055 110.54894) + (xy 152.357617 110.658413) + (xy 152.369264 110.667126) + (xy 152.50691 110.747078) + (xy 152.520247 110.752877) + (xy 152.673407 110.799264) + (xy 152.686039 110.801714) + (xy 152.753682 110.807751) + (xy 152.759277 110.808) + (xy 152.777885 110.808) + (xy 152.793124 110.803525) + (xy 152.794329 110.802135) + (xy 152.796 110.794452) + (xy 152.796 110.034615) + (xy 152.794659 110.030048) + (xy 153.304 110.030048) + (xy 153.304 110.789885) + (xy 153.308475 110.805124) + (xy 153.309865 110.806329) + (xy 153.317548 110.808) + (xy 153.326723 110.808) + (xy 153.334027 110.807575) + (xy 153.45288 110.793719) + (xy 153.467032 110.790374) + (xy 153.616664 110.73606) + (xy 153.629663 110.72955) + (xy 153.762788 110.642269) + (xy 153.77394 110.632945) + (xy 153.883413 110.517383) + (xy 153.892126 110.505736) + (xy 153.972078 110.36809) + (xy 153.977877 110.354753) + (xy 154.024264 110.201593) + (xy 154.026714 110.188961) + (xy 154.032751 110.121318) + (xy 154.033 110.115723) + (xy 154.033 110.034615) + (xy 154.028525 110.019376) + (xy 154.027135 110.018171) + (xy 154.019452 110.0165) + (xy 153.322115 110.0165) + (xy 153.306876 110.020975) + (xy 153.305671 110.022365) + (xy 153.304 110.030048) + (xy 152.794659 110.030048) + (xy 152.791525 110.019376) + (xy 152.790135 110.018171) + (xy 152.782452 110.0165) + (xy 152.085115 110.0165) + (xy 152.069876 110.020975) + (xy 152.068671 110.022365) + (xy 152.067 110.030048) + (xy 150.9595 110.030048) + (xy 150.9595 109.5) + (xy 150.939747 109.400694) + (xy 150.883494 109.316506) + (xy 150.799306 109.260253) + (xy 150.7 109.2405) + (xy 149.9 109.2405) + (xy 149.800694 109.260253) + (xy 149.716506 109.316506) + (xy 149.660253 109.400694) + (xy 149.658082 109.411609) + (xy 149.614088 109.466201) + (xy 149.546725 109.488621) + (xy 149.477934 109.471062) + (xy 149.453134 109.451796) + (xy 148.875933 108.874595) + (xy 148.841907 108.812283) + (xy 148.846972 108.741468) + (xy 148.889519 108.684632) + (xy 148.956039 108.659821) + (xy 148.965028 108.6595) + (xy 149.75 108.6595) + (xy 149.849306 108.639747) + (xy 149.933494 108.583494) + (xy 149.989747 108.499306) + (xy 150.0095 108.4) + (xy 150.0095 107.515029) + (xy 150.029502 107.446908) + (xy 150.083158 107.400415) + (xy 150.153432 107.390311) + (xy 150.218012 107.419805) + (xy 150.224595 107.425934) + (xy 150.553595 107.754934) + (xy 150.587621 107.817246) + (xy 150.5905 107.844029) + (xy 150.5905 108.4) + (xy 150.610253 108.499306) + (xy 150.666506 108.583494) + (xy 150.750694 108.639747) + (xy 150.85 108.6595) + (xy 151.65 108.6595) + (xy 151.749306 108.639747) + (xy 151.833494 108.583494) + (xy 151.889747 108.499306) + (xy 151.9095 108.4) + (xy 151.9095 107.752161) + (xy 151.929502 107.68404) + (xy 151.983158 107.637547) + (xy 152.053432 107.627443) + (xy 152.118012 107.656937) + (xy 152.124595 107.663066) + (xy 152.283595 107.822066) + (xy 152.317621 107.884378) + (xy 152.3205 107.911161) + (xy 152.3205 108.372413) + (xy 152.335477 108.476991) + (xy 152.339192 108.485161) + (xy 152.339192 108.485162) + (xy 152.339507 108.485854) + (xy 152.393801 108.605269) + (xy 152.425596 108.642168) + (xy 152.432358 108.650016) + (xy 152.461673 108.714679) + (xy 152.451374 108.784924) + (xy 152.405991 108.837637) + (xy 152.337212 108.882731) + (xy 152.32606 108.892055) + (xy 152.216587 109.007617) + (xy 152.207874 109.019264) + (xy 152.127922 109.15691) + (xy 152.122123 109.170247) + (xy 152.075736 109.323407) + (xy 152.073286 109.336039) + (xy 152.067249 109.403682) + (xy 152.067 109.409277) + (xy 152.067 109.490385) + (xy 152.071475 109.505624) + (xy 152.072865 109.506829) + (xy 152.080548 109.5085) + (xy 154.014885 109.5085) + (xy 154.030124 109.504025) + (xy 154.031329 109.502635) + (xy 154.033 109.494952) + (xy 154.033 109.423277) + (xy 154.032575 109.415973) + (xy 154.018719 109.29712) + (xy 154.015374 109.282968) + (xy 154.009777 109.267548) + (xy 155.867 109.267548) + (xy 155.867 109.514223) + (xy 155.867424 109.521524) + (xy 155.881617 109.643255) + (xy 155.884963 109.65741) + (xy 155.940263 109.809761) + (xy 155.946773 109.82276) + (xy 156.035641 109.958306) + (xy 156.044965 109.969458) + (xy 156.162629 110.080922) + (xy 156.174276 110.089635) + (xy 156.314429 110.171043) + (xy 156.327754 110.176837) + (xy 156.4837 110.224068) + (xy 156.496323 110.226516) + (xy 156.566184 110.232751) + (xy 156.571779 110.233) + (xy 156.690385 110.233) + (xy 156.705624 110.228525) + (xy 156.706829 110.227135) + (xy 156.7085 110.219452) + (xy 156.7085 109.272115) + (xy 156.704025 109.256876) + (xy 156.702635 109.255671) + (xy 156.694952 109.254) + (xy 155.885115 109.254) + (xy 155.869876 109.258475) + (xy 155.868671 109.259865) + (xy 155.867 109.267548) + (xy 154.009777 109.267548) + (xy 153.96106 109.133336) + (xy 153.95455 109.120337) + (xy 153.867269 108.987212) + (xy 153.857945 108.97606) + (xy 153.742383 108.866587) + (xy 153.730736 108.857874) + (xy 153.703938 108.842308) + (xy 153.65508 108.790797) + (xy 153.641826 108.721048) + (xy 153.665402 108.666407) + (xy 153.662021 108.664215) + (xy 153.664869 108.659821) + (xy 153.738665 108.545968) + (xy 153.741237 108.537366) + (xy 153.741239 108.537363) + (xy 153.760853 108.471779) + (xy 155.867 108.471779) + (xy 155.867 108.727885) + (xy 155.871475 108.743124) + (xy 155.872865 108.744329) + (xy 155.880548 108.746) + (xy 156.690385 108.746) + (xy 156.705624 108.741525) + (xy 156.706829 108.740135) + (xy 156.7085 108.732452) + (xy 156.7085 107.853) + (xy 156.728502 107.784879) + (xy 156.782158 107.738386) + (xy 156.8345 107.727) + (xy 156.865385 107.727) + (xy 156.880624 107.722525) + (xy 156.881829 107.721135) + (xy 156.8835 107.713452) + (xy 156.8835 107.522115) + (xy 156.879025 107.506876) + (xy 156.877635 107.505671) + (xy 156.869952 107.504) + (xy 156.110115 107.504) + (xy 156.094876 107.508475) + (xy 156.093671 107.509865) + (xy 156.092 107.517548) + (xy 156.092 107.526723) + (xy 156.092425 107.534027) + (xy 156.106281 107.65288) + (xy 156.109626 107.667032) + (xy 156.161806 107.810784) + (xy 156.166247 107.881641) + (xy 156.13484 107.940428) + (xy 156.019078 108.062629) + (xy 156.010365 108.074276) + (xy 155.928957 108.214429) + (xy 155.923163 108.227754) + (xy 155.875932 108.3837) + (xy 155.873484 108.396323) + (xy 155.867249 108.466184) + (xy 155.867 108.471779) + (xy 153.760853 108.471779) + (xy 153.777085 108.417502) + (xy 153.777085 108.4175) + (xy 153.779041 108.410961) + (xy 153.7795 108.404784) + (xy 153.7795 107.702587) + (xy 153.767143 107.6163) + (xy 153.765796 107.606896) + (xy 153.765795 107.606894) + (xy 153.764523 107.598009) + (xy 153.706199 107.469731) + (xy 153.700341 107.462932) + (xy 153.700338 107.462928) + (xy 153.620075 107.369779) + (xy 153.620072 107.369777) + (xy 153.614215 107.362979) + (xy 153.495968 107.286335) + (xy 153.487366 107.283763) + (xy 153.487363 107.283761) + (xy 153.367502 107.247915) + (xy 153.3675 107.247915) + (xy 153.360961 107.245959) + (xy 153.354784 107.2455) + (xy 153.186161 107.2455) + (xy 153.11804 107.225498) + (xy 153.097066 107.208595) + (xy 152.847748 106.959277) + (xy 156.092 106.959277) + (xy 156.092 106.977885) + (xy 156.096475 106.993124) + (xy 156.097865 106.994329) + (xy 156.105548 106.996) + (xy 156.865385 106.996) + (xy 156.880624 106.991525) + (xy 156.881829 106.990135) + (xy 156.8835 106.982452) + (xy 156.8835 106.285115) + (xy 156.879025 106.269876) + (xy 156.877635 106.268671) + (xy 156.869952 106.267) + (xy 156.798277 106.267) + (xy 156.790973 106.267425) + (xy 156.67212 106.281281) + (xy 156.657968 106.284626) + (xy 156.508336 106.33894) + (xy 156.495337 106.34545) + (xy 156.362212 106.432731) + (xy 156.35106 106.442055) + (xy 156.241587 106.557617) + (xy 156.232874 106.569264) + (xy 156.152922 106.70691) + (xy 156.147123 106.720247) + (xy 156.100736 106.873407) + (xy 156.098286 106.886039) + (xy 156.092249 106.953682) + (xy 156.092 106.959277) + (xy 152.847748 106.959277) + (xy 152.319702 106.431231) + (xy 152.285676 106.368919) + (xy 152.283791 106.357928) + (xy 152.277276 106.306355) + (xy 152.277273 106.306345) + (xy 152.276281 106.298489) + (xy 152.254142 106.242572) + (xy 152.218342 106.152152) + (xy 152.218341 106.15215) + (xy 152.215424 106.144783) + (xy 152.166063 106.076843) + (xy 152.142 106.002783) + (xy 152.142 104.68001) + (xy 152.162002 104.611889) + (xy 152.215658 104.565396) + (xy 152.268 104.55401) + (xy 156.27762 104.55401) + (xy 156.345741 104.574012) + (xy 156.366715 104.590915) + (xy 157.312736 105.536936) + (xy 157.325123 105.551349) + (xy 157.337359 105.567976) + (xy 157.342942 105.572719) + (xy 157.376119 105.600905) + (xy 157.383635 105.607835) + (xy 157.389634 105.613834) + (xy 157.392504 105.616105) + (xy 157.39251 105.61611) + (xy 157.412716 105.632097) + (xy 157.416088 105.634861) + (xy 157.471418 105.681867) + (xy 157.477934 105.685194) + (xy 157.482896 105.688504) + (xy 157.487988 105.691649) + (xy 157.493727 105.696189) + (xy 157.500354 105.699286) + (xy 157.500357 105.699288) + (xy 157.559492 105.726925) + (xy 157.563445 105.728858) + (xy 157.62156 105.758534) + (xy 157.621563 105.758535) + (xy 157.628082 105.761864) + (xy 157.635193 105.763604) + (xy 157.640785 105.765684) + (xy 157.646458 105.767571) + (xy 157.653087 105.770669) + (xy 157.660252 105.772159) + (xy 157.66026 105.772162) + (xy 157.72417 105.785456) + (xy 157.728457 105.786426) + (xy 157.793489 105.802339) + (xy 157.793495 105.80234) + (xy 157.798947 105.803674) + (xy 157.804552 105.804022) + (xy 157.804554 105.804022) + (xy 157.809668 105.804339) + (xy 157.811444 105.804449) + (xy 157.814841 105.804706) + (xy 157.818141 105.805001) + (xy 157.825307 105.806491) + (xy 157.832623 105.806293) + (xy 157.850839 105.8058) + (xy 157.897248 105.804544) + (xy 157.900656 105.804498) + (xy 161.032 105.804498) + (xy 161.100121 105.8245) + (xy 161.146614 105.878156) + (xy 161.158 105.930498) + (xy 161.158 106.203809) + (xy 161.137733 106.27234) + (xy 161.112487 106.311289) + (xy 161.083775 106.407297) + (xy 161.07338 106.442055) + (xy 161.071096 106.449691) + (xy 161.0705 106.457712) + (xy 161.0705 107.509914) + (xy 161.074271 107.536246) + (xy 161.084389 107.606896) + (xy 161.085979 107.618) + (xy 161.089696 107.626176) + (xy 161.089697 107.626178) + (xy 161.108127 107.666711) + (xy 161.145771 107.749504) + (xy 161.240067 107.858941) + (xy 161.317365 107.909043) + (xy 161.322779 107.912552) + (xy 161.369063 107.966387) + (xy 161.378894 108.0367) + (xy 161.34915 108.101166) + (xy 161.327377 108.119916) + (xy 161.325496 108.120771) + (xy 161.318695 108.126631) + (xy 161.309618 108.134452) + (xy 161.216059 108.215067) + (xy 161.137487 108.336289) + (xy 161.12268 108.385801) + (xy 161.116758 108.405602) + (xy 161.078076 108.465136) + (xy 161.013349 108.494306) + (xy 160.996041 108.4955) + (xy 159.989516 108.4955) + (xy 159.921395 108.475498) + (xy 159.874902 108.421842) + (xy 159.868307 108.399357) + (xy 159.867812 108.399502) + (xy 159.865294 108.390892) + (xy 159.864021 108.382) + (xy 159.859028 108.371017) + (xy 159.83187 108.311289) + (xy 159.804229 108.250496) + (xy 159.709933 108.141059) + (xy 159.63203 108.090565) + (xy 159.59581 108.067088) + (xy 159.549526 108.013252) + (xy 159.538584 107.969158) + (xy 159.53697 107.943137) + (xy 159.53697 107.943135) + (xy 159.536414 107.934179) + (xy 159.533367 107.925738) + (xy 159.532685 107.922446) + (xy 159.527606 107.902073) + (xy 159.526522 107.894507) + (xy 159.528919 107.894164) + (xy 159.528978 107.836197) + (xy 159.544107 107.803283) + (xy 159.576694 107.753009) + (xy 159.58901 107.736988) + (xy 159.670066 107.647438) + (xy 159.670067 107.647436) + (xy 159.676094 107.640778) + (xy 159.723045 107.54387) + (xy 159.735166 107.518853) + (xy 159.735166 107.518852) + (xy 159.73908 107.510774) + (xy 159.763047 107.368317) + (xy 159.763124 107.362044) + (xy 159.76314 107.360728) + (xy 159.76314 107.360724) + (xy 159.763199 107.355869) + (xy 159.753241 107.286335) + (xy 159.743994 107.221762) + (xy 159.743993 107.221759) + (xy 159.74272 107.212869) + (xy 159.738504 107.203595) + (xy 159.709854 107.140586) + (xy 159.682928 107.081365) + (xy 159.677067 107.074563) + (xy 159.67435 107.070314) + (xy 159.6545 107.00243) + (xy 159.6545 106.977587) + (xy 159.644808 106.909915) + (xy 159.640796 106.881896) + (xy 159.640795 106.881894) + (xy 159.639523 106.873009) + (xy 159.581199 106.744731) + (xy 159.575341 106.737932) + (xy 159.575338 106.737928) + (xy 159.495075 106.644779) + (xy 159.495072 106.644777) + (xy 159.489215 106.637979) + (xy 159.370968 106.561335) + (xy 159.362366 106.558763) + (xy 159.362363 106.558761) + (xy 159.242502 106.522915) + (xy 159.2425 106.522915) + (xy 159.235961 106.520959) + (xy 159.229784 106.5205) + (xy 158.527587 106.5205) + (xy 158.466909 106.52919) + (xy 158.431896 106.534204) + (xy 158.431894 106.534205) + (xy 158.423009 106.535477) + (xy 158.414839 106.539192) + (xy 158.414838 106.539192) + (xy 158.412236 106.540375) + (xy 158.294731 106.593801) + (xy 158.255281 106.627794) + (xy 158.249984 106.632358) + (xy 158.185321 106.661673) + (xy 158.115076 106.651374) + (xy 158.062363 106.605991) + (xy 158.017269 106.537212) + (xy 158.007945 106.52606) + (xy 157.892383 106.416587) + (xy 157.880736 106.407874) + (xy 157.74309 106.327922) + (xy 157.729753 106.322123) + (xy 157.576593 106.275736) + (xy 157.563961 106.273286) + (xy 157.496318 106.267249) + (xy 157.490723 106.267) + (xy 157.409615 106.267) + (xy 157.394376 106.271475) + (xy 157.393171 106.272865) + (xy 157.3915 106.280548) + (xy 157.3915 108.147) + (xy 157.371498 108.215121) + (xy 157.317842 108.261614) + (xy 157.2655 108.273) + (xy 157.234615 108.273) + (xy 157.219376 108.277475) + (xy 157.218171 108.278865) + (xy 157.2165 108.286548) + (xy 157.2165 110.214885) + (xy 157.220975 110.230124) + (xy 157.222365 110.231329) + (xy 157.230048 110.233) + (xy 157.339223 110.233) + (xy 157.346524 110.232576) + (xy 157.468255 110.218383) + (xy 157.48241 110.215037) + (xy 157.634761 110.159737) + (xy 157.64776 110.153227) + (xy 157.783306 110.064359) + (xy 157.794458 110.055035) + (xy 157.905922 109.937371) + (xy 157.914635 109.925724) + (xy 157.996043 109.785571) + (xy 158.00184 109.77224) + (xy 158.016582 109.723565) + (xy 158.055471 109.664167) + (xy 158.1203 109.635224) + (xy 158.190486 109.645924) + (xy 158.243745 109.692871) + (xy 158.251869 109.707931) + (xy 158.270771 109.749504) + (xy 158.365067 109.858941) + (xy 158.486289 109.937513) + (xy 158.562494 109.960303) + (xy 158.61815 109.976948) + (xy 158.618152 109.976948) + (xy 158.624691 109.978904) + (xy 158.632712 109.9795) + (xy 159.409914 109.9795) + (xy 159.452403 109.973415) + (xy 159.509107 109.965295) + (xy 159.50911 109.965294) + (xy 159.518 109.964021) + (xy 159.526176 109.960304) + (xy 159.526178 109.960303) + (xy 159.581161 109.935303) + (xy 159.649504 109.904229) + (xy 159.758941 109.809933) + (xy 159.837513 109.688711) + (xy 159.865719 109.594397) + (xy 159.904401 109.534864) + (xy 159.969128 109.505694) + (xy 159.986436 109.5045) + (xy 160.991994 109.5045) + (xy 161.060115 109.524502) + (xy 161.106608 109.578158) + (xy 161.110257 109.587959) + (xy 161.110979 109.593) + (xy 161.114693 109.601167) + (xy 161.114693 109.601169) + (xy 161.118578 109.609713) + (xy 161.170771 109.724504) + (xy 161.265067 109.833941) + (xy 161.386289 109.912513) + (xy 161.469883 109.937513) + (xy 161.51815 109.951948) + (xy 161.518152 109.951948) + (xy 161.524691 109.953904) + (xy 161.532712 109.9545) + (xy 162.284914 109.9545) + (xy 162.327403 109.948415) + (xy 162.384107 109.940295) + (xy 162.38411 109.940294) + (xy 162.393 109.939021) + (xy 162.401176 109.935304) + (xy 162.401178 109.935303) + (xy 162.461347 109.907945) + (xy 162.524504 109.879229) + (xy 162.633941 109.784933) + (xy 162.712513 109.663711) + (xy 162.746921 109.548658) + (xy 162.751948 109.53185) + (xy 162.751948 109.531848) + (xy 162.753904 109.525309) + (xy 162.7545 109.517288) + (xy 162.7545 108.797161) + (xy 162.774502 108.72904) + (xy 162.828158 108.682547) + (xy 162.898432 108.672443) + (xy 162.963012 108.701937) + (xy 162.969595 108.708066) + (xy 163.108595 108.847066) + (xy 163.142621 108.909378) + (xy 163.1455 108.936161) + (xy 163.1455 109.484914) + (xy 163.150901 109.522628) + (xy 163.158473 109.575498) + (xy 163.160979 109.593) + (xy 163.164696 109.601176) + (xy 163.164697 109.601178) + (xy 163.183423 109.642362) + (xy 163.220771 109.724504) + (xy 163.315067 109.833941) + (xy 163.376765 109.873932) + (xy 163.423047 109.927765) + (xy 163.432878 109.998078) + (xy 163.432368 110.000339) + (xy 163.432275 110.000537) + (xy 163.432167 110.001229) + (xy 163.432167 110.00123) + (xy 163.413469 110.121318) + (xy 163.41005 110.143275) + (xy 163.411214 110.152177) + (xy 163.411214 110.15218) + (xy 163.427616 110.27761) + (xy 163.427617 110.277614) + (xy 163.428781 110.286515) + (xy 163.436695 110.3045) + (xy 163.478357 110.399184) + (xy 163.486961 110.418739) + (xy 163.492738 110.425612) + (xy 163.492739 110.425613) + (xy 163.56988 110.517383) + (xy 163.579914 110.52932) + (xy 163.587391 110.534297) + (xy 163.661299 110.583494) + (xy 163.700167 110.609367) + (xy 163.838053 110.652446) + (xy 163.902385 110.653625) + (xy 163.970127 110.674872) + (xy 163.98917 110.690509) + (xy 164.112763 110.814102) + (xy 164.126076 110.830585) + (xy 164.128452 110.833196) + (xy 164.134103 110.841948) + (xy 164.14776 110.852714) + (xy 164.15901 110.861583) + (xy 164.162841 110.864987) + (xy 164.162934 110.864877) + (xy 164.166891 110.86823) + (xy 164.170572 110.871911) + (xy 164.182093 110.880144) + (xy 164.184624 110.881953) + (xy 164.18937 110.885517) + (xy 164.21863 110.908584) + (xy 164.218632 110.908585) + (xy 164.22681 110.915032) + (xy 164.234879 110.917866) + (xy 164.241841 110.922841) + (xy 164.280896 110.934521) + (xy 164.287539 110.936508) + (xy 164.293164 110.938335) + (xy 164.338191 110.954147) + (xy 164.342267 110.9545) + (xy 164.344976 110.9545) + (xy 164.347709 110.954618) + (xy 164.347703 110.954763) + (xy 164.348817 110.954833) + (xy 164.354942 110.956665) + (xy 164.407584 110.954597) + (xy 164.41253 110.9545) + (xy 165.948283 110.9545) + (xy 165.96937 110.956744) + (xy 165.972887 110.95691) + (xy 165.983065 110.959101) + (xy 166.014563 110.955373) + (xy 166.019677 110.955071) + (xy 166.019665 110.954928) + (xy 166.024843 110.9545) + (xy 166.03004 110.9545) + (xy 166.039865 110.952865) + (xy 166.047083 110.951664) + (xy 166.052955 110.950829) + (xy 166.061138 110.94986) + (xy 166.100297 110.945225) + (xy 166.108006 110.941523) + (xy 166.116448 110.940118) + (xy 166.125615 110.935172) + (xy 166.125617 110.935171) + (xy 166.158431 110.917465) + (xy 166.163724 110.914768) + (xy 166.19957 110.897556) + (xy 166.199573 110.897554) + (xy 166.206714 110.894125) + (xy 166.209846 110.891493) + (xy 166.211791 110.889548) + (xy 166.213778 110.887726) + (xy 166.213877 110.887834) + (xy 166.214713 110.887097) + (xy 166.22034 110.884061) + (xy 166.231572 110.871911) + (xy 166.256103 110.845373) + (xy 166.259532 110.841807) + (xy 167.959091 109.142248) + (xy 168.021403 109.108222) + (xy 168.050495 109.105364) + (xy 168.062975 109.105593) + (xy 168.13468 109.086044) + (xy 168.193688 109.069957) + (xy 168.19369 109.069956) + (xy 168.202347 109.067596) + (xy 168.325452 108.992009) + (xy 168.422395 108.884909) + (xy 168.466657 108.793551) + (xy 168.481467 108.762984) + (xy 168.481467 108.762983) + (xy 168.485381 108.754905) + (xy 168.509348 108.612448) + (xy 168.509407 108.607585) + (xy 168.509574 108.605728) + (xy 168.53558 108.539666) + (xy 168.593174 108.498151) + (xy 168.601922 108.495421) + (xy 168.65777 108.480195) + (xy 168.693688 108.470403) + (xy 168.69369 108.470402) + (xy 168.702347 108.468042) + (xy 168.825452 108.392455) + (xy 168.834916 108.382) + (xy 168.858175 108.356304) + (xy 168.922395 108.285355) + (xy 168.961569 108.2045) + (xy 168.981467 108.16343) + (xy 168.981467 108.163429) + (xy 168.985381 108.155351) + (xy 169.009348 108.012894) + (xy 169.009425 108.006621) + (xy 169.009441 108.005305) + (xy 169.009441 108.005301) + (xy 169.0095 108.000446) + (xy 169.003158 107.956161) + (xy 168.990295 107.866339) + (xy 168.990294 107.866336) + (xy 168.989021 107.857446) + (xy 168.983617 107.845559) + (xy 168.957803 107.788787) + (xy 168.929229 107.725942) + (xy 168.914591 107.708953) + (xy 168.891041 107.681622) + (xy 168.834933 107.616505) + (xy 168.713711 107.537933) + (xy 168.575309 107.496542) + (xy 168.566333 107.496487) + (xy 168.566332 107.496487) + (xy 168.505055 107.496113) + (xy 168.430853 107.49566) + (xy 168.291956 107.535357) + (xy 168.169783 107.612442) + (xy 168.166108 107.616603) + (xy 168.102841 107.644818) + (xy 168.08602 107.645946) + (xy 167.837416 107.645946) + (xy 167.816329 107.643702) + (xy 167.812812 107.643536) + (xy 167.802634 107.641345) + (xy 167.771136 107.645073) + (xy 167.766027 107.645374) + (xy 167.766039 107.645518) + (xy 167.760861 107.645946) + (xy 167.75566 107.645946) + (xy 167.738652 107.648777) + (xy 167.732775 107.649614) + (xy 167.685402 107.655221) + (xy 167.677692 107.658923) + (xy 167.669252 107.660328) + (xy 167.627254 107.682989) + (xy 167.62198 107.685676) + (xy 167.58613 107.70289) + (xy 167.586127 107.702892) + (xy 167.578986 107.706321) + (xy 167.575854 107.708953) + (xy 167.573909 107.710898) + (xy 167.571922 107.71272) + (xy 167.571823 107.712612) + (xy 167.570987 107.713349) + (xy 167.56536 107.716385) + (xy 167.558289 107.724034) + (xy 167.558288 107.724035) + (xy 167.529597 107.755073) + (xy 167.526168 107.758639) + (xy 165.648302 109.636505) + (xy 165.58599 109.670531) + (xy 165.559207 109.67341) + (xy 165.108326 109.67341) + (xy 165.040205 109.653408) + (xy 165.032285 109.647459) + (xy 165.029278 109.643969) + (xy 164.908056 109.565397) + (xy 164.899045 109.562702) + (xy 164.894395 109.561311) + (xy 164.834862 109.522628) + (xy 164.805694 109.4579) + (xy 164.8045 109.440595) + (xy 164.8045 108.515086) + (xy 164.796943 108.462317) + (xy 164.790295 108.415893) + (xy 164.790294 108.41589) + (xy 164.789021 108.407) + (xy 164.784167 108.396323) + (xy 164.758785 108.3405) + (xy 164.729229 108.275496) + (xy 164.723482 108.268827) + (xy 164.703657 108.200746) + (xy 164.723811 108.13267) + (xy 164.760572 108.095655) + (xy 164.808307 108.064359) + (xy 164.819458 108.055035) + (xy 164.930922 107.937371) + (xy 164.939635 107.925724) + (xy 165.021043 107.785571) + (xy 165.026837 107.772246) + (xy 165.074068 107.6163) + (xy 165.076516 107.603677) + (xy 165.082751 107.533816) + (xy 165.083 107.528221) + (xy 165.083 107.272115) + (xy 165.078525 107.256876) + (xy 165.077135 107.255671) + (xy 165.069452 107.254) + (xy 163.8595 107.254) + (xy 163.791379 107.233998) + (xy 163.744886 107.180342) + (xy 163.7335 107.128) + (xy 163.7335 105.267548) + (xy 164.2415 105.267548) + (xy 164.2415 106.727885) + (xy 164.245975 106.743124) + (xy 164.247365 106.744329) + (xy 164.255048 106.746) + (xy 165.064885 106.746) + (xy 165.080124 106.741525) + (xy 165.081329 106.740135) + (xy 165.083 106.732452) + (xy 165.083 106.485777) + (xy 165.082576 106.478476) + (xy 165.068383 106.356745) + (xy 165.065037 106.34259) + (xy 165.009737 106.190239) + (xy 165.003227 106.17724) + (xy 164.934063 106.071747) + (xy 164.91344 106.003812) + (xy 164.93282 105.935511) + (xy 164.938544 105.927183) + (xy 164.939635 105.925725) + (xy 165.021043 105.785571) + (xy 165.026837 105.772246) + (xy 165.074068 105.6163) + (xy 165.076516 105.603677) + (xy 165.082751 105.533816) + (xy 165.083 105.528221) + (xy 165.083 105.373934) + (xy 174.910957 105.373934) + (xy 174.93071 105.47324) + (xy 174.937605 105.483559) + (xy 174.983524 105.552282) + (xy 174.983527 105.552285) + (xy 174.986963 105.557428) + (xy 175.340516 105.910981) + (xy 175.345659 105.914417) + (xy 175.345662 105.91442) + (xy 175.403536 105.95309) + (xy 175.424704 105.967234) + (xy 175.436876 105.969655) + (xy 175.448341 105.974404) + (xy 175.447313 105.976885) + (xy 175.494323 106.001473) + (xy 175.521801 106.051794) + (xy 175.52458 106.050643) + (xy 175.529329 106.062108) + (xy 175.53175 106.07428) + (xy 175.538645 106.084599) + (xy 175.584564 106.153322) + (xy 175.584567 106.153325) + (xy 175.588003 106.158468) + (xy 175.870846 106.441311) + (xy 175.875989 106.444747) + (xy 175.875992 106.44475) + (xy 175.936349 106.485079) + (xy 175.955034 106.497564) + (xy 175.991237 106.504765) + (xy 176.054146 106.537672) + (xy 176.090235 106.603763) + (xy 176.097436 106.639966) + (xy 176.104331 106.650285) + (xy 176.15025 106.719008) + (xy 176.150253 106.719011) + (xy 176.153689 106.724154) + (xy 176.436532 107.006997) + (xy 176.441675 107.010433) + (xy 176.441678 107.010436) + (xy 176.485997 107.040049) + (xy 176.52072 107.06325) + (xy 176.532892 107.065671) + (xy 176.544357 107.07042) + (xy 176.543329 107.072901) + (xy 176.590339 107.097489) + (xy 176.617817 107.14781) + (xy 176.620596 107.146659) + (xy 176.625345 107.158124) + (xy 176.627766 107.170296) + (xy 176.634661 107.180615) + (xy 176.68058 107.249338) + (xy 176.680583 107.249341) + (xy 176.684019 107.254484) + (xy 177.037572 107.608037) + (xy 177.042715 107.611473) + (xy 177.042718 107.611476) + (xy 177.104684 107.65288) + (xy 177.12176 107.66429) + (xy 177.221066 107.684043) + (xy 177.320372 107.66429) + (xy 177.337448 107.65288) + (xy 177.399414 107.611476) + (xy 177.399417 107.611473) + (xy 177.40456 107.608037) + (xy 177.875421 107.137176) + (xy 177.937733 107.10315) + (xy 178.008548 107.108215) + (xy 178.065384 107.150762) + (xy 178.0796 107.179644) + (xy 178.080842 107.179097) + (xy 178.139022 107.311321) + (xy 178.144797 107.318191) + (xy 178.1448 107.318196) + (xy 178.195715 107.378766) + (xy 178.224237 107.443782) + (xy 178.225265 107.459842) + (xy 178.225265 107.715875) + (xy 178.205263 107.783996) + (xy 178.188361 107.80497) + (xy 178.026945 107.966387) + (xy 177.862467 108.130865) + (xy 177.799109 108.215403) + (xy 177.749644 108.34735) + (xy 177.739202 108.487878) + (xy 177.741075 108.496652) + (xy 177.741075 108.496654) + (xy 177.766745 108.616909) + (xy 177.768619 108.625687) + (xy 177.772881 108.633585) + (xy 177.772881 108.633586) + (xy 177.831092 108.741468) + (xy 177.835534 108.749701) + (xy 177.839577 108.754393) + (xy 178.247717 109.162533) + (xy 178.251301 109.165219) + (xy 178.325073 109.220509) + (xy 178.325076 109.220511) + (xy 178.332255 109.225891) + (xy 178.414908 109.256876) + (xy 178.455558 109.272115) + (xy 178.464203 109.275356) + (xy 178.473149 109.276021) + (xy 178.47315 109.276021) + (xy 178.549961 109.281728) + (xy 178.60473 109.285798) + (xy 178.613504 109.283925) + (xy 178.613506 109.283925) + (xy 178.733761 109.258255) + (xy 178.733762 109.258254) + (xy 178.742539 109.256381) + (xy 178.750438 109.252119) + (xy 178.860555 109.192703) + (xy 178.860559 109.1927) + (xy 178.866553 109.189466) + (xy 178.871246 109.185423) + (xy 179.297063 108.759605) + (xy 179.360421 108.675067) + (xy 179.409886 108.54312) + (xy 179.420328 108.402592) + (xy 179.416296 108.3837) + (xy 179.392785 108.273561) + (xy 179.392784 108.27356) + (xy 179.390911 108.264783) + (xy 179.38256 108.249306) + (xy 179.327238 108.146777) + (xy 179.327237 108.146775) + (xy 179.323996 108.140769) + (xy 179.319953 108.136077) + (xy 178.97117 107.787294) + (xy 178.937144 107.724982) + (xy 178.934265 107.698199) + (xy 178.934265 107.572331) + (xy 178.954267 107.50421) + (xy 179.007923 107.457717) + (xy 179.078197 107.447613) + (xy 179.142777 107.477107) + (xy 179.14936 107.483236) + (xy 179.538187 107.872063) + (xy 179.544417 107.876732) + (xy 179.615543 107.930039) + (xy 179.615546 107.930041) + (xy 179.622725 107.935421) + (xy 179.754673 107.984886) + (xy 179.763619 107.985551) + (xy 179.76362 107.985551) + (xy 179.824519 107.990076) + (xy 179.8952 107.995328) + (xy 179.903974 107.993455) + (xy 179.903976 107.993455) + (xy 180.024231 107.967785) + (xy 180.024232 107.967784) + (xy 180.033009 107.965911) + (xy 180.051079 107.956161) + (xy 180.151025 107.902233) + (xy 180.151029 107.90223) + (xy 180.157023 107.898996) + (xy 180.161716 107.894953) + (xy 180.587533 107.469135) + (xy 180.650891 107.384597) + (xy 180.700356 107.25265) + (xy 180.710798 107.112122) + (xy 180.707675 107.097489) + (xy 180.683255 106.983091) + (xy 180.683254 106.98309) + (xy 180.681381 106.974313) + (xy 180.677119 106.966414) + (xy 180.674019 106.957989) + (xy 180.67719 106.956822) + (xy 180.665862 106.903381) + (xy 180.691026 106.836993) + (xy 180.702396 106.823943) + (xy 181.027489 106.49885) + (xy 181.089801 106.464824) + (xy 181.160616 106.469889) + (xy 181.217452 106.512436) + (xy 181.242487 106.582998) + (xy 181.24449 106.63398) + (xy 181.244587 106.638927) + (xy 181.244587 106.85754) + (xy 181.242343 106.878627) + (xy 181.242177 106.882144) + (xy 181.239986 106.892322) + (xy 181.242463 106.91325) + (xy 181.243714 106.923817) + (xy 181.244016 106.928934) + (xy 181.244159 106.928922) + (xy 181.244587 106.9341) + (xy 181.244587 106.939297) + (xy 181.24544 106.944421) + (xy 181.247423 106.95634) + (xy 181.248258 106.962212) + (xy 181.248638 106.965421) + (xy 181.253862 107.009554) + (xy 181.257564 107.017263) + (xy 181.258969 107.025705) + (xy 181.263915 107.034872) + (xy 181.263916 107.034874) + (xy 181.272637 107.051037) + (xy 181.281541 107.067537) + (xy 181.281622 107.067688) + (xy 181.284319 107.072981) + (xy 181.301531 107.108827) + (xy 181.301533 107.10883) + (xy 181.304962 107.115971) + (xy 181.307594 107.119103) + (xy 181.309539 107.121048) + (xy 181.311361 107.123035) + (xy 181.311253 107.123134) + (xy 181.31199 107.12397) + (xy 181.315026 107.129597) + (xy 181.322675 107.136668) + (xy 181.322676 107.136669) + (xy 181.353714 107.16536) + (xy 181.35728 107.168789) + (xy 182.039725 107.851234) + (xy 182.053038 107.867717) + (xy 182.055414 107.870328) + (xy 182.061065 107.87908) + (xy 182.084653 107.897675) + (xy 182.085972 107.898715) + (xy 182.089806 107.902122) + (xy 182.089899 107.902012) + (xy 182.093857 107.905366) + (xy 182.097534 107.909043) + (xy 182.101759 107.912062) + (xy 182.101761 107.912064) + (xy 182.111581 107.919082) + (xy 182.116324 107.922644) + (xy 182.142321 107.943137) + (xy 182.153772 107.952164) + (xy 182.161842 107.954998) + (xy 182.168804 107.959973) + (xy 182.199517 107.969158) + (xy 182.214504 107.97364) + (xy 182.220152 107.975475) + (xy 182.265153 107.991279) + (xy 182.269229 107.991632) + (xy 182.271941 107.991632) + (xy 182.274671 107.99175) + (xy 182.274665 107.991896) + (xy 182.27578 107.991966) + (xy 182.281905 107.993798) + (xy 182.334561 107.991729) + (xy 182.339507 107.991632) + (xy 188.871845 107.991632) + (xy 188.939966 108.011634) + (xy 188.96094 108.028537) + (xy 189.212648 108.280245) + (xy 189.217791 108.283681) + (xy 189.217794 108.283684) + (xy 189.250097 108.305268) + (xy 189.296836 108.336498) + (xy 189.396142 108.356251) + (xy 189.395776 108.358093) + (xy 189.449378 108.373832) + (xy 189.495871 108.427488) + (xy 189.506193 108.463492) + (xy 189.509269 108.487015) + (xy 189.514677 108.499306) + (xy 189.552369 108.584966) + (xy 189.567449 108.619239) + (xy 189.573226 108.626112) + (xy 189.573227 108.626113) + (xy 189.652685 108.72064) + (xy 189.660402 108.72982) + (xy 189.667879 108.734797) + (xy 189.752007 108.790797) + (xy 189.780655 108.809867) + (xy 189.789222 108.812544) + (xy 189.794622 108.815119) + (xy 189.847497 108.862499) + (xy 189.856788 108.880628) + (xy 189.861081 108.890993) + (xy 189.863502 108.903164) + (xy 189.870395 108.913481) + (xy 189.870396 108.913482) + (xy 189.916316 108.982206) + (xy 189.916319 108.982209) + (xy 189.919755 108.987352) + (xy 190.48544 109.553037) + (xy 190.490583 109.556473) + (xy 190.490586 109.556476) + (xy 190.50682 109.567323) + (xy 190.569628 109.60929) + (xy 190.668934 109.629043) + (xy 190.76824 109.60929) + (xy 190.831048 109.567323) + (xy 190.847282 109.556476) + (xy 190.847285 109.556473) + (xy 190.852428 109.553037) + (xy 191.205981 109.199484) + (xy 191.209417 109.194341) + (xy 191.20942 109.194338) + (xy 191.255339 109.125615) + (xy 191.262234 109.115296) + (xy 191.264655 109.103124) + (xy 191.269404 109.091659) + (xy 191.271885 109.092687) + (xy 191.296473 109.045677) + (xy 191.346794 109.018199) + (xy 191.345643 109.01542) + (xy 191.357108 109.010671) + (xy 191.36928 109.00825) + (xy 191.400556 108.987352) + (xy 191.448322 108.955436) + (xy 191.448325 108.955433) + (xy 191.453468 108.951997) + (xy 191.736311 108.669154) + (xy 191.739747 108.664011) + (xy 191.73975 108.664008) + (xy 191.785669 108.595285) + (xy 191.792564 108.584966) + (xy 191.799765 108.548763) + (xy 191.832672 108.485854) + (xy 191.898763 108.449765) + (xy 191.934966 108.442564) + (xy 191.957529 108.427488) + (xy 192.014008 108.38975) + (xy 192.014011 108.389747) + (xy 192.019154 108.386311) + (xy 192.301997 108.103468) + (xy 192.305433 108.098325) + (xy 192.305436 108.098322) + (xy 192.351355 108.029599) + (xy 192.35825 108.01928) + (xy 192.360671 108.007108) + (xy 192.36542 107.995643) + (xy 192.367901 107.996671) + (xy 192.392489 107.949661) + (xy 192.44281 107.922183) + (xy 192.441659 107.919404) + (xy 192.453124 107.914655) + (xy 192.465296 107.912234) + (xy 192.48547 107.898754) + (xy 192.544338 107.85942) + (xy 192.544341 107.859417) + (xy 192.549484 107.855981) + (xy 192.903037 107.502428) + (xy 192.906473 107.497285) + (xy 192.906476 107.497282) + (xy 192.952395 107.428559) + (xy 192.95929 107.41824) + (xy 192.979043 107.318934) + (xy 192.95929 107.219628) + (xy 192.942563 107.194595) + (xy 192.906476 107.140586) + (xy 192.906473 107.140583) + (xy 192.903037 107.13544) + (xy 192.337352 106.569755) + (xy 192.332209 106.566319) + (xy 192.332206 106.566316) + (xy 192.26348 106.520395) + (xy 192.253164 106.513502) + (xy 192.248851 106.512644) + (xy 192.197888 106.471576) + (xy 192.186688 106.451868) + (xy 192.158785 106.3905) + (xy 192.129229 106.325496) + (xy 192.034933 106.216059) + (xy 191.913711 106.137487) + (xy 191.785126 106.099032) + (xy 191.725592 106.060351) + (xy 191.697649 106.002896) + (xy 191.692475 105.976885) + (xy 191.686498 105.946836) + (xy 191.66254 105.910981) + (xy 191.633684 105.867794) + (xy 191.633681 105.867791) + (xy 191.630245 105.862648) + (xy 191.174324 105.406727) + (xy 191.140298 105.344415) + (xy 191.145363 105.2736) + (xy 191.18791 105.216764) + (xy 191.25443 105.191953) + (xy 191.263419 105.191632) + (xy 191.671845 105.191632) + (xy 191.739966 105.211634) + (xy 191.76094 105.228537) + (xy 192.012648 105.480245) + (xy 192.017791 105.483681) + (xy 192.017794 105.483684) + (xy 192.051959 105.506512) + (xy 192.096836 105.536498) + (xy 192.183971 105.55383) + (xy 192.196142 105.556251) + (xy 192.205139 105.554461) + (xy 192.271109 105.573832) + (xy 192.317602 105.627488) + (xy 192.327924 105.663493) + (xy 192.334269 105.712015) + (xy 192.337886 105.720235) + (xy 192.38609 105.829786) + (xy 192.392449 105.844239) + (xy 192.398226 105.851112) + (xy 192.398227 105.851113) + (xy 192.45333 105.916666) + (xy 192.485402 105.95482) + (xy 192.603983 106.033754) + (xy 192.603984 106.033755) + (xy 192.605655 106.034867) + (xy 192.605494 106.035108) + (xy 192.654246 106.078794) + (xy 192.661451 106.092853) + (xy 192.663502 106.103164) + (xy 192.670394 106.113478) + (xy 192.670395 106.113481) + (xy 192.716316 106.182206) + (xy 192.716319 106.182209) + (xy 192.719755 106.187352) + (xy 193.28544 106.753037) + (xy 193.290583 106.756473) + (xy 193.290586 106.756476) + (xy 193.352287 106.797703) + (xy 193.369628 106.80929) + (xy 193.468934 106.829043) + (xy 193.56824 106.80929) + (xy 193.585581 106.797703) + (xy 193.647282 106.756476) + (xy 193.647285 106.756473) + (xy 193.652428 106.753037) + (xy 194.005981 106.399484) + (xy 194.009417 106.394341) + (xy 194.00942 106.394338) + (xy 194.055339 106.325615) + (xy 194.062234 106.315296) + (xy 194.064655 106.303124) + (xy 194.069404 106.291659) + (xy 194.071885 106.292687) + (xy 194.096473 106.245677) + (xy 194.146794 106.218199) + (xy 194.145643 106.21542) + (xy 194.157108 106.210671) + (xy 194.16928 106.20825) + (xy 194.200556 106.187352) + (xy 194.248322 106.155436) + (xy 194.248325 106.155433) + (xy 194.253468 106.151997) + (xy 194.536311 105.869154) + (xy 194.539747 105.864011) + (xy 194.53975 105.864008) + (xy 194.562616 105.829786) + (xy 194.617093 105.784258) + (xy 194.687536 105.77541) + (xy 194.75158 105.806052) + (xy 194.788892 105.866454) + (xy 194.792317 105.88345) + (xy 194.797825 105.925571) + (xy 194.801442 105.933791) + (xy 194.850878 106.046142) + (xy 194.856005 106.057795) + (xy 194.861782 106.064668) + (xy 194.861783 106.064669) + (xy 194.878209 106.08421) + (xy 194.90673 106.149226) + (xy 194.895574 106.21934) + (xy 194.848282 106.272293) + (xy 194.835418 106.279287) + (xy 194.768878 106.310598) + (xy 194.765532 106.312721) + (xy 194.765531 106.312722) + (xy 194.74158 106.327922) + (xy 194.497915 106.482557) + (xy 194.49487 106.485076) + (xy 194.494866 106.485079) + (xy 194.430681 106.538178) + (xy 194.25064 106.687121) + (xy 194.030953 106.921063) + (xy 194.028626 106.924265) + (xy 194.028625 106.924267) + (xy 193.849641 107.170619) + (xy 193.84232 107.180695) + (xy 193.785659 107.283761) + (xy 193.695968 107.446908) + (xy 193.687714 107.461921) + (xy 193.647107 107.564483) + (xy 193.57157 107.755269) + (xy 193.569575 107.760307) + (xy 193.568591 107.764141) + (xy 193.568588 107.764149) + (xy 193.523675 107.939076) + (xy 193.489765 108.071147) + (xy 193.489269 108.075075) + (xy 193.489268 108.075079) + (xy 193.476708 108.174502) + (xy 193.449543 108.389539) + (xy 193.449543 108.710461) + (xy 193.468409 108.8598) + (xy 193.488554 109.019264) + (xy 193.489765 109.028853) + (xy 193.510629 109.110114) + (xy 193.568588 109.335851) + (xy 193.568591 109.335859) + (xy 193.569575 109.339693) + (xy 193.571032 109.343373) + (xy 193.571033 109.343376) + (xy 193.622425 109.473178) + (xy 193.687714 109.638079) + (xy 193.689615 109.641538) + (xy 193.689617 109.641541) + (xy 193.747141 109.746176) + (xy 193.84232 109.919305) + (xy 193.844648 109.922509) + (xy 193.844651 109.922514) + (xy 194.028625 110.175733) + (xy 194.030953 110.178937) + (xy 194.25064 110.412879) + (xy 194.391393 110.52932) + (xy 194.491389 110.612044) + (xy 194.497915 110.617443) + (xy 194.768878 110.789402) + (xy 194.772457 110.791086) + (xy 194.772464 110.79109) + (xy 195.055668 110.924355) + (xy 195.055672 110.924357) + (xy 195.059258 110.926044) + (xy 195.06303 110.92727) + (xy 195.063031 110.92727) + (xy 195.144212 110.953647) + (xy 195.364473 111.025214) + (xy 195.679711 111.085349) + (xy 195.775707 111.091389) + (xy 195.918533 111.100375) + (xy 195.918549 111.100376) + (xy 195.920528 111.1005) + (xy 196.079472 111.1005) + (xy 196.081451 111.100376) + (xy 196.081467 111.100375) + (xy 196.224293 111.091389) + (xy 196.320289 111.085349) + (xy 196.635527 111.025214) + (xy 196.855788 110.953647) + (xy 196.936969 110.92727) + (xy 196.93697 110.92727) + (xy 196.940742 110.926044) + (xy 196.944328 110.924357) + (xy 196.944332 110.924355) + (xy 197.227536 110.79109) + (xy 197.227543 110.791086) + (xy 197.231122 110.789402) + (xy 197.502085 110.617443) + (xy 197.508612 110.612044) + (xy 197.608607 110.52932) + (xy 197.74936 110.412879) + (xy 197.969047 110.178937) + (xy 197.971375 110.175733) + (xy 198.155349 109.922514) + (xy 198.155352 109.922509) + (xy 198.15768 109.919305) + (xy 198.252859 109.746176) + (xy 198.310383 109.641541) + (xy 198.310385 109.641538) + (xy 198.312286 109.638079) + (xy 198.377575 109.473178) + (xy 198.428967 109.343376) + (xy 198.428968 109.343373) + (xy 198.430425 109.339693) + (xy 198.431409 109.335859) + (xy 198.431412 109.335851) + (xy 198.489371 109.110114) + (xy 198.510235 109.028853) + (xy 198.511447 109.019264) + (xy 198.531591 108.8598) + (xy 198.550457 108.710461) + (xy 198.550457 108.389539) + (xy 198.523292 108.174502) + (xy 198.510732 108.075079) + (xy 198.510731 108.075075) + (xy 198.510235 108.071147) + (xy 198.476325 107.939076) + (xy 198.431412 107.764149) + (xy 198.431409 107.764141) + (xy 198.430425 107.760307) + (xy 198.428431 107.755269) + (xy 198.352893 107.564483) + (xy 198.312286 107.461921) + (xy 198.304033 107.446908) + (xy 198.214341 107.283761) + (xy 198.15768 107.180695) + (xy 198.15036 107.170619) + (xy 197.971375 106.924267) + (xy 197.971374 106.924265) + (xy 197.969047 106.921063) + (xy 197.74936 106.687121) + (xy 197.569319 106.538178) + (xy 197.505134 106.485079) + (xy 197.50513 106.485076) + (xy 197.502085 106.482557) + (xy 197.25842 106.327922) + (xy 197.234469 106.312722) + (xy 197.234468 106.312721) + (xy 197.231122 106.310598) + (xy 197.227543 106.308914) + (xy 197.227536 106.30891) + (xy 196.944332 106.175645) + (xy 196.944328 106.175643) + (xy 196.940742 106.173956) + (xy 196.923569 106.168376) + (xy 196.703652 106.096921) + (xy 196.635527 106.074786) + (xy 196.320289 106.014651) + (xy 196.224293 106.008611) + (xy 196.081467 105.999625) + (xy 196.081451 105.999624) + (xy 196.079472 105.9995) + (xy 195.920528 105.9995) + (xy 195.918534 105.999625) + (xy 195.917404 105.999661) + (xy 195.848688 105.981811) + (xy 195.80053 105.929644) + (xy 195.789187 105.852819) + (xy 195.797097 105.8058) + (xy 195.797904 105.801004) + (xy 195.798056 105.788556) + (xy 195.77846 105.651722) + (xy 195.788603 105.581454) + (xy 195.835125 105.527824) + (xy 195.870042 105.512298) + (xy 195.891263 105.506512) + (xy 196.014368 105.430925) + (xy 196.111311 105.323825) + (xy 196.154174 105.235355) + (xy 196.170383 105.2019) + (xy 196.170383 105.201899) + (xy 196.174297 105.193821) + (xy 196.198264 105.051364) + (xy 196.198416 105.038916) + (xy 196.189357 104.975657) + (xy 196.179211 104.904809) + (xy 196.17921 104.904806) + (xy 196.177937 104.895916) + (xy 196.173469 104.886088) + (xy 196.152575 104.840135) + (xy 196.118145 104.764412) + (xy 196.098425 104.741525) + (xy 196.068676 104.707) + (xy 196.023849 104.654975) + (xy 195.902627 104.576403) + (xy 195.856058 104.562476) + (xy 195.796525 104.523794) + (xy 195.768582 104.466341) + (xy 195.761711 104.4318) + (xy 195.75929 104.419628) + (xy 195.727518 104.372078) + (xy 195.706476 104.340586) + (xy 195.706473 104.340583) + (xy 195.703037 104.33544) + (xy 195.137352 103.769755) + (xy 195.132209 103.766319) + (xy 195.132206 103.766316) + (xy 195.063483 103.720397) + (xy 195.053164 103.713502) + (xy 194.953858 103.693749) + (xy 194.854552 103.713502) + (xy 194.844233 103.720397) + (xy 194.77551 103.766316) + (xy 194.775507 103.766319) + (xy 194.770364 103.769755) + (xy 194.467239 104.07288) + (xy 194.404927 104.106906) + (xy 194.334112 104.101841) + (xy 194.309612 104.089517) + (xy 194.269059 104.063232) + (xy 194.26906 104.063232) + (xy 194.261521 104.058346) + (xy 194.138785 104.02164) + (xy 194.13172 104.019527) + (xy 194.131718 104.019527) + (xy 194.123119 104.016955) + (xy 194.118321 104.016926) + (xy 194.055813 103.987959) + (xy 194.017761 103.928021) + (xy 194.018155 103.857026) + (xy 194.056869 103.797513) + (xy 194.068849 103.78843) + (xy 194.071543 103.78663) + (xy 194.071546 103.786627) + (xy 194.076692 103.783189) + (xy 194.430245 103.429636) + (xy 194.433681 103.424493) + (xy 194.433684 103.42449) + (xy 194.479603 103.355767) + (xy 194.486498 103.345448) + (xy 194.506251 103.246142) + (xy 194.486498 103.146836) + (xy 194.453496 103.097445) + (xy 194.433684 103.067794) + (xy 194.433681 103.067791) + (xy 194.430245 103.062648) + (xy 193.86456 102.496963) + (xy 193.859417 102.493527) + (xy 193.859414 102.493524) + (xy 193.790691 102.447605) + (xy 193.780372 102.44071) + (xy 193.681066 102.420957) + (xy 193.58176 102.44071) + (xy 193.581124 102.437512) + (xy 193.530369 102.442971) + (xy 193.51099 102.437856) + (xy 193.504832 102.435693) + (xy 193.502865 102.435002) + (xy 193.502862 102.435001) + (xy 193.495389 102.432377) + (xy 193.491313 102.432024) + (xy 193.488604 102.432024) + (xy 193.485871 102.431906) + (xy 193.485877 102.431761) + (xy 193.484763 102.431691) + (xy 193.478638 102.429859) + (xy 193.430222 102.431761) + (xy 193.425996 102.431927) + (xy 193.42105 102.432024) + (xy 193.199484 102.432024) + (xy 193.178397 102.42978) + (xy 193.17488 102.429614) + (xy 193.164702 102.427423) + (xy 193.137302 102.430666) + (xy 193.133206 102.431151) + (xy 193.128096 102.431452) + (xy 193.128108 102.431596) + (xy 193.122932 102.432024) + (xy 193.117728 102.432024) + (xy 193.100677 102.434862) + (xy 193.094831 102.435693) + (xy 193.087649 102.436543) + (xy 193.047471 102.441299) + (xy 193.039762 102.445001) + (xy 193.03132 102.446406) + (xy 193.022153 102.451352) + (xy 193.022151 102.451353) + (xy 192.989337 102.469059) + (xy 192.984044 102.471756) + (xy 192.948198 102.488968) + (xy 192.948195 102.48897) + (xy 192.941054 102.492399) + (xy 192.937922 102.495031) + (xy 192.935977 102.496976) + (xy 192.93399 102.498798) + (xy 192.933891 102.49869) + (xy 192.933055 102.499427) + (xy 192.927428 102.502463) + (xy 192.920357 102.510112) + (xy 192.920356 102.510113) + (xy 192.891665 102.541151) + (xy 192.888236 102.544717) + (xy 192.706483 102.72647) + (xy 192.644171 102.760496) + (xy 192.617388 102.763375) + (xy 192.134446 102.763375) + (xy 192.066325 102.743373) + (xy 192.019832 102.689717) + (xy 192.009728 102.619443) + (xy 192.029681 102.567373) + (xy 192.074203 102.500741) + (xy 192.077759 102.503117) + (xy 192.1076 102.46611) + (xy 192.110588 102.464478) + (xy 192.110539 102.464405) + (xy 192.189581 102.411591) + (xy 192.189584 102.411588) + (xy 192.194727 102.408152) + (xy 192.583636 102.019243) + (xy 192.587072 102.0141) + (xy 192.587075 102.014097) + (xy 192.639889 101.935055) + (xy 192.643452 101.937436) + (xy 192.673205 101.900479) + (xy 192.676278 101.898801) + (xy 192.676224 101.89872) + (xy 192.755266 101.845906) + (xy 192.755269 101.845903) + (xy 192.760412 101.842467) + (xy 193.149321 101.453558) + (xy 193.152757 101.448415) + (xy 193.15276 101.448412) + (xy 193.198679 101.379689) + (xy 193.205574 101.36937) + (xy 193.225327 101.270064) + (xy 193.205574 101.170758) + (xy 193.172917 101.121883) + (xy 193.15276 101.091716) + (xy 193.152757 101.091713) + (xy 193.149321 101.08657) + (xy 191.381554 99.318803) + (xy 191.376411 99.315367) + (xy 191.376408 99.315364) + (xy 191.307685 99.269445) + (xy 191.297366 99.26255) + (xy 191.19806 99.242797) + (xy 191.185889 99.245218) + (xy 191.110924 99.260129) + (xy 191.110923 99.26013) + (xy 191.098754 99.26255) + (xy 191.088439 99.269443) + (xy 191.086738 99.270147) + (xy 191.016148 99.277736) + (xy 191.009955 99.276101) + (xy 191.009936 99.27619) + (xy 191.002182 99.274521) + (xy 190.994705 99.271895) + (xy 190.990629 99.271542) + (xy 190.98792 99.271542) + (xy 190.985187 99.271424) + (xy 190.985193 99.271279) + (xy 190.984079 99.271209) + (xy 190.977954 99.269377) + (xy 190.929538 99.271279) + (xy 190.925312 99.271445) + (xy 190.920366 99.271542) + (xy 186.925674 99.271542) + (xy 186.904587 99.269298) + (xy 186.90107 99.269132) + (xy 186.890892 99.266941) + (xy 186.859394 99.270669) + (xy 186.854285 99.27097) + (xy 186.854297 99.271114) + (xy 186.849119 99.271542) + (xy 186.843918 99.271542) + (xy 186.82691 99.274373) + (xy 186.821033 99.27521) + (xy 186.77366 99.280817) + (xy 186.76595 99.284519) + (xy 186.75751 99.285924) + (xy 186.715518 99.308582) + (xy 186.710238 99.311272) + (xy 186.674388 99.328486) + (xy 186.674385 99.328488) + (xy 186.667244 99.331917) + (xy 186.664112 99.334549) + (xy 186.662167 99.336494) + (xy 186.66018 99.338316) + (xy 186.660081 99.338208) + (xy 186.659245 99.338945) + (xy 186.653618 99.341981) + (xy 186.641718 99.354854) + (xy 186.580791 99.391297) + (xy 186.548429 99.395321) + (xy 186.541874 99.395281) + (xy 186.530853 99.395214) + (xy 186.391956 99.434911) + (xy 186.269783 99.511996) + (xy 186.242176 99.543255) + (xy 186.202841 99.587793) + (xy 186.142755 99.625611) + (xy 186.072298 99.625102) + (xy 186.056151 99.620273) + (xy 185.975309 99.596096) + (xy 185.966333 99.596041) + (xy 185.966332 99.596041) + (xy 185.905055 99.595667) + (xy 185.830853 99.595214) + (xy 185.691956 99.634911) + (xy 185.569783 99.711996) + (xy 185.474156 99.820273) + (xy 185.467037 99.835437) + (xy 185.466413 99.836765) + (xy 185.419355 99.889926) + (xy 185.351027 99.909207) + (xy 185.350451 99.909161) + (xy 185.349655 99.908923) + (xy 185.347281 99.908909) + (xy 185.347275 99.908908) + (xy 185.254224 99.90834) + (xy 185.205199 99.908041) + (xy 185.066302 99.947738) + (xy 184.944129 100.024823) + (xy 184.938187 100.031551) + (xy 184.931467 100.03916) + (xy 184.848502 100.1331) + (xy 184.830181 100.172123) + (xy 184.79151 100.254491) + (xy 184.787109 100.263864) + (xy 184.785728 100.272733) + (xy 184.766583 100.395692) + (xy 184.764884 100.406602) + (xy 184.766048 100.415504) + (xy 184.766048 100.415507) + (xy 184.78245 100.540937) + (xy 184.782451 100.540941) + (xy 184.783615 100.549842) + (xy 184.799974 100.587021) + (xy 184.820055 100.632657) + (xy 184.841795 100.682066) + (xy 184.847572 100.688939) + (xy 184.847573 100.68894) + (xy 184.928691 100.785441) + (xy 184.934748 100.792647) + (xy 185.055001 100.872694) + (xy 185.192887 100.915773) + (xy 185.22667 100.916392) + (xy 185.294412 100.937639) + (xy 185.313456 100.953276) + (xy 185.424095 101.063915) + (xy 185.437408 101.080398) + (xy 185.439784 101.083009) + (xy 185.445435 101.091761) + (xy 185.465867 101.107868) + (xy 185.470342 101.111396) + (xy 185.474176 101.114803) + (xy 185.474269 101.114693) + (xy 185.478227 101.118047) + (xy 185.481904 101.121724) + (xy 185.486129 101.124743) + (xy 185.486131 101.124745) + (xy 185.495951 101.131763) + (xy 185.500694 101.135325) + (xy 185.529965 101.158399) + (xy 185.538142 101.164845) + (xy 185.546212 101.167679) + (xy 185.553174 101.172654) + (xy 185.593206 101.184626) + (xy 185.598874 101.186321) + (xy 185.604522 101.188156) + (xy 185.649523 101.20396) + (xy 185.653599 101.204313) + (xy 185.656311 101.204313) + (xy 185.659041 101.204431) + (xy 185.659035 101.204577) + (xy 185.66015 101.204647) + (xy 185.666275 101.206479) + (xy 185.718931 101.20441) + (xy 185.723877 101.204313) + (xy 188.827491 101.204313) + (xy 188.895612 101.224315) + (xy 188.942105 101.277971) + (xy 188.952209 101.348245) + (xy 188.927597 101.404097) + (xy 188.928601 101.404768) + (xy 188.872348 101.488956) + (xy 188.868792 101.48658) + (xy 188.838951 101.523587) + (xy 188.835963 101.525219) + (xy 188.836012 101.525292) + (xy 188.75697 101.578106) + (xy 188.756967 101.578109) + (xy 188.751824 101.581545) + (xy 188.362915 101.970454) + (xy 188.359479 101.975597) + (xy 188.359476 101.9756) + (xy 188.306662 102.054642) + (xy 188.303099 102.052261) + (xy 188.273346 102.089218) + (xy 188.270273 102.090896) + (xy 188.270327 102.090977) + (xy 188.191285 102.143791) + (xy 188.191282 102.143794) + (xy 188.186139 102.14723) + (xy 187.79723 102.536139) + (xy 187.793794 102.541282) + (xy 187.793791 102.541285) + (xy 187.740977 102.620327) + (xy 187.737414 102.617946) + (xy 187.707661 102.654903) + (xy 187.704588 102.656581) + (xy 187.704642 102.656662) + (xy 187.6256 102.709476) + (xy 187.625597 102.709479) + (xy 187.620454 102.712915) + (xy 187.231545 103.101824) + (xy 187.228109 103.106967) + (xy 187.228106 103.10697) + (xy 187.175292 103.186012) + (xy 187.171736 103.183636) + (xy 187.141895 103.220643) + (xy 187.138907 103.222275) + (xy 187.138956 103.222348) + (xy 187.059914 103.275162) + (xy 187.059911 103.275165) + (xy 187.054768 103.278601) + (xy 186.665859 103.66751) + (xy 186.662423 103.672653) + (xy 186.66242 103.672656) + (xy 186.609606 103.751698) + (xy 186.606043 103.749317) + (xy 186.57629 103.786274) + (xy 186.573217 103.787952) + (xy 186.573271 103.788033) + (xy 186.494229 103.840847) + (xy 186.494226 103.84085) + (xy 186.489083 103.844286) + (xy 186.100174 104.233195) + (xy 186.096738 104.238338) + (xy 186.096735 104.238341) + (xy 186.043921 104.317383) + (xy 186.040365 104.315007) + (xy 186.010524 104.352014) + (xy 186.007536 104.353646) + (xy 186.007585 104.353719) + (xy 185.928543 104.406533) + (xy 185.92854 104.406536) + (xy 185.923397 104.409972) + (xy 185.534488 104.798881) + (xy 185.531052 104.804024) + (xy 185.531049 104.804027) + (xy 185.478235 104.883069) + (xy 185.474672 104.880688) + (xy 185.444919 104.917645) + (xy 185.441846 104.919323) + (xy 185.4419 104.919404) + (xy 185.362858 104.972218) + (xy 185.362855 104.972221) + (xy 185.357712 104.975657) + (xy 184.968803 105.364566) + (xy 184.965367 105.369709) + (xy 184.965364 105.369712) + (xy 184.93653 105.412866) + (xy 184.91255 105.448754) + (xy 184.892797 105.54806) + (xy 184.91255 105.647366) + (xy 184.919445 105.657685) + (xy 184.965364 105.726408) + (xy 184.965367 105.726411) + (xy 184.968803 105.731554) + (xy 186.304786 107.067537) + (xy 186.338812 107.129849) + (xy 186.333747 107.200664) + (xy 186.2912 107.2575) + (xy 186.22468 107.282311) + (xy 186.215691 107.282632) + (xy 183.134309 107.282632) + (xy 183.066188 107.26263) + (xy 183.019695 107.208974) + (xy 183.009591 107.1387) + (xy 183.039085 107.07412) + (xy 183.045214 107.067537) + (xy 184.381197 105.731554) + (xy 184.384633 105.726411) + (xy 184.384636 105.726408) + (xy 184.430555 105.657685) + (xy 184.43745 105.647366) + (xy 184.457203 105.54806) + (xy 184.43745 105.448754) + (xy 184.41347 105.412866) + (xy 184.384636 105.369712) + (xy 184.384633 105.369709) + (xy 184.381197 105.364566) + (xy 183.992288 104.975657) + (xy 183.987145 104.972221) + (xy 183.987142 104.972218) + (xy 183.9081 104.919404) + (xy 183.910481 104.915841) + (xy 183.873524 104.886088) + (xy 183.871846 104.883015) + (xy 183.871765 104.883069) + (xy 183.818951 104.804027) + (xy 183.818948 104.804024) + (xy 183.815512 104.798881) + (xy 183.426603 104.409972) + (xy 183.42146 104.406536) + (xy 183.421457 104.406533) + (xy 183.342415 104.353719) + (xy 183.344791 104.350163) + (xy 183.307784 104.320322) + (xy 183.306152 104.317334) + (xy 183.306079 104.317383) + (xy 183.253265 104.238341) + (xy 183.253262 104.238338) + (xy 183.249826 104.233195) + (xy 182.860917 103.844286) + (xy 182.855774 103.84085) + (xy 182.855771 103.840847) + (xy 182.776729 103.788033) + (xy 182.77911 103.78447) + (xy 182.742153 103.754717) + (xy 182.740475 103.751644) + (xy 182.740394 103.751698) + (xy 182.68758 103.672656) + (xy 182.687577 103.672653) + (xy 182.684141 103.66751) + (xy 182.295232 103.278601) + (xy 182.290089 103.275165) + (xy 182.290086 103.275162) + (xy 182.211044 103.222348) + (xy 182.21342 103.218792) + (xy 182.176413 103.188951) + (xy 182.174781 103.185963) + (xy 182.174708 103.186012) + (xy 182.121894 103.10697) + (xy 182.121891 103.106967) + (xy 182.118455 103.101824) + (xy 181.729546 102.712915) + (xy 181.724403 102.709479) + (xy 181.7244 102.709476) + (xy 181.645358 102.656662) + (xy 181.647739 102.653099) + (xy 181.610782 102.623346) + (xy 181.609104 102.620273) + (xy 181.609023 102.620327) + (xy 181.556209 102.541285) + (xy 181.556206 102.541282) + (xy 181.55277 102.536139) + (xy 181.163861 102.14723) + (xy 181.158718 102.143794) + (xy 181.158715 102.143791) + (xy 181.079673 102.090977) + (xy 181.082054 102.087414) + (xy 181.045097 102.057661) + (xy 181.043419 102.054588) + (xy 181.043338 102.054642) + (xy 180.990524 101.9756) + (xy 180.990521 101.975597) + (xy 180.987085 101.970454) + (xy 180.778932 101.762301) + (xy 180.744906 101.699989) + (xy 180.744907 101.646421) + (xy 180.747682 101.633666) + (xy 180.748955 101.615869) + (xy 180.739824 101.488207) + (xy 180.736031 101.470771) + (xy 180.691303 101.350849) + (xy 180.682754 101.335193) + (xy 180.603348 101.229119) + (xy 180.598323 101.22332) + (xy 180.58467 101.215865) + (xy 180.582837 101.215996) + (xy 180.576222 101.220247) + (xy 179.248662 102.547806) + (xy 179.18635 102.581832) + (xy 179.115534 102.576767) + (xy 179.070472 102.547806) + (xy 178.889452 102.366786) + (xy 178.855426 102.304474) + (xy 178.860491 102.233659) + (xy 178.889452 102.188596) + (xy 180.213782 100.864267) + (xy 180.221394 100.850326) + (xy 180.221263 100.848491) + (xy 180.217012 100.841877) + (xy 180.213343 100.838208) + (xy 180.210038 100.835131) + (xy 180.161525 100.793094) + (xy 180.150502 100.785441) + (xy 180.036182 100.723018) + (xy 180.01946 100.716781) + (xy 179.894403 100.689576) + (xy 179.876602 100.688303) + (xy 179.773788 100.695657) + (xy 179.704414 100.680566) + (xy 179.675704 100.659073) + (xy 179.466805 100.450174) + (xy 179.461662 100.446738) + (xy 179.461659 100.446735) + (xy 179.382617 100.393921) + (xy 179.384993 100.390365) + (xy 179.347986 100.360524) + (xy 179.346354 100.357536) + (xy 179.346281 100.357585) + (xy 179.293467 100.278543) + (xy 179.293464 100.27854) + (xy 179.290028 100.273397) + (xy 178.901119 99.884488) + (xy 178.895976 99.881052) + (xy 178.895973 99.881049) + (xy 178.816931 99.828235) + (xy 178.819312 99.824672) + (xy 178.782355 99.794919) + (xy 178.780677 99.791846) + (xy 178.780596 99.7919) + (xy 178.727782 99.712858) + (xy 178.727779 99.712855) + (xy 178.724343 99.707712) + (xy 178.335434 99.318803) + (xy 178.330291 99.315367) + (xy 178.330288 99.315364) + (xy 178.261565 99.269445) + (xy 178.251246 99.26255) + (xy 178.15194 99.242797) + (xy 178.052634 99.26255) + (xy 178.042315 99.269445) + (xy 177.973592 99.315364) + (xy 177.973589 99.315367) + (xy 177.968446 99.318803) + (xy 176.200679 101.08657) + (xy 176.197243 101.091713) + (xy 176.19724 101.091716) + (xy 176.177083 101.121883) + (xy 176.144426 101.170758) + (xy 176.13304 101.228) + (xy 176.127715 101.25477) + (xy 176.094808 101.31768) + (xy 176.038761 101.351338) + (xy 176.011579 101.359107) + (xy 175.970593 101.370821) + (xy 175.84842 101.447906) + (xy 175.752793 101.556183) + (xy 175.748978 101.564309) + (xy 175.702073 101.664215) + (xy 175.6914 101.686947) + (xy 175.690019 101.695816) + (xy 175.671338 101.815796) + (xy 175.669175 101.829685) + (xy 175.670339 101.838587) + (xy 175.670339 101.83859) + (xy 175.686741 101.96402) + (xy 175.686742 101.964024) + (xy 175.687906 101.972925) + (xy 175.691523 101.981145) + (xy 175.739815 102.090896) + (xy 175.746086 102.105149) + (xy 175.757985 102.119305) + (xy 175.786507 102.184317) + (xy 175.775352 102.254432) + (xy 175.774849 102.255326) + (xy 175.774156 102.256111) + (xy 175.712763 102.386875) + (xy 175.711382 102.395744) + (xy 175.692375 102.517818) + (xy 175.690538 102.529613) + (xy 175.691702 102.538515) + (xy 175.691702 102.538518) + (xy 175.708104 102.663948) + (xy 175.708105 102.663952) + (xy 175.709269 102.672853) + (xy 175.767449 102.805077) + (xy 175.773225 102.811948) + (xy 175.77797 102.819572) + (xy 175.776637 102.820402) + (xy 175.801127 102.876229) + (xy 175.789969 102.946344) + (xy 175.781465 102.961465) + (xy 175.780101 102.963542) + (xy 175.774156 102.970273) + (xy 175.77034 102.978401) + (xy 175.724514 103.076009) + (xy 175.712763 103.101037) + (xy 175.711382 103.109906) + (xy 175.692065 103.233971) + (xy 175.690538 103.243775) + (xy 175.691702 103.252677) + (xy 175.691702 103.25268) + (xy 175.708104 103.37811) + (xy 175.708105 103.378114) + (xy 175.709269 103.387015) + (xy 175.767449 103.519239) + (xy 175.860402 103.62982) + (xy 175.980655 103.709867) + (xy 176.118541 103.752946) + (xy 176.136181 103.753269) + (xy 176.203924 103.774515) + (xy 176.249427 103.829013) + (xy 176.258242 103.89946) + (xy 176.238639 103.94925) + (xy 176.203502 104.001836) + (xy 176.183749 104.101142) + (xy 176.184855 104.106703) + (xy 176.166168 104.170346) + (xy 176.112512 104.216839) + (xy 176.094795 104.223374) + (xy 176.032662 104.241132) + (xy 175.966956 104.259911) + (xy 175.844783 104.336996) + (xy 175.838841 104.343724) + (xy 175.808678 104.377877) + (xy 175.749156 104.445273) + (xy 175.745342 104.453396) + (xy 175.745341 104.453398) + (xy 175.740895 104.462868) + (xy 175.727879 104.490593) + (xy 175.723666 104.499566) + (xy 175.67661 104.552728) + (xy 175.65783 104.562427) + (xy 175.64901 104.56608) + (xy 175.636836 104.568502) + (xy 175.626516 104.575397) + (xy 175.626515 104.575398) + (xy 175.557794 104.621316) + (xy 175.557791 104.621319) + (xy 175.552648 104.624755) + (xy 174.986963 105.19044) + (xy 174.983527 105.195583) + (xy 174.983524 105.195586) + (xy 174.954697 105.238729) + (xy 174.93071 105.274628) + (xy 174.910957 105.373934) + (xy 165.083 105.373934) + (xy 165.083 105.272115) + (xy 165.078525 105.256876) + (xy 165.077135 105.255671) + (xy 165.069452 105.254) + (xy 164.259615 105.254) + (xy 164.244376 105.258475) + (xy 164.243171 105.259865) + (xy 164.2415 105.267548) + (xy 163.7335 105.267548) + (xy 163.7335 104.872) + (xy 163.753502 104.803879) + (xy 163.807158 104.757386) + (xy 163.8595 104.746) + (xy 165.064885 104.746) + (xy 165.080124 104.741525) + (xy 165.081329 104.740135) + (xy 165.083 104.732452) + (xy 165.083 104.485777) + (xy 165.082576 104.478476) + (xy 165.068383 104.356745) + (xy 165.065037 104.34259) + (xy 165.009737 104.190239) + (xy 165.003227 104.17724) + (xy 164.985096 104.149585) + (xy 164.964473 104.08165) + (xy 164.983853 104.013349) + (xy 165.037082 103.966369) + (xy 165.090468 103.9545) + (xy 165.910385 103.9545) + (xy 165.978506 103.974502) + (xy 166.005837 103.998251) + (xy 166.060785 104.062021) + (xy 166.090784 104.081466) + (xy 166.137068 104.1353) + (xy 166.146899 104.205612) + (xy 166.118349 104.267785) + (xy 166.118576 104.267955) + (xy 166.115885 104.271545) + (xy 166.111601 104.277261) + (xy 166.10522 104.285099) + (xy 166.099014 104.292126) + (xy 166.074156 104.320273) + (xy 166.070342 104.328396) + (xy 166.068483 104.331227) + (xy 166.060105 104.345172) + (xy 166.05848 104.348139) + (xy 166.053094 104.355326) + (xy 166.042447 104.383729) + (xy 166.03663 104.399246) + (xy 166.032702 104.408568) + (xy 166.020796 104.433927) + (xy 166.012763 104.451037) + (xy 166.011382 104.45991) + (xy 166.010392 104.463147) + (xy 166.006262 104.478892) + (xy 166.005537 104.482187) + (xy 166.002386 104.490593) + (xy 165.998907 104.537402) + (xy 165.997759 104.547399) + (xy 165.990538 104.593775) + (xy 165.991702 104.602679) + (xy 165.991661 104.606078) + (xy 165.992115 104.622335) + (xy 165.992344 104.625703) + (xy 165.991679 104.634654) + (xy 165.993551 104.643426) + (xy 165.993552 104.643433) + (xy 166.001477 104.680553) + (xy 166.003185 104.690489) + (xy 166.009269 104.737015) + (xy 166.012884 104.74523) + (xy 166.013798 104.748505) + (xy 166.018798 104.763986) + (xy 166.019964 104.767155) + (xy 166.021837 104.77593) + (xy 166.026098 104.783826) + (xy 166.026099 104.78383) + (xy 166.044117 104.817222) + (xy 166.048559 104.826309) + (xy 166.063832 104.86102) + (xy 166.063834 104.861023) + (xy 166.067449 104.869239) + (xy 166.073225 104.87611) + (xy 166.075018 104.878991) + (xy 166.084161 104.892444) + (xy 166.086173 104.895162) + (xy 166.090435 104.903062) + (xy 166.106499 104.919323) + (xy 166.123402 104.936434) + (xy 166.130215 104.943909) + (xy 166.154623 104.972946) + (xy 166.154626 104.972949) + (xy 166.160402 104.97982) + (xy 166.167877 104.984795) + (xy 166.170394 104.987046) + (xy 166.182962 104.997407) + (xy 166.18565 104.999447) + (xy 166.191957 105.005832) + (xy 166.218361 105.020498) + (xy 166.232966 105.02861) + (xy 166.241591 105.033864) + (xy 166.280655 105.059867) + (xy 166.289222 105.062543) + (xy 166.292288 105.064006) + (xy 166.307219 105.070405) + (xy 166.310392 105.071617) + (xy 166.318242 105.075977) + (xy 166.345142 105.082064) + (xy 166.364003 105.086332) + (xy 166.373769 105.088958) + (xy 166.418541 105.102946) + (xy 166.427513 105.103111) + (xy 166.430861 105.103653) + (xy 166.447024 105.105609) + (xy 166.450389 105.10588) + (xy 166.459138 105.107859) + (xy 166.484392 105.106292) + (xy 166.505959 105.104955) + (xy 166.516066 105.104734) + (xy 166.554001 105.105429) + (xy 166.554004 105.105429) + (xy 166.562975 105.105593) + (xy 166.571631 105.103233) + (xy 166.574989 105.102815) + (xy 166.591044 105.100157) + (xy 166.594361 105.09947) + (xy 166.60332 105.098914) + (xy 166.628319 105.089889) + (xy 166.647446 105.082984) + (xy 166.657087 105.079935) + (xy 166.662908 105.078348) + (xy 166.702347 105.067596) + (xy 166.709999 105.062898) + (xy 166.713084 105.061563) + (xy 166.727776 105.054492) + (xy 166.730752 105.05291) + (xy 166.739196 105.049861) + (xy 166.746442 105.044568) + (xy 166.746445 105.044566) + (xy 166.77708 105.022186) + (xy 166.785479 105.016553) + (xy 166.817801 104.996707) + (xy 166.817802 104.996706) + (xy 166.825452 104.992009) + (xy 166.861436 104.952255) + (xy 166.865755 104.947716) + (xy 166.928499 104.884972) + (xy 166.994888 104.818582) + (xy 167.003672 104.811564) + (xy 167.003288 104.811113) + (xy 167.010126 104.805293) + (xy 167.017717 104.800504) + (xy 167.051054 104.762757) + (xy 167.056399 104.757071) + (xy 167.068923 104.744547) + (xy 167.075903 104.735234) + (xy 167.08228 104.727401) + (xy 167.107404 104.698953) + (xy 167.107404 104.698952) + (xy 167.113344 104.692227) + (xy 167.117158 104.684104) + (xy 167.119017 104.681273) + (xy 167.127395 104.667328) + (xy 167.12902 104.664361) + (xy 167.134406 104.657174) + (xy 167.15087 104.613254) + (xy 167.154798 104.603932) + (xy 167.17092 104.569593) + (xy 167.17092 104.569592) + (xy 167.174737 104.561463) + (xy 167.176118 104.55259) + (xy 167.177108 104.549353) + (xy 167.181238 104.533608) + (xy 167.181963 104.530313) + (xy 167.185114 104.521907) + (xy 167.188592 104.475104) + (xy 167.189744 104.465084) + (xy 167.19125 104.455414) + (xy 167.191251 104.455405) + (xy 167.192 104.450593) + (xy 167.192 104.433927) + (xy 167.192347 104.424588) + (xy 167.195156 104.386797) + (xy 167.195156 104.386795) + (xy 167.195821 104.377846) + (xy 167.193948 104.369071) + (xy 167.193337 104.360109) + (xy 167.193421 104.360103) + (xy 167.192 104.346647) + (xy 167.192 104.214133) + (xy 167.212002 104.146012) + (xy 167.249087 104.10901) + (xy 167.255269 104.106199) + (xy 167.262071 104.100338) + (xy 167.262074 104.100336) + (xy 167.300016 104.067642) + (xy 167.364679 104.038327) + (xy 167.434924 104.048626) + (xy 167.487637 104.094009) + (xy 167.532731 104.162788) + (xy 167.542055 104.17394) + (xy 167.657617 104.283413) + (xy 167.669264 104.292126) + (xy 167.80691 104.372078) + (xy 167.820247 104.377877) + (xy 167.973407 104.424264) + (xy 167.986039 104.426714) + (xy 168.053682 104.432751) + (xy 168.059277 104.433) + (xy 168.140385 104.433) + (xy 168.155624 104.428525) + (xy 168.156829 104.427135) + (xy 168.1585 104.419452) + (xy 168.1585 103.717548) + (xy 168.6665 103.717548) + (xy 168.6665 104.414885) + (xy 168.670975 104.430124) + (xy 168.672365 104.431329) + (xy 168.680048 104.433) + (xy 168.751723 104.433) + (xy 168.759027 104.432575) + (xy 168.87788 104.418719) + (xy 168.892032 104.415374) + (xy 169.041664 104.36106) + (xy 169.054663 104.35455) + (xy 169.187788 104.267269) + (xy 169.19894 104.257945) + (xy 169.308413 104.142383) + (xy 169.317126 104.130736) + (xy 169.397078 103.99309) + (xy 169.402877 103.979753) + (xy 169.449264 103.826593) + (xy 169.451714 103.813961) + (xy 169.457751 103.746318) + (xy 169.458 103.740723) + (xy 169.458 103.722115) + (xy 169.453525 103.706876) + (xy 169.452135 103.705671) + (xy 169.444452 103.704) + (xy 168.684615 103.704) + (xy 168.669376 103.708475) + (xy 168.668171 103.709865) + (xy 168.6665 103.717548) + (xy 168.1585 103.717548) + (xy 168.1585 103.322) + (xy 168.178502 103.253879) + (xy 168.232158 103.207386) + (xy 168.2845 103.196) + (xy 169.439885 103.196) + (xy 169.455124 103.191525) + (xy 169.456329 103.190135) + (xy 169.458 103.182452) + (xy 169.458 103.173277) + (xy 169.457575 103.165973) + (xy 169.443719 103.04712) + (xy 169.440374 103.032968) + (xy 169.385429 102.881597) + (xy 169.380988 102.810739) + (xy 169.415561 102.748729) + (xy 169.442101 102.730506) + (xy 169.441689 102.729862) + (xy 169.449249 102.725027) + (xy 169.457422 102.721311) + (xy 169.492957 102.690693) + (xy 169.500873 102.684407) + (xy 169.508787 102.678625) + (xy 169.508788 102.678624) + (xy 169.512718 102.675753) + (xy 169.524493 102.663978) + (xy 169.531341 102.657619) + (xy 169.560061 102.632873) + (xy 169.560063 102.632871) + (xy 169.566859 102.627015) + (xy 169.571739 102.619486) + (xy 169.577643 102.612718) + (xy 169.577706 102.612773) + (xy 169.586219 102.602252) + (xy 170.322066 101.866405) + (xy 170.384378 101.832379) + (xy 170.411161 101.8295) + (xy 170.547413 101.8295) + (xy 170.608091 101.82081) + (xy 170.643104 101.815796) + (xy 170.643106 101.815795) + (xy 170.651991 101.814523) + (xy 170.780269 101.756199) + (xy 170.787068 101.750341) + (xy 170.787072 101.750338) + (xy 170.880223 101.670073) + (xy 170.880225 101.670071) + (xy 170.887021 101.664215) + (xy 170.891901 101.656686) + (xy 170.897806 101.649917) + (xy 170.900135 101.651949) + (xy 170.942298 101.615691) + (xy 170.99421 101.6045) + (xy 171.137257 101.6045) + (xy 171.204771 101.624115) + (xy 171.319199 101.696733) + (xy 171.326738 101.699183) + (xy 171.326741 101.699184) + (xy 171.468884 101.745369) + (xy 171.468886 101.745369) + (xy 171.476422 101.747818) + (xy 171.484331 101.748316) + (xy 171.484333 101.748316) + (xy 171.558916 101.753009) + (xy 171.64141 101.758199) + (xy 171.649194 101.756714) + (xy 171.649196 101.756714) + (xy 171.796013 101.728707) + (xy 171.796014 101.728707) + (xy 171.803797 101.727222) + (xy 171.953378 101.656834) + (xy 171.959483 101.651784) + (xy 171.959487 101.651781) + (xy 172.074647 101.556512) + (xy 172.080755 101.551459) + (xy 172.114118 101.505539) + (xy 172.173265 101.42413) + (xy 172.173266 101.424128) + (xy 172.177924 101.417717) + (xy 172.18761 101.393255) + (xy 172.235864 101.271379) + (xy 172.235864 101.271377) + (xy 172.238781 101.264011) + (xy 172.239949 101.25477) + (xy 172.258506 101.107868) + (xy 172.2595 101.1) + (xy 172.256139 101.073398) + (xy 172.239775 100.943854) + (xy 172.239774 100.943851) + (xy 172.238781 100.935989) + (xy 172.229717 100.913096) + (xy 172.180842 100.789652) + (xy 172.180841 100.78965) + (xy 172.177924 100.782283) + (xy 172.138573 100.72812) + (xy 172.085415 100.654955) + (xy 172.080755 100.648541) + (xy 172.064284 100.634915) + (xy 171.959487 100.548219) + (xy 171.959483 100.548216) + (xy 171.953378 100.543166) + (xy 171.803797 100.472778) + (xy 171.796014 100.471293) + (xy 171.796013 100.471293) + (xy 171.649196 100.443286) + (xy 171.649194 100.443286) + (xy 171.64141 100.441801) + (xy 171.562993 100.446735) + (xy 171.484333 100.451684) + (xy 171.484331 100.451684) + (xy 171.476422 100.452182) + (xy 171.468886 100.454631) + (xy 171.468884 100.454631) + (xy 171.326741 100.500816) + (xy 171.326738 100.500817) + (xy 171.319199 100.503267) + (xy 171.234671 100.55691) + (xy 171.204771 100.575885) + (xy 171.137257 100.5955) + (xy 170.989615 100.5955) + (xy 170.921494 100.575498) + (xy 170.894162 100.551748) + (xy 170.883363 100.539215) + (xy 170.839215 100.487979) + (xy 170.720968 100.411335) + (xy 170.712366 100.408763) + (xy 170.712363 100.408761) + (xy 170.592502 100.372915) + (xy 170.5925 100.372915) + (xy 170.585961 100.370959) + (xy 170.579784 100.3705) + (xy 169.877587 100.3705) + (xy 169.820882 100.378621) + (xy 169.781896 100.384204) + (xy 169.781894 100.384205) + (xy 169.773009 100.385477) + (xy 169.644731 100.443801) + (xy 169.611895 100.472095) + (xy 169.599984 100.482358) + (xy 169.535321 100.511673) + (xy 169.465076 100.501374) + (xy 169.412363 100.455991) + (xy 169.367269 100.387212) + (xy 169.357945 100.37606) + (xy 169.242383 100.266587) + (xy 169.230736 100.257874) + (xy 169.09309 100.177922) + (xy 169.079753 100.172123) + (xy 168.926593 100.125736) + (xy 168.913961 100.123286) + (xy 168.846318 100.117249) + (xy 168.840723 100.117) + (xy 168.759615 100.117) + (xy 168.744376 100.121475) + (xy 168.743171 100.122865) + (xy 168.7415 100.130548) + (xy 168.7415 101.228) + (xy 168.721498 101.296121) + (xy 168.667842 101.342614) + (xy 168.6155 101.354) + (xy 167.460115 101.354) + (xy 167.444876 101.358475) + (xy 167.443671 101.359865) + (xy 167.442 101.367548) + (xy 167.442 101.376723) + (xy 167.442425 101.384027) + (xy 167.456281 101.50288) + (xy 167.459627 101.517033) + (xy 167.49649 101.618591) + (xy 167.500931 101.689449) + (xy 167.466358 101.751459) + (xy 167.403749 101.784934) + (xy 167.378051 101.787582) + (xy 164.408575 101.787582) + (xy 164.340454 101.76758) + (xy 164.32826 101.758667) + (xy 164.316675 101.749083) + (xy 164.299951 101.735248) + (xy 164.15037 101.66486) + (xy 164.142587 101.663375) + (xy 164.142586 101.663375) + (xy 163.995769 101.635368) + (xy 163.995767 101.635368) + (xy 163.987983 101.633883) + (xy 163.905489 101.639074) + (xy 163.830906 101.643766) + (xy 163.830904 101.643766) + (xy 163.822995 101.644264) + (xy 163.815459 101.646713) + (xy 163.815457 101.646713) + (xy 163.673314 101.692898) + (xy 163.673311 101.692899) + (xy 163.665772 101.695349) + (xy 163.526192 101.783929) + (xy 163.520765 101.789708) + (xy 163.520764 101.789709) + (xy 163.445727 101.869615) + (xy 163.413026 101.904438) + (xy 163.409209 101.911381) + (xy 163.337203 102.042358) + (xy 163.337201 102.042362) + (xy 163.333385 102.049304) + (xy 163.331414 102.05698) + (xy 163.331411 102.056988) + (xy 163.327276 102.073093) + (xy 163.294331 102.130853) + (xy 162.976021 102.449164) + (xy 162.66668 102.758505) + (xy 162.604367 102.79253) + (xy 162.577584 102.79541) + (xy 162.499379 102.79541) + (xy 162.431258 102.775408) + (xy 162.412726 102.760883) + (xy 162.412318 102.760496) + (xy 162.367458 102.718) + (xy 162.369065 102.716303) + (xy 162.333245 102.668482) + (xy 162.32816 102.597667) + (xy 162.330698 102.587891) + (xy 162.349265 102.52659) + (xy 162.351714 102.513961) + (xy 162.357751 102.446318) + (xy 162.358 102.440723) + (xy 162.358 102.422115) + (xy 162.353525 102.406876) + (xy 162.352135 102.405671) + (xy 162.344452 102.404) + (xy 161.1845 102.404) + (xy 161.116379 102.383998) + (xy 161.069886 102.330342) + (xy 161.0585 102.278) + (xy 161.0585 101.185115) + (xy 161.057159 101.180548) + (xy 161.5665 101.180548) + (xy 161.5665 101.877885) + (xy 161.570975 101.893124) + (xy 161.572365 101.894329) + (xy 161.580048 101.896) + (xy 162.339885 101.896) + (xy 162.355124 101.891525) + (xy 162.356329 101.890135) + (xy 162.358 101.882452) + (xy 162.358 101.873277) + (xy 162.357575 101.865973) + (xy 162.343719 101.74712) + (xy 162.340374 101.732968) + (xy 162.28606 101.583336) + (xy 162.27955 101.570337) + (xy 162.192269 101.437212) + (xy 162.182945 101.42606) + (xy 162.067383 101.316587) + (xy 162.055736 101.307874) + (xy 161.91809 101.227922) + (xy 161.904753 101.222123) + (xy 161.751593 101.175736) + (xy 161.738961 101.173286) + (xy 161.671318 101.167249) + (xy 161.665723 101.167) + (xy 161.584615 101.167) + (xy 161.569376 101.171475) + (xy 161.568171 101.172865) + (xy 161.5665 101.180548) + (xy 161.057159 101.180548) + (xy 161.054025 101.169876) + (xy 161.052635 101.168671) + (xy 161.044952 101.167) + (xy 160.973277 101.167) + (xy 160.965973 101.167425) + (xy 160.84712 101.181281) + (xy 160.832968 101.184626) + (xy 160.683336 101.23894) + (xy 160.670337 101.24545) + (xy 160.537212 101.332731) + (xy 160.52606 101.342055) + (xy 160.416587 101.457617) + (xy 160.407874 101.469264) + (xy 160.392308 101.496062) + (xy 160.340797 101.54492) + (xy 160.271048 101.558174) + (xy 160.216407 101.534598) + (xy 160.214215 101.537979) + (xy 160.149468 101.496012) + (xy 160.103184 101.442176) + (xy 160.092 101.39028) + (xy 160.092 100.809277) + (xy 167.442 100.809277) + (xy 167.442 100.827885) + (xy 167.446475 100.843124) + (xy 167.447865 100.844329) + (xy 167.455548 100.846) + (xy 168.215385 100.846) + (xy 168.230624 100.841525) + (xy 168.231829 100.840135) + (xy 168.2335 100.832452) + (xy 168.2335 100.135115) + (xy 168.229025 100.119876) + (xy 168.227635 100.118671) + (xy 168.219952 100.117) + (xy 168.148277 100.117) + (xy 168.140973 100.117425) + (xy 168.02212 100.131281) + (xy 168.007968 100.134626) + (xy 167.858336 100.18894) + (xy 167.845337 100.19545) + (xy 167.712212 100.282731) + (xy 167.70106 100.292055) + (xy 167.591587 100.407617) + (xy 167.582874 100.419264) + (xy 167.502922 100.55691) + (xy 167.497123 100.570247) + (xy 167.450736 100.723407) + (xy 167.448286 100.736039) + (xy 167.44225 100.803674) + (xy 167.442 100.809277) + (xy 160.092 100.809277) + (xy 160.092 100.807285) + (xy 160.093248 100.796114) + (xy 160.092658 100.796067) + (xy 160.093378 100.787116) + (xy 160.095359 100.778362) + (xy 160.092242 100.728119) + (xy 160.092 100.720318) + (xy 160.092 100.702586) + (xy 160.090347 100.691041) + (xy 160.089319 100.681004) + (xy 160.08697 100.643142) + (xy 160.086414 100.63418) + (xy 160.083366 100.625736) + (xy 160.082677 100.622411) + (xy 160.078743 100.606633) + (xy 160.077793 100.603386) + (xy 160.076521 100.5945) + (xy 160.068646 100.577179) + (xy 160.058341 100.540827) + (xy 160.038781 100.385989) + (xy 160.03283 100.370959) + (xy 159.980842 100.239652) + (xy 159.980841 100.23965) + (xy 159.977924 100.232283) + (xy 159.951164 100.19545) + (xy 159.885415 100.104955) + (xy 159.880755 100.098541) + (xy 159.865355 100.085801) + (xy 159.759487 99.998219) + (xy 159.759483 99.998216) + (xy 159.753378 99.993166) + (xy 159.603797 99.922778) + (xy 159.596014 99.921293) + (xy 159.596013 99.921293) + (xy 159.449196 99.893286) + (xy 159.449194 99.893286) + (xy 159.44141 99.891801) + (xy 159.358916 99.896992) + (xy 159.284333 99.901684) + (xy 159.284331 99.901684) + (xy 159.276422 99.902182) + (xy 159.268886 99.904631) + (xy 159.268884 99.904631) + (xy 159.126741 99.950816) + (xy 159.126738 99.950817) + (xy 159.119199 99.953267) + (xy 158.979619 100.041847) + (xy 158.974192 100.047626) + (xy 158.974191 100.047627) + (xy 158.895589 100.131329) + (xy 158.866453 100.162356) + (xy 158.862636 100.169299) + (xy 158.860743 100.172743) + (xy 158.786812 100.307222) + (xy 158.7457 100.467343) + (xy 158.7457 100.632657) + (xy 158.786812 100.792778) + (xy 158.866453 100.937644) + (xy 158.979619 101.058153) + (xy 159.014672 101.080398) + (xy 159.024514 101.086644) + (xy 159.071313 101.140033) + (xy 159.083 101.19303) + (xy 159.083 101.385867) + (xy 159.062998 101.453988) + (xy 159.025913 101.49099) + (xy 159.019731 101.493801) + (xy 158.943182 101.559761) + (xy 158.937156 101.564953) + (xy 158.872494 101.594267) + (xy 158.854908 101.5955) + (xy 158.662002 101.5955) + (xy 158.593881 101.575498) + (xy 158.581687 101.566585) + (xy 158.564539 101.552399) + (xy 158.553378 101.543166) + (xy 158.403797 101.472778) + (xy 158.396014 101.471293) + (xy 158.396013 101.471293) + (xy 158.249196 101.443286) + (xy 158.249194 101.443286) + (xy 158.24141 101.441801) + (xy 158.170717 101.446249) + (xy 158.084333 101.451684) + (xy 158.084331 101.451684) + (xy 158.076422 101.452182) + (xy 158.068886 101.454631) + (xy 158.068884 101.454631) + (xy 157.926741 101.500816) + (xy 157.926738 101.500817) + (xy 157.919199 101.503267) + (xy 157.779619 101.591847) + (xy 157.774192 101.597626) + (xy 157.774191 101.597627) + (xy 157.689916 101.687371) + (xy 157.666453 101.712356) + (xy 157.586812 101.857222) + (xy 157.553692 101.986217) + (xy 157.517379 102.04722) + (xy 157.453847 102.078909) + (xy 157.383268 102.071219) + (xy 157.32806 102.026599) + (xy 157.326826 102.023699) + (xy 157.284419 101.966075) + (xy 157.226905 101.887921) + (xy 157.226901 101.887917) + (xy 157.222563 101.882022) + (xy 157.088504 101.768131) + (xy 157.001781 101.723848) + (xy 156.938356 101.691461) + (xy 156.938354 101.69146) + (xy 156.93184 101.688134) + (xy 156.924735 101.686395) + (xy 156.924731 101.686394) + (xy 156.830657 101.663375) + (xy 156.760975 101.646324) + (xy 156.755373 101.645976) + (xy 156.75537 101.645976) + (xy 156.749632 101.64562) + (xy 156.749623 101.64562) + (xy 156.747693 101.6455) + (xy 136.565866 101.6455) + (xy 136.546918 101.644067) + (xy 136.540165 101.64304) + (xy 136.533738 101.642062) + (xy 136.533736 101.642062) + (xy 136.526506 101.640962) + (xy 136.519214 101.641555) + (xy 136.519211 101.641555) + (xy 136.475817 101.645085) + (xy 136.465602 101.6455) + (xy 136.457115 101.6455) + (xy 136.453484 101.645923) + (xy 136.453473 101.645924) + (xy 136.427916 101.648904) + (xy 136.42354 101.649337) + (xy 136.358477 101.654629) + (xy 136.358474 101.65463) + (xy 136.351179 101.655223) + (xy 136.344216 101.657479) + (xy 136.33836 101.658649) + (xy 136.332544 101.660024) + (xy 136.325277 101.660871) + (xy 136.275825 101.678821) + (xy 136.257063 101.685631) + (xy 136.252914 101.687056) + (xy 136.237068 101.69219) + (xy 136.190794 101.70718) + (xy 136.19079 101.707182) + (xy 136.183835 101.709435) + (xy 136.177583 101.713228) + (xy 136.172174 101.715705) + (xy 136.166807 101.718392) + (xy 136.159927 101.72089) + (xy 136.15381 101.724901) + (xy 136.153807 101.724902) + (xy 136.143175 101.731873) + (xy 136.103022 101.758199) + (xy 136.099227 101.760687) + (xy 136.095507 101.763034) + (xy 136.038246 101.797781) + (xy 136.038244 101.797783) + (xy 136.033451 101.800691) + (xy 136.029248 101.804403) + (xy 136.024075 101.808971) + (xy 136.021493 101.81119) + (xy 136.018938 101.813326) + (xy 136.012819 101.817338) + (xy 136.007791 101.822645) + (xy 136.007787 101.822649) + (xy 135.963297 101.869615) + (xy 135.960919 101.872057) + (xy 134.973892 102.859084) + (xy 134.91158 102.89311) + (xy 134.884797 102.895989) + (xy 120.05695 102.895989) + (xy 120.038002 102.894556) + (xy 120.031249 102.893529) + (xy 120.024822 102.892551) + (xy 120.02482 102.892551) + (xy 120.01759 102.891451) + (xy 120.010298 102.892044) + (xy 120.010295 102.892044) + (xy 119.966901 102.895574) + (xy 119.956686 102.895989) + (xy 119.948199 102.895989) + (xy 119.944568 102.896412) + (xy 119.944557 102.896413) + (xy 119.919 102.899393) + (xy 119.914624 102.899826) + (xy 119.849561 102.905118) + (xy 119.849558 102.905119) + (xy 119.842263 102.905712) + (xy 119.835302 102.907967) + (xy 119.829437 102.909139) + (xy 119.823623 102.910513) + (xy 119.816361 102.91136) + (xy 119.748099 102.936138) + (xy 119.743975 102.937553) + (xy 119.681886 102.957666) + (xy 119.681881 102.957668) + (xy 119.674918 102.959924) + (xy 119.668656 102.963724) + (xy 119.663235 102.966206) + (xy 119.657893 102.968881) + (xy 119.651011 102.971379) + (xy 119.644893 102.97539) + (xy 119.644891 102.975391) + (xy 119.638708 102.979445) + (xy 119.590285 103.011192) + (xy 119.586628 103.0135) + (xy 119.524535 103.05118) + (xy 119.516508 103.058269) + (xy 119.515159 103.05946) + (xy 119.512577 103.061679) + (xy 119.510022 103.063815) + (xy 119.503903 103.067827) + (xy 119.498875 103.073134) + (xy 119.498871 103.073138) + (xy 119.454381 103.120104) + (xy 119.452003 103.122546) + (xy 117.820954 104.753595) + (xy 117.758642 104.787621) + (xy 117.731859 104.7905) + (xy 116.88666 104.7905) + (xy 116.844171 104.796585) + (xy 116.787467 104.804705) + (xy 116.787464 104.804706) + (xy 116.778574 104.805979) + (xy 116.770398 104.809696) + (xy 116.770396 104.809697) + (xy 116.655242 104.862055) + (xy 116.65524 104.862056) + (xy 116.64707 104.865771) + (xy 116.640271 104.871629) + (xy 116.64027 104.87163) + (xy 116.591822 104.913376) + (xy 116.537633 104.960068) + (xy 116.45906 105.08129) + (xy 116.456489 105.089887) + (xy 116.456488 105.089889) + (xy 116.421704 105.206199) + (xy 116.417669 105.219692) + (xy 116.417073 105.227713) + (xy 116.417073 106.105286) + (xy 116.397071 106.173407) + (xy 116.380168 106.194381) + (xy 116.071168 106.503381) + (xy 116.008856 106.537407) + (xy 115.938041 106.532342) + (xy 115.881205 106.489795) + (xy 115.856394 106.423275) + (xy 115.856073 106.414286) + (xy 115.856073 105.5) + (xy 115.83632 105.400694) + (xy 115.780067 105.316506) + (xy 115.695879 105.260253) + (xy 115.596573 105.2405) + (xy 113.596573 105.2405) + (xy 113.497267 105.260253) + (xy 113.413079 105.316506) + (xy 113.356826 105.400694) + (xy 113.337073 105.5) + (xy 113.337073 106.783133) + (xy 113.317071 106.851254) + (xy 113.263415 106.897747) + (xy 113.245699 106.904282) + (xy 113.138529 106.934911) + (xy 113.016356 107.011996) + (xy 113.010414 107.018724) + (xy 113.004627 107.025277) + (xy 112.920729 107.120273) + (xy 112.898505 107.16761) + (xy 112.879736 107.207587) + (xy 112.859336 107.251037) + (xy 112.857955 107.259906) + (xy 112.840891 107.3695) + (xy 112.837111 107.393775) + (xy 110.356052 107.393775) + (xy 110.356073 107.392082) + (xy 110.349845 107.348595) + (xy 110.336868 107.257975) + (xy 110.336867 107.257972) + (xy 110.335594 107.249082) + (xy 110.328619 107.23374) + (xy 110.3045 107.180695) + (xy 110.275802 107.117578) + (xy 110.181506 107.008141) + (xy 110.060284 106.929569) + (xy 109.921882 106.888178) + (xy 109.912906 106.888123) + (xy 109.912905 106.888123) + (xy 109.851628 106.887749) + (xy 109.777426 106.887296) + (xy 109.768795 106.889763) + (xy 109.768793 106.889763) + (xy 109.716697 106.904652) + (xy 109.645703 106.90414) + (xy 109.586255 106.865326) + (xy 109.557229 106.800534) + (xy 109.556073 106.783503) + (xy 109.556073 106.65) + (xy 109.53632 106.550694) + (xy 109.490172 106.481629) + (xy 109.468957 106.413876) + (xy 109.48774 106.345409) + (xy 109.526816 106.305629) + (xy 109.624936 106.242572) + (xy 109.63842 106.230888) + (xy 109.722235 106.13416) + (xy 109.73188 106.119152) + (xy 109.78505 106.002725) + (xy 109.790075 105.985612) + (xy 109.808934 105.854446) + (xy 109.809573 105.845503) + (xy 109.809573 105.372115) + (xy 109.805098 105.356876) + (xy 109.803708 105.355671) + (xy 109.796025 105.354) + (xy 106.801688 105.354) + (xy 106.786449 105.358475) + (xy 106.785244 105.359865) + (xy 106.783573 105.367548) + (xy 105.034572 105.367548) + (xy 104.928905 105.261881) + (xy 104.894879 105.199569) + (xy 104.892 105.172786) + (xy 104.892 104.41416) + (xy 104.912002 104.346039) + (xy 104.965658 104.299546) + (xy 105.035932 104.289442) + (xy 105.101662 104.321241) + (xy 105.101758 104.321112) + (xy 105.102422 104.321609) + (xy 105.104655 104.322689) + (xy 105.107618 104.325496) + (xy 105.119264 104.334208) + (xy 105.25691 104.41416) + (xy 105.270247 104.419959) + (xy 105.423407 104.466346) + (xy 105.436039 104.468796) + (xy 105.503682 104.474833) + (xy 105.509277 104.475082) + (xy 105.590385 104.475082) + (xy 105.605624 104.470607) + (xy 105.606829 104.469217) + (xy 105.6085 104.461534) + (xy 105.6085 103.75963) + (xy 106.1165 103.75963) + (xy 106.1165 104.456967) + (xy 106.120975 104.472206) + (xy 106.122365 104.473411) + (xy 106.130048 104.475082) + (xy 106.201723 104.475082) + (xy 106.209027 104.474657) + (xy 106.32788 104.460801) + (xy 106.342032 104.457456) + (xy 106.491664 104.403142) + (xy 106.504663 104.396633) + (xy 106.588488 104.341674) + (xy 106.656423 104.321051) + (xy 106.724723 104.340431) + (xy 106.771704 104.39366) + (xy 106.783573 104.447046) + (xy 106.783573 104.827885) + (xy 106.788048 104.843124) + (xy 106.789438 104.844329) + (xy 106.797121 104.846) + (xy 108.024458 104.846) + (xy 108.039697 104.841525) + (xy 108.040902 104.840135) + (xy 108.042573 104.832452) + (xy 108.042573 103.855115) + (xy 108.041232 103.850548) + (xy 108.550573 103.850548) + (xy 108.550573 104.827885) + (xy 108.555048 104.843124) + (xy 108.556438 104.844329) + (xy 108.564121 104.846) + (xy 109.791458 104.846) + (xy 109.806697 104.841525) + (xy 109.807902 104.840135) + (xy 109.809573 104.832452) + (xy 109.809573 104.352257) + (xy 109.809412 104.34775) + (xy 109.804833 104.283731) + (xy 109.802447 104.270509) + (xy 109.765754 104.145542) + (xy 109.75834 104.129308) + (xy 109.689147 104.02164) + (xy 109.677461 104.008153) + (xy 109.580733 103.924338) + (xy 109.565725 103.914693) + (xy 109.449298 103.861523) + (xy 109.432185 103.856498) + (xy 109.301019 103.837639) + (xy 109.292078 103.837) + (xy 108.568688 103.837) + (xy 108.553449 103.841475) + (xy 108.552244 103.842865) + (xy 108.550573 103.850548) + (xy 108.041232 103.850548) + (xy 108.038098 103.839876) + (xy 108.036708 103.838671) + (xy 108.029025 103.837) + (xy 107.29883 103.837) + (xy 107.294323 103.837161) + (xy 107.230304 103.84174) + (xy 107.217082 103.844126) + (xy 107.092115 103.880819) + (xy 107.086346 103.883454) + (xy 107.016072 103.89356) + (xy 106.95149 103.864069) + (xy 106.913105 103.804344) + (xy 106.908 103.768842) + (xy 106.908 103.764197) + (xy 106.903525 103.748958) + (xy 106.902135 103.747753) + (xy 106.894452 103.746082) + (xy 106.134615 103.746082) + (xy 106.119376 103.750557) + (xy 106.118171 103.751947) + (xy 106.1165 103.75963) + (xy 105.6085 103.75963) + (xy 105.6085 102.527197) + (xy 105.607159 102.52263) + (xy 106.1165 102.52263) + (xy 106.1165 103.219967) + (xy 106.120975 103.235206) + (xy 106.122365 103.236411) + (xy 106.130048 103.238082) + (xy 106.889885 103.238082) + (xy 106.905124 103.233607) + (xy 106.906329 103.232217) + (xy 106.908 103.224534) + (xy 106.908 103.215359) + (xy 106.907575 103.208055) + (xy 106.893719 103.089202) + (xy 106.890374 103.07505) + (xy 106.83606 102.925418) + (xy 106.82955 102.912419) + (xy 106.742269 102.779294) + (xy 106.732945 102.768142) + (xy 106.617383 102.658669) + (xy 106.605736 102.649956) + (xy 106.46809 102.570004) + (xy 106.454753 102.564205) + (xy 106.301593 102.517818) + (xy 106.288961 102.515368) + (xy 106.221318 102.509331) + (xy 106.215723 102.509082) + (xy 106.134615 102.509082) + (xy 106.119376 102.513557) + (xy 106.118171 102.514947) + (xy 106.1165 102.52263) + (xy 105.607159 102.52263) + (xy 105.604025 102.511958) + (xy 105.602635 102.510753) + (xy 105.594952 102.509082) + (xy 105.523277 102.509082) + (xy 105.515973 102.509507) + (xy 105.39712 102.523363) + (xy 105.382968 102.526708) + (xy 105.233336 102.581022) + (xy 105.220337 102.587532) + (xy 105.087212 102.674813) + (xy 105.07606 102.684137) + (xy 104.966587 102.799699) + (xy 104.957874 102.811346) + (xy 104.942308 102.838144) + (xy 104.890797 102.887002) + (xy 104.821048 102.900256) + (xy 104.766407 102.87668) + (xy 104.764215 102.880061) + (xy 104.758303 102.876229) + (xy 104.645968 102.803417) + (xy 104.637366 102.800845) + (xy 104.637363 102.800843) + (xy 104.517502 102.764997) + (xy 104.5175 102.764997) + (xy 104.510961 102.763041) + (xy 104.504784 102.762582) + (xy 104.350079 102.762582) + (xy 104.313556 102.757172) + (xy 104.279009 102.746709) + (xy 104.271996 102.744585) + (xy 104.262967 102.744025) + (xy 104.26263 102.744004) + (xy 104.240493 102.740636) + (xy 104.236834 102.739741) + (xy 104.236825 102.73974) + (xy 104.231375 102.738406) + (xy 104.222402 102.737849) + (xy 104.220032 102.737702) + (xy 104.220023 102.737702) + (xy 104.218093 102.737582) + (xy 104.163015 102.737582) + (xy 104.155213 102.73734) + (xy 104.096427 102.733693) + (xy 104.089212 102.734933) + (xy 104.089208 102.734933) + (xy 104.084385 102.735762) + (xy 104.063047 102.737582) + (xy 103.056798 102.737582) + (xy 102.988677 102.71758) + (xy 102.967703 102.700678) + (xy 102.591405 102.324381) + (xy 102.55738 102.262068) + (xy 102.5545 102.235285) + (xy 102.5545 101.8555) + (xy 102.574502 101.787379) + (xy 102.628158 101.740886) + (xy 102.6805 101.7295) + (xy 102.709914 101.7295) + (xy 102.752403 101.723415) + (xy 102.809107 101.715295) + (xy 102.80911 101.715294) + (xy 102.818 101.714021) + (xy 102.826176 101.710304) + (xy 102.826178 101.710303) + (xy 102.88044 101.685631) + (xy 102.949504 101.654229) + (xy 102.971394 101.635368) + (xy 103.009956 101.602141) + (xy 103.058941 101.559933) + (xy 103.137513 101.438711) + (xy 103.178904 101.300309) + (xy 103.1795 101.292288) + (xy 103.1795 100.467548) + (xy 106.567 100.467548) + (xy 106.567 101.264223) + (xy 106.567424 101.271524) + (xy 106.581617 101.393255) + (xy 106.584963 101.40741) + (xy 106.640263 101.559761) + (xy 106.646773 101.57276) + (xy 106.735641 101.708306) + (xy 106.744965 101.719458) + (xy 106.862629 101.830922) + (xy 106.874276 101.839635) + (xy 107.014429 101.921043) + (xy 107.027754 101.926837) + (xy 107.1837 101.974068) + (xy 107.196323 101.976516) + (xy 107.266184 101.982751) + (xy 107.271779 101.983) + (xy 107.927885 101.983) + (xy 107.943124 101.978525) + (xy 107.944329 101.977135) + (xy 107.946 101.969452) + (xy 107.946 100.472115) + (xy 107.944659 100.467548) + (xy 108.454 100.467548) + (xy 108.454 101.964885) + (xy 108.458475 101.980124) + (xy 108.459865 101.981329) + (xy 108.467548 101.983) + (xy 109.114223 101.983) + (xy 109.121524 101.982576) + (xy 109.243255 101.968383) + (xy 109.25741 101.965037) + (xy 109.409761 101.909737) + (xy 109.42276 101.903227) + (xy 109.558306 101.814359) + (xy 109.569458 101.805035) + (xy 109.680922 101.687371) + (xy 109.689635 101.675724) + (xy 109.771043 101.535571) + (xy 109.776837 101.522246) + (xy 109.824068 101.3663) + (xy 109.826516 101.353677) + (xy 109.832751 101.283816) + (xy 109.833 101.278221) + (xy 109.833 100.472115) + (xy 109.828525 100.456876) + (xy 109.827135 100.455671) + (xy 109.819452 100.454) + (xy 108.472115 100.454) + (xy 108.456876 100.458475) + (xy 108.455671 100.459865) + (xy 108.454 100.467548) + (xy 107.944659 100.467548) + (xy 107.941525 100.456876) + (xy 107.940135 100.455671) + (xy 107.932452 100.454) + (xy 106.585115 100.454) + (xy 106.569876 100.458475) + (xy 106.568671 100.459865) + (xy 106.567 100.467548) + (xy 103.1795 100.467548) + (xy 103.1795 99.939714) + (xy 103.199502 99.871593) + (xy 103.216405 99.850619) + (xy 103.945245 99.121779) + (xy 106.567 99.121779) + (xy 106.567 99.927885) + (xy 106.571475 99.943124) + (xy 106.572865 99.944329) + (xy 106.580548 99.946) + (xy 107.927885 99.946) + (xy 107.943124 99.941525) + (xy 107.944329 99.940135) + (xy 107.946 99.932452) + (xy 107.946 98.435115) + (xy 107.944659 98.430548) + (xy 108.454 98.430548) + (xy 108.454 99.927885) + (xy 108.458475 99.943124) + (xy 108.459865 99.944329) + (xy 108.467548 99.946) + (xy 109.814885 99.946) + (xy 109.830124 99.941525) + (xy 109.831329 99.940135) + (xy 109.833 99.932452) + (xy 109.833 99.135777) + (xy 109.832576 99.128476) + (xy 109.818383 99.006745) + (xy 109.815037 98.99259) + (xy 109.759737 98.840239) + (xy 109.753227 98.82724) + (xy 109.664359 98.691694) + (xy 109.655035 98.680542) + (xy 109.537371 98.569078) + (xy 109.525724 98.560365) + (xy 109.385571 98.478957) + (xy 109.372246 98.473163) + (xy 109.2163 98.425932) + (xy 109.203677 98.423484) + (xy 109.133816 98.417249) + (xy 109.128221 98.417) + (xy 108.472115 98.417) + (xy 108.456876 98.421475) + (xy 108.455671 98.422865) + (xy 108.454 98.430548) + (xy 107.944659 98.430548) + (xy 107.941525 98.419876) + (xy 107.940135 98.418671) + (xy 107.932452 98.417) + (xy 107.285777 98.417) + (xy 107.278476 98.417424) + (xy 107.156745 98.431617) + (xy 107.14259 98.434963) + (xy 106.990239 98.490263) + (xy 106.97724 98.496773) + (xy 106.841694 98.585641) + (xy 106.830542 98.594965) + (xy 106.719078 98.712629) + (xy 106.710365 98.724276) + (xy 106.628957 98.864429) + (xy 106.623163 98.877754) + (xy 106.575932 99.0337) + (xy 106.573484 99.046323) + (xy 106.567249 99.116184) + (xy 106.567 99.121779) + (xy 103.945245 99.121779) + (xy 105.092024 97.975) + (xy 114.5405 97.975) + (xy 114.5405 99.175) + (xy 114.560253 99.274306) + (xy 114.616506 99.358494) + (xy 114.700694 99.414747) + (xy 114.8 99.4345) + (xy 116.500649 99.4345) + (xy 116.544981 99.442557) + (xy 116.7049 99.502667) + (xy 116.711204 99.503665) + (xy 116.711206 99.503666) + (xy 116.790975 99.5163) + (xy 116.896439 99.533004) + (xy 117.090167 99.524207) + (xy 117.106943 99.519962) + (xy 117.27198 99.478201) + (xy 117.271982 99.4782) + (xy 117.278168 99.476635) + (xy 117.354572 99.4397) + (xy 117.447016 99.395011) + (xy 117.447018 99.39501) + (xy 117.452764 99.392232) + (xy 117.457833 99.388356) + (xy 117.457837 99.388354) + (xy 117.544454 99.32213) + (xy 124.463573 99.32213) + (xy 124.463573 99.393805) + (xy 124.463998 99.401109) + (xy 124.477854 99.519962) + (xy 124.481199 99.534114) + (xy 124.535513 99.683746) + (xy 124.542023 99.696745) + (xy 124.629304 99.82987) + (xy 124.638628 99.841022) + (xy 124.75419 99.950495) + (xy 124.765837 99.959208) + (xy 124.903483 100.03916) + (xy 124.91682 100.044959) + (xy 125.06998 100.091346) + (xy 125.082612 100.093796) + (xy 125.150255 100.099833) + (xy 125.15585 100.100082) + (xy 125.174458 100.100082) + (xy 125.189697 100.095607) + (xy 125.190902 100.094217) + (xy 125.192573 100.086534) + (xy 125.192573 99.326697) + (xy 125.191232 99.32213) + (xy 125.700573 99.32213) + (xy 125.700573 100.081967) + (xy 125.705048 100.097206) + (xy 125.706438 100.098411) + (xy 125.714121 100.100082) + (xy 125.723296 100.100082) + (xy 125.7306 100.099657) + (xy 125.849453 100.085801) + (xy 125.863605 100.082456) + (xy 126.013237 100.028142) + (xy 126.026236 100.021632) + (xy 126.159361 99.934351) + (xy 126.170513 99.925027) + (xy 126.279986 99.809465) + (xy 126.288702 99.797815) + (xy 126.289387 99.796635) + (xy 126.290067 99.79599) + (xy 126.293084 99.791957) + (xy 126.293774 99.792474) + (xy 126.340898 99.747777) + (xy 126.410647 99.734524) + (xy 126.476488 99.761084) + (xy 126.503712 99.790836) + (xy 126.529307 99.829874) + (xy 126.538628 99.841022) + (xy 126.65419 99.950495) + (xy 126.665837 99.959208) + (xy 126.803483 100.03916) + (xy 126.81682 100.044959) + (xy 126.96998 100.091346) + (xy 126.982612 100.093796) + (xy 127.050255 100.099833) + (xy 127.05585 100.100082) + (xy 127.074458 100.100082) + (xy 127.089697 100.095607) + (xy 127.090902 100.094217) + (xy 127.092573 100.086534) + (xy 127.092573 99.326697) + (xy 127.088098 99.311458) + (xy 127.086708 99.310253) + (xy 127.079025 99.308582) + (xy 125.718688 99.308582) + (xy 125.703449 99.313057) + (xy 125.702244 99.314447) + (xy 125.700573 99.32213) + (xy 125.191232 99.32213) + (xy 125.188098 99.311458) + (xy 125.186708 99.310253) + (xy 125.179025 99.308582) + (xy 124.481688 99.308582) + (xy 124.466449 99.313057) + (xy 124.465244 99.314447) + (xy 124.463573 99.32213) + (xy 117.544454 99.32213) + (xy 117.553303 99.315364) + (xy 117.606823 99.274445) + (xy 117.61117 99.269445) + (xy 117.729863 99.132905) + (xy 117.729864 99.132903) + (xy 117.734051 99.128087) + (xy 117.82925 98.959135) + (xy 117.888533 98.774491) + (xy 117.909477 98.581699) + (xy 117.9095 98.575) + (xy 117.909127 98.571323) + (xy 117.890547 98.388415) + (xy 117.890547 98.388414) + (xy 117.889902 98.382066) + (xy 117.83191 98.197013) + (xy 117.737893 98.0274) + (xy 117.61169 97.880157) + (xy 117.458458 97.761298) + (xy 117.284455 97.675678) + (xy 117.278277 97.674069) + (xy 117.278275 97.674068) + (xy 117.102972 97.628405) + (xy 117.102969 97.628405) + (xy 117.09679 97.626795) + (xy 117.011075 97.622303) + (xy 116.90951 97.61698) + (xy 116.909506 97.61698) + (xy 116.903129 97.616646) + (xy 116.78847 97.633986) + (xy 116.717696 97.644689) + (xy 116.717695 97.644689) + (xy 116.711382 97.645644) + (xy 116.705393 97.647847) + (xy 116.705391 97.647848) + (xy 116.597252 97.687636) + (xy 116.561308 97.700861) + (xy 116.542584 97.70775) + (xy 116.499076 97.7155) + (xy 114.8 97.7155) + (xy 114.700694 97.735253) + (xy 114.616506 97.791506) + (xy 114.560253 97.875694) + (xy 114.5405 97.975) + (xy 105.092024 97.975) + (xy 105.573511 97.493513) + (xy 105.587924 97.481126) + (xy 105.596708 97.474662) + (xy 105.604551 97.46889) + (xy 105.63748 97.43013) + (xy 105.64441 97.422614) + (xy 105.650409 97.416615) + (xy 105.65268 97.413745) + (xy 105.652685 97.413739) + (xy 105.668672 97.393533) + (xy 105.671439 97.390157) + (xy 105.718442 97.334831) + (xy 105.721769 97.328315) + (xy 105.725079 97.323353) + (xy 105.728224 97.318261) + (xy 105.732764 97.312522) + (xy 105.763501 97.246756) + (xy 105.765433 97.242804) + (xy 105.795109 97.184689) + (xy 105.79511 97.184686) + (xy 105.798439 97.178167) + (xy 105.800179 97.171056) + (xy 105.802259 97.165464) + (xy 105.804146 97.159791) + (xy 105.807244 97.153162) + (xy 105.808734 97.145997) + (xy 105.808737 97.145989) + (xy 105.822031 97.082079) + (xy 105.823001 97.077792) + (xy 105.838914 97.01276) + (xy 105.838915 97.012754) + (xy 105.840249 97.007302) + (xy 105.841024 96.994805) + (xy 105.841281 96.991408) + (xy 105.841576 96.988108) + (xy 105.843066 96.980942) + (xy 105.841119 96.909001) + (xy 105.841073 96.905593) + (xy 105.841073 96.357893) + (xy 105.861075 96.289772) + (xy 105.878018 96.270309) + (xy 105.877318 96.269636) + (xy 105.881473 96.265319) + (xy 105.886024 96.261411) + (xy 105.894534 96.250694) + (xy 105.977424 96.1463) + (xy 106.017078 96.096359) + (xy 106.038915 96.0545) + (xy 106.111783 95.914821) + (xy 106.111784 95.914818) + (xy 106.114557 95.909503) + (xy 106.174943 95.707585) + (xy 106.176813 95.689019) + (xy 106.186599 95.591829) + (xy 106.196058 95.497891) + (xy 106.196073 95.492082) + (xy 106.193781 95.468053) + (xy 106.18328 95.358) + (xy 106.176056 95.282281) + (xy 106.116728 95.080049) + (xy 106.112955 95.072723) + (xy 106.022975 94.898016) + (xy 106.022973 94.898013) + (xy 106.020229 94.892685) + (xy 105.957136 94.812364) + (xy 105.893748 94.731666) + (xy 105.893744 94.731661) + (xy 105.890042 94.726949) + (xy 105.871732 94.71106) + (xy 105.789648 94.639832) + (xy 105.730863 94.588821) + (xy 105.565576 94.4932) + (xy 105.553635 94.486292) + (xy 105.553634 94.486292) + (xy 105.548437 94.483285) + (xy 105.542768 94.481316) + (xy 105.542765 94.481315) + (xy 105.355015 94.416117) + (xy 105.349345 94.414148) + (xy 105.306563 94.407945) + (xy 105.146712 94.384768) + (xy 105.146709 94.384768) + (xy 105.140772 94.383907) + (xy 104.930243 94.393651) + (xy 104.924419 94.395055) + (xy 104.924416 94.395055) + (xy 104.731188 94.441623) + (xy 104.731186 94.441624) + (xy 104.725355 94.443029) + (xy 104.719897 94.445511) + (xy 104.719893 94.445512) + (xy 104.636817 94.483285) + (xy 104.533501 94.53026) + (xy 104.361603 94.652196) + (xy 104.215864 94.804437) + (xy 104.212613 94.809472) + (xy 104.141425 94.919722) + (xy 104.087669 94.9661) + (xy 104.017374 94.976053) + (xy 103.952857 94.946421) + (xy 103.914601 94.886613) + (xy 103.909573 94.851374) + (xy 103.909573 94.644339) + (xy 103.909412 94.639832) + (xy 103.904833 94.575813) + (xy 103.902447 94.562591) + (xy 103.865754 94.437624) + (xy 103.85834 94.42139) + (xy 103.789147 94.313722) + (xy 103.777461 94.300235) + (xy 103.680733 94.21642) + (xy 103.665725 94.206775) + (xy 103.549298 94.153605) + (xy 103.532185 94.14858) + (xy 103.401019 94.129721) + (xy 103.392078 94.129082) + (xy 102.818688 94.129082) + (xy 102.803449 94.133557) + (xy 102.802244 94.134947) + (xy 102.800573 94.14263) + (xy 102.800573 96.836967) + (xy 102.805048 96.852206) + (xy 102.806438 96.853411) + (xy 102.814121 96.855082) + (xy 103.394316 96.855082) + (xy 103.398823 96.854921) + (xy 103.462842 96.850342) + (xy 103.476064 96.847956) + (xy 103.601031 96.811263) + (xy 103.617265 96.803849) + (xy 103.724933 96.734656) + (xy 103.73842 96.72297) + (xy 103.822235 96.626242) + (xy 103.83188 96.611234) + (xy 103.88505 96.494807) + (xy 103.890075 96.477694) + (xy 103.908934 96.346528) + (xy 103.909573 96.337585) + (xy 103.909573 96.132509) + (xy 103.929575 96.064388) + (xy 103.983231 96.017895) + (xy 104.053505 96.007791) + (xy 104.118085 96.037285) + (xy 104.14178 96.064716) + (xy 104.212275 96.175158) + (xy 104.295362 96.262866) + (xy 104.297546 96.265172) + (xy 104.329873 96.328382) + (xy 104.332073 96.351825) + (xy 104.332073 96.548713) + (xy 104.312071 96.616834) + (xy 104.295168 96.637808) + (xy 102.299381 98.633595) + (xy 102.237069 98.667621) + (xy 102.210286 98.6705) + (xy 100.890086 98.6705) + (xy 100.847597 98.676585) + (xy 100.790893 98.684705) + (xy 100.79089 98.684706) + (xy 100.782 98.685979) + (xy 100.773824 98.689696) + (xy 100.773822 98.689697) + (xy 100.730324 98.709475) + (xy 100.650496 98.745771) + (xy 100.541059 98.840067) + (xy 100.462487 98.961289) + (xy 100.421096 99.099691) + (xy 100.4205 99.107712) + (xy 100.4205 101.259914) + (xy 100.425136 101.292288) + (xy 100.434615 101.358475) + (xy 100.435979 101.368) + (xy 100.439696 101.376176) + (xy 100.439697 101.376178) + (xy 100.453898 101.40741) + (xy 100.495771 101.499504) + (xy 100.590067 101.608941) + (xy 100.711289 101.687513) + (xy 100.799926 101.714021) + (xy 100.84315 101.726948) + (xy 100.843152 101.726948) + (xy 100.849691 101.728904) + (xy 100.857712 101.7295) + (xy 100.9195 101.7295) + (xy 100.987621 101.749502) + (xy 101.034114 101.803158) + (xy 101.0455 101.8555) + (xy 101.0455 102.53414) + (xy 101.044067 102.553089) + (xy 101.040963 102.573494) + (xy 101.041556 102.580786) + (xy 101.041556 102.580789) + (xy 101.045085 102.624171) + (xy 101.0455 102.634386) + (xy 101.0455 102.642885) + (xy 101.047097 102.656581) + (xy 101.048907 102.672109) + (xy 101.04934 102.676485) + (xy 101.050227 102.687386) + (xy 101.055224 102.748821) + (xy 101.057479 102.755782) + (xy 101.058647 102.761629) + (xy 101.060023 102.767448) + (xy 101.060871 102.774723) + (xy 101.063371 102.781609) + (xy 101.063371 102.781611) + (xy 101.085642 102.842966) + (xy 101.087046 102.847053) + (xy 101.109435 102.916166) + (xy 101.113234 102.922426) + (xy 101.115712 102.92784) + (xy 101.118392 102.933192) + (xy 101.12089 102.940073) + (xy 101.146704 102.979445) + (xy 101.160679 103.000761) + (xy 101.163026 103.004481) + (xy 101.197777 103.061749) + (xy 101.197781 103.061754) + (xy 101.200691 103.06655) + (xy 101.209014 103.075974) + (xy 101.211201 103.078519) + (xy 101.213323 103.081057) + (xy 101.217338 103.087181) + (xy 101.222652 103.092215) + (xy 101.269615 103.136703) + (xy 101.272057 103.139081) + (xy 102.111996 103.97902) + (xy 102.124383 103.993433) + (xy 102.136619 104.01006) + (xy 102.142202 104.014803) + (xy 102.175379 104.042989) + (xy 102.182895 104.049919) + (xy 102.188894 104.055918) + (xy 102.191755 104.058181) + (xy 102.19176 104.058186) + (xy 102.211965 104.074171) + (xy 102.215366 104.07696) + (xy 102.270678 104.123951) + (xy 102.277195 104.127279) + (xy 102.282153 104.130585) + (xy 102.287241 104.133728) + (xy 102.292986 104.138273) + (xy 102.299624 104.141375) + (xy 102.299625 104.141376) + (xy 102.31719 104.149585) + (xy 102.34544 104.162788) + (xy 102.358729 104.168999) + (xy 102.362675 104.170927) + (xy 102.427342 104.203948) + (xy 102.434459 104.205689) + (xy 102.440078 104.207779) + (xy 102.445719 104.209656) + (xy 102.452346 104.212753) + (xy 102.459506 104.214242) + (xy 102.459508 104.214243) + (xy 102.523422 104.227537) + (xy 102.527706 104.228507) + (xy 102.598207 104.245758) + (xy 102.603809 104.246106) + (xy 102.603812 104.246106) + (xy 102.610699 104.246533) + (xy 102.614097 104.24679) + (xy 102.617402 104.247085) + (xy 102.624566 104.248575) + (xy 102.696507 104.246628) + (xy 102.699915 104.246582) + (xy 103.257 104.246582) + (xy 103.325121 104.266584) + (xy 103.371614 104.32024) + (xy 103.383 104.372582) + (xy 103.383 105.47164) + (xy 103.381567 105.490589) + (xy 103.378463 105.510994) + (xy 103.379056 105.518286) + (xy 103.379056 105.518289) + (xy 103.382585 105.561671) + (xy 103.383 105.571886) + (xy 103.383 105.580385) + (xy 103.385392 105.600905) + (xy 103.386407 105.609609) + (xy 103.38684 105.613985) + (xy 103.392724 105.686321) + (xy 103.394979 105.693282) + (xy 103.396147 105.699129) + (xy 103.397523 105.704948) + (xy 103.398371 105.712223) + (xy 103.400871 105.719109) + (xy 103.400871 105.719111) + (xy 103.423142 105.780466) + (xy 103.424546 105.784553) + (xy 103.446935 105.853666) + (xy 103.450734 105.859926) + (xy 103.453212 105.86534) + (xy 103.455892 105.870692) + (xy 103.45839 105.877573) + (xy 103.462195 105.883376) + (xy 103.474785 105.95309) + (xy 103.447628 106.018687) + (xy 103.389317 106.059188) + (xy 103.325897 106.063411) + (xy 103.070289 106.014651) + (xy 102.974293 106.008611) + (xy 102.831467 105.999625) + (xy 102.831451 105.999624) + (xy 102.829472 105.9995) + (xy 102.670528 105.9995) + (xy 102.668549 105.999624) + (xy 102.668533 105.999625) + (xy 102.525707 106.008611) + (xy 102.429711 106.014651) + (xy 102.114473 106.074786) + (xy 102.046348 106.096921) + (xy 101.826432 106.168376) + (xy 101.809258 106.173956) + (xy 101.805672 106.175643) + (xy 101.805668 106.175645) + (xy 101.522464 106.30891) + (xy 101.522457 106.308914) + (xy 101.518878 106.310598) + (xy 101.515532 106.312721) + (xy 101.515531 106.312722) + (xy 101.49158 106.327922) + (xy 101.247915 106.482557) + (xy 101.24487 106.485076) + (xy 101.244866 106.485079) + (xy 101.180681 106.538178) + (xy 101.00064 106.687121) + (xy 100.780953 106.921063) + (xy 100.778626 106.924265) + (xy 100.778625 106.924267) + (xy 100.599641 107.170619) + (xy 100.59232 107.180695) + (xy 100.535659 107.283761) + (xy 100.445968 107.446908) + (xy 100.437714 107.461921) + (xy 100.397107 107.564483) + (xy 100.32157 107.755269) + (xy 100.319575 107.760307) + (xy 100.318591 107.764141) + (xy 100.318588 107.764149) + (xy 100.273675 107.939076) + (xy 100.239765 108.071147) + (xy 100.239269 108.075075) + (xy 100.239268 108.075079) + (xy 100.226708 108.174502) + (xy 100.199543 108.389539) + (xy 99.604 108.389539) + (xy 99.604 95.75963) + (xy 101.183573 95.75963) + (xy 101.183573 96.339825) + (xy 101.183734 96.344332) + (xy 101.188313 96.408351) + (xy 101.190699 96.421573) + (xy 101.227392 96.54654) + (xy 101.234806 96.562774) + (xy 101.303999 96.670442) + (xy 101.315685 96.683929) + (xy 101.412413 96.767744) + (xy 101.427421 96.777389) + (xy 101.543848 96.830559) + (xy 101.560961 96.835584) + (xy 101.692127 96.854443) + (xy 101.701068 96.855082) + (xy 102.274458 96.855082) + (xy 102.289697 96.850607) + (xy 102.290902 96.849217) + (xy 102.292573 96.841534) + (xy 102.292573 95.764197) + (xy 102.288098 95.748958) + (xy 102.286708 95.747753) + (xy 102.279025 95.746082) + (xy 101.201688 95.746082) + (xy 101.186449 95.750557) + (xy 101.185244 95.751947) + (xy 101.183573 95.75963) + (xy 99.604 95.75963) + (xy 99.604 94.646577) + (xy 101.183573 94.646577) + (xy 101.183573 95.219967) + (xy 101.188048 95.235206) + (xy 101.189438 95.236411) + (xy 101.197121 95.238082) + (xy 102.274458 95.238082) + (xy 102.289697 95.233607) + (xy 102.290902 95.232217) + (xy 102.292573 95.224534) + (xy 102.292573 94.147197) + (xy 102.288098 94.131958) + (xy 102.286708 94.130753) + (xy 102.279025 94.129082) + (xy 101.69883 94.129082) + (xy 101.694323 94.129243) + (xy 101.630304 94.133822) + (xy 101.617082 94.136208) + (xy 101.492115 94.172901) + (xy 101.475881 94.180315) + (xy 101.368213 94.249508) + (xy 101.354726 94.261194) + (xy 101.270911 94.357922) + (xy 101.261266 94.37293) + (xy 101.208096 94.489357) + (xy 101.203071 94.50647) + (xy 101.184212 94.637636) + (xy 101.183573 94.646577) + (xy 99.604 94.646577) + (xy 99.604 91.534629) + (xy 101.26459 91.534629) + (xy 101.265292 91.545781) + (xy 101.296887 91.752253) + (xy 101.299554 91.763114) + (xy 101.367214 91.960732) + (xy 101.371762 91.970946) + (xy 101.456127 92.122522) + (xy 101.466179 92.132413) + (xy 101.473784 92.129506) + (xy 102.127978 91.475312) + (xy 102.135592 91.461368) + (xy 102.135461 91.459535) + (xy 102.13121 91.45292) + (xy 101.479833 90.801543) + (xy 101.467453 90.794783) + (xy 101.459481 90.800751) + (xy 101.399913 90.895712) + (xy 101.394844 90.90566) + (xy 101.316931 91.099473) + (xy 101.3137 91.110172) + (xy 101.271344 91.31471) + (xy 101.270058 91.325819) + (xy 101.26459 91.534629) + (xy 99.604 91.534629) + (xy 99.604 89.030048) + (xy 100.392 89.030048) + (xy 100.392 89.161913) + (xy 100.392285 89.16789) + (xy 100.40651 89.316986) + (xy 100.408769 89.32872) + (xy 100.464909 89.520084) + (xy 100.469339 89.53116) + (xy 100.560652 89.708456) + (xy 100.567102 89.718502) + (xy 100.690291 89.875329) + (xy 100.698528 89.883979) + (xy 100.849147 90.014679) + (xy 100.858873 90.021616) + (xy 101.031483 90.121474) + (xy 101.042347 90.126448) + (xy 101.230736 90.191868) + (xy 101.231716 90.192106) + (xy 101.242008 90.190639) + (xy 101.246 90.177072) + (xy 101.246 89.034615) + (xy 101.244659 89.030048) + (xy 101.754 89.030048) + (xy 101.754 90.207385) + (xy 101.758475 90.222624) + (xy 101.760283 90.224191) + (xy 101.82177 90.257764) + (xy 101.855796 90.320076) + (xy 101.850732 90.390891) + (xy 101.834437 90.421163) + (xy 101.829702 90.427648) + (xy 101.836333 90.439623) + (xy 102.770115 91.373405) + (xy 102.804141 91.435717) + (xy 102.799076 91.506532) + (xy 102.770115 91.551595) + (xy 101.838097 92.483613) + (xy 101.831337 92.495993) + (xy 101.836618 92.503048) + (xy 101.968041 92.579845) + (xy 101.978155 92.584605) + (xy 102.174318 92.65639) + (xy 102.185103 92.65928) + (xy 102.390884 92.695194) + (xy 102.402016 92.696129) + (xy 102.610891 92.695035) + (xy 102.622025 92.693983) + (xy 102.827403 92.655918) + (xy 102.838174 92.652911) + (xy 103.03357 92.579077) + (xy 103.043625 92.574216) + (xy 103.074755 92.555585) + (xy 103.143479 92.537765) + (xy 103.207855 92.55892) + (xy 103.20826 92.55829) + (xy 103.210688 92.559851) + (xy 103.210927 92.559929) + (xy 103.211498 92.560371) + (xy 103.230848 92.572807) + (xy 103.347275 92.625977) + (xy 103.364388 92.631002) + (xy 103.482071 92.647922) + (xy 103.496027 92.645916) + (xy 103.5 92.632385) + (xy 103.5 91.3885) + (xy 103.520002 91.320379) + (xy 103.573658 91.273886) + (xy 103.626 91.2625) + (xy 103.7645 91.2625) + (xy 103.832621 91.282502) + (xy 103.879114 91.336158) + (xy 103.8905 91.3885) + (xy 103.8905 92.1375) + (xy 103.892238 92.146238) + (xy 103.897579 92.173089) + (xy 103.9 92.19767) + (xy 103.9 92.632385) + (xy 103.904384 92.647314) + (xy 103.915785 92.649371) + (xy 103.966269 92.64576) + (xy 103.979491 92.643374) + (xy 104.104458 92.606681) + (xy 104.120692 92.599267) + (xy 104.22836 92.530074) + (xy 104.241847 92.518388) + (xy 104.309347 92.440488) + (xy 104.369073 92.402104) + (xy 104.404572 92.397) + (xy 104.55 92.397) + (xy 104.649306 92.377247) + (xy 104.650141 92.381444) + (xy 104.697374 92.376367) + (xy 104.700676 92.377337) + (xy 104.700694 92.377247) + (xy 104.8 92.397) + (xy 105.2 92.397) + (xy 105.299306 92.377247) + (xy 105.300141 92.381444) + (xy 105.347374 92.376367) + (xy 105.350676 92.377337) + (xy 105.350694 92.377247) + (xy 105.45 92.397) + (xy 105.67404 92.397) + (xy 105.742161 92.417002) + (xy 105.788654 92.470658) + (xy 105.79854 92.542385) + (xy 105.7955 92.561907) + (xy 105.7955 92.975724) + (xy 105.794252 92.986895) + (xy 105.794842 92.986942) + (xy 105.794122 92.995893) + (xy 105.792141 93.004647) + (xy 105.79365 93.028972) + (xy 105.795258 93.054889) + (xy 105.7955 93.062691) + (xy 105.7955 93.080423) + (xy 105.797153 93.091968) + (xy 105.798181 93.102005) + (xy 105.798939 93.114215) + (xy 105.801086 93.148829) + (xy 105.804134 93.157273) + (xy 105.804823 93.160598) + (xy 105.808759 93.176383) + (xy 105.809707 93.179624) + (xy 105.810979 93.188509) + (xy 105.814694 93.19668) + (xy 105.814695 93.196683) + (xy 105.830399 93.23122) + (xy 105.834202 93.240561) + (xy 105.850139 93.284705) + (xy 105.855433 93.291952) + (xy 105.857016 93.294929) + (xy 105.865233 93.308991) + (xy 105.867055 93.311839) + (xy 105.870771 93.320013) + (xy 105.885988 93.337674) + (xy 105.901389 93.355548) + (xy 105.907675 93.363464) + (xy 105.916329 93.375309) + (xy 105.928104 93.387084) + (xy 105.934463 93.393932) + (xy 105.959209 93.422652) + (xy 105.959211 93.422654) + (xy 105.965067 93.42945) + (xy 105.972596 93.43433) + (xy 105.979364 93.440234) + (xy 105.979309 93.440297) + (xy 105.98983 93.44881) + (xy 106.966678 94.425658) + (xy 107.000704 94.48797) + (xy 106.995639 94.558785) + (xy 106.950483 94.617523) + (xy 106.924416 94.636014) + (xy 106.901603 94.652196) + (xy 106.755864 94.804437) + (xy 106.641542 94.98149) + (xy 106.626091 95.019828) + (xy 106.565867 95.169266) + (xy 106.562763 95.176967) + (xy 106.552847 95.227745) + (xy 106.525737 95.366565) + (xy 106.522368 95.383814) + (xy 106.522352 95.3898) + (xy 106.522352 95.389803) + (xy 106.522167 95.460692) + (xy 106.521816 95.594567) + (xy 106.537168 95.675427) + (xy 106.559433 95.792699) + (xy 106.561127 95.801623) + (xy 106.638882 95.997509) + (xy 106.642106 96.002559) + (xy 106.642107 96.002562) + (xy 106.685471 96.070498) + (xy 106.752275 96.175158) + (xy 106.897215 96.328161) + (xy 106.90208 96.331651) + (xy 106.902083 96.331653) + (xy 107.025244 96.41999) + (xy 107.068472 96.450995) + (xy 107.117244 96.473479) + (xy 107.254286 96.536656) + (xy 107.259867 96.539229) + (xy 107.265683 96.540663) + (xy 107.265686 96.540664) + (xy 107.346214 96.560518) + (xy 107.464494 96.58968) + (xy 107.470484 96.589989) + (xy 107.470486 96.589989) + (xy 107.54443 96.593799) + (xy 107.674969 96.600526) + (xy 107.680904 96.599697) + (xy 107.680908 96.599697) + (xy 107.865623 96.573901) + (xy 107.883697 96.571377) + (xy 108.027842 96.522166) + (xy 108.077469 96.505223) + (xy 108.077471 96.505222) + (xy 108.083148 96.503284) + (xy 108.162802 96.457758) + (xy 108.260917 96.401681) + (xy 108.26092 96.401679) + (xy 108.266125 96.398704) + (xy 108.270674 96.394798) + (xy 108.270677 96.394796) + (xy 108.421473 96.265319) + (xy 108.421475 96.265317) + (xy 108.426024 96.261411) + (xy 108.434534 96.250694) + (xy 108.517424 96.1463) + (xy 108.557078 96.096359) + (xy 108.578915 96.0545) + (xy 108.651783 95.914821) + (xy 108.651784 95.914818) + (xy 108.654557 95.909503) + (xy 108.714943 95.707585) + (xy 108.716813 95.689019) + (xy 108.726599 95.591829) + (xy 108.736058 95.497891) + (xy 108.736073 95.492082) + (xy 108.733781 95.468053) + (xy 108.72328 95.358) + (xy 108.716056 95.282281) + (xy 108.656728 95.080049) + (xy 108.652955 95.072723) + (xy 108.562975 94.898016) + (xy 108.562973 94.898013) + (xy 108.560229 94.892685) + (xy 108.497136 94.812364) + (xy 108.433748 94.731666) + (xy 108.433744 94.731661) + (xy 108.430042 94.726949) + (xy 108.411732 94.71106) + (xy 108.329648 94.639832) + (xy 108.270863 94.588821) + (xy 108.19464 94.544725) + (xy 108.145691 94.4933) + (xy 108.132141 94.425558) + (xy 108.132452 94.421696) + (xy 108.134432 94.412944) + (xy 108.131315 94.362701) + (xy 108.131073 94.3549) + (xy 108.131073 94.337168) + (xy 108.12942 94.325623) + (xy 108.128392 94.315586) + (xy 108.126043 94.27772) + (xy 108.126043 94.277718) + (xy 108.125487 94.268762) + (xy 108.122439 94.26032) + (xy 108.121753 94.257006) + (xy 108.117819 94.241224) + (xy 108.116866 94.237965) + (xy 108.115594 94.229082) + (xy 108.108744 94.214015) + (xy 108.096179 94.186381) + (xy 108.092366 94.177015) + (xy 108.079482 94.141326) + (xy 108.076435 94.132886) + (xy 108.071141 94.125639) + (xy 108.069568 94.122681) + (xy 108.061328 94.108579) + (xy 108.059516 94.105745) + (xy 108.055802 94.097578) + (xy 108.042669 94.082336) + (xy 108.029928 94.067548) + (xy 110.592 94.067548) + (xy 110.592 94.076723) + (xy 110.592425 94.084027) + (xy 110.606281 94.20288) + (xy 110.609626 94.217032) + (xy 110.66394 94.366664) + (xy 110.67045 94.379663) + (xy 110.757731 94.512788) + (xy 110.767055 94.52394) + (xy 110.882617 94.633413) + (xy 110.894264 94.642126) + (xy 111.03191 94.722078) + (xy 111.045247 94.727877) + (xy 111.198407 94.774264) + (xy 111.211039 94.776714) + (xy 111.278682 94.782751) + (xy 111.284277 94.783) + (xy 111.315385 94.783) + (xy 111.330624 94.778525) + (xy 111.331829 94.777135) + (xy 111.3335 94.769452) + (xy 111.3335 94.072115) + (xy 111.329025 94.056876) + (xy 111.327635 94.055671) + (xy 111.319952 94.054) + (xy 110.610115 94.054) + (xy 110.594876 94.058475) + (xy 110.593671 94.059865) + (xy 110.592 94.067548) + (xy 108.029928 94.067548) + (xy 108.025184 94.062042) + (xy 108.018898 94.054127) + (xy 108.013119 94.046216) + (xy 108.013114 94.04621) + (xy 108.010245 94.042283) + (xy 107.998469 94.030507) + (xy 107.99211 94.023659) + (xy 107.967364 93.994939) + (xy 107.967362 93.994937) + (xy 107.961506 93.988141) + (xy 107.953977 93.983261) + (xy 107.947209 93.977357) + (xy 107.947264 93.977294) + (xy 107.936743 93.968781) + (xy 107.477239 93.509277) + (xy 110.592 93.509277) + (xy 110.592 93.527885) + (xy 110.596475 93.543124) + (xy 110.597865 93.544329) + (xy 110.605548 93.546) + (xy 111.315385 93.546) + (xy 111.330624 93.541525) + (xy 111.331829 93.540135) + (xy 111.3335 93.532452) + (xy 111.3335 92.835115) + (xy 111.329025 92.819876) + (xy 111.327635 92.818671) + (xy 111.319952 92.817) + (xy 111.298277 92.817) + (xy 111.290973 92.817425) + (xy 111.17212 92.831281) + (xy 111.157968 92.834626) + (xy 111.008336 92.88894) + (xy 110.995337 92.89545) + (xy 110.862212 92.982731) + (xy 110.85106 92.992055) + (xy 110.741587 93.107617) + (xy 110.732874 93.119264) + (xy 110.652922 93.25691) + (xy 110.647123 93.270247) + (xy 110.600736 93.423407) + (xy 110.598286 93.436039) + (xy 110.592249 93.503682) + (xy 110.592 93.509277) + (xy 107.477239 93.509277) + (xy 107.389076 93.421114) + (xy 106.841405 92.873444) + (xy 106.80738 92.811132) + (xy 106.8045 92.784349) + (xy 106.8045 92.701337) + (xy 106.824502 92.633216) + (xy 106.878158 92.586723) + (xy 106.948432 92.576619) + (xy 106.973801 92.583011) + (xy 107.174318 92.65639) + (xy 107.185103 92.65928) + (xy 107.390884 92.695194) + (xy 107.402016 92.696129) + (xy 107.610891 92.695035) + (xy 107.622025 92.693983) + (xy 107.827403 92.655918) + (xy 107.838174 92.652911) + (xy 108.03357 92.579077) + (xy 108.043627 92.574216) + (xy 108.158755 92.505312) + (xy 108.168325 92.494954) + (xy 108.164782 92.486492) + (xy 107.229885 91.551595) + (xy 107.195859 91.489283) + (xy 107.197694 91.463632) + (xy 107.864408 91.463632) + (xy 107.864539 91.465465) + (xy 107.86879 91.47208) + (xy 108.522972 92.126262) + (xy 108.535352 92.133022) + (xy 108.541616 92.128333) + (xy 108.633506 91.959095) + (xy 108.637939 91.948849) + (xy 108.703528 91.750528) + (xy 108.706082 91.739637) + (xy 108.735791 91.530887) + (xy 108.736398 91.523664) + (xy 108.737905 91.466131) + (xy 108.737677 91.458879) + (xy 108.718933 91.248864) + (xy 108.716951 91.23785) + (xy 108.661835 91.036379) + (xy 108.657941 91.025906) + (xy 108.568013 90.837369) + (xy 108.562327 90.827754) + (xy 108.544311 90.802681) + (xy 108.533521 90.794271) + (xy 108.520485 90.801225) + (xy 107.872022 91.449688) + (xy 107.864408 91.463632) + (xy 107.197694 91.463632) + (xy 107.200924 91.418468) + (xy 107.229885 91.373405) + (xy 108.160901 90.442389) + (xy 108.167125 90.430991) + (xy 108.162435 90.425047) + (xy 108.135939 90.35918) + (xy 108.149259 90.289444) + (xy 108.198166 90.23798) + (xy 108.225849 90.226098) + (xy 108.243124 90.221026) + (xy 108.244329 90.219635) + (xy 108.246 90.211952) + (xy 108.246 89.034615) + (xy 108.244659 89.030048) + (xy 108.754 89.030048) + (xy 108.754 90.172861) + (xy 108.757973 90.186392) + (xy 108.767399 90.187747) + (xy 108.856524 90.166268) + (xy 108.867819 90.162379) + (xy 109.049362 90.079836) + (xy 109.059704 90.073889) + (xy 109.222371 89.958501) + (xy 109.2314 89.950708) + (xy 109.369311 89.806644) + (xy 109.376707 89.797279) + (xy 109.484889 89.629735) + (xy 109.490382 89.619136) + (xy 109.564922 89.434178) + (xy 109.568316 89.422719) + (xy 109.60682 89.225556) + (xy 109.607909 89.216588) + (xy 109.607925 89.216272) + (xy 109.608 89.213179) + (xy 109.608 89.034615) + (xy 109.603525 89.019376) + (xy 109.602135 89.018171) + (xy 109.594452 89.0165) + (xy 108.772115 89.0165) + (xy 108.756876 89.020975) + (xy 108.755671 89.022365) + (xy 108.754 89.030048) + (xy 108.244659 89.030048) + (xy 108.241525 89.019376) + (xy 108.240135 89.018171) + (xy 108.232452 89.0165) + (xy 106.272115 89.0165) + (xy 106.256876 89.020975) + (xy 106.255671 89.022365) + (xy 106.254 89.030048) + (xy 106.254 90.207385) + (xy 106.258475 90.222624) + (xy 106.259865 90.223829) + (xy 106.267548 90.2255) + (xy 106.71532 90.2255) + (xy 106.783441 90.245502) + (xy 106.829934 90.299158) + (xy 106.840038 90.369432) + (xy 106.810544 90.434012) + (xy 106.792024 90.451462) + (xy 106.697989 90.523618) + (xy 106.631769 90.549219) + (xy 106.596704 90.547235) + (xy 106.506068 90.529207) + (xy 106.5 90.528) + (xy 106.1 90.528) + (xy 106.000694 90.547753) + (xy 105.999859 90.543556) + (xy 105.952626 90.548633) + (xy 105.949324 90.547663) + (xy 105.949306 90.547753) + (xy 105.856068 90.529207) + (xy 105.85 90.528) + (xy 105.45 90.528) + (xy 105.350694 90.547753) + (xy 105.349859 90.543556) + (xy 105.302626 90.548633) + (xy 105.299324 90.547663) + (xy 105.299306 90.547753) + (xy 105.206068 90.529207) + (xy 105.2 90.528) + (xy 104.8 90.528) + (xy 104.700694 90.547753) + (xy 104.699859 90.543556) + (xy 104.652626 90.548633) + (xy 104.649324 90.547663) + (xy 104.649306 90.547753) + (xy 104.556068 90.529207) + (xy 104.55 90.528) + (xy 104.405628 90.528) + (xy 104.337507 90.507998) + (xy 104.299629 90.470119) + (xy 104.292572 90.459138) + (xy 104.274986 90.438842) + (xy 104.276317 90.437689) + (xy 104.24374 90.386995) + (xy 104.243742 90.315999) + (xy 104.282127 90.256274) + (xy 104.346708 90.226782) + (xy 104.364637 90.2255) + (xy 104.747743 90.2255) + (xy 104.75225 90.225339) + (xy 104.816269 90.22076) + (xy 104.829491 90.218374) + (xy 104.963108 90.179141) + (xy 104.963655 90.181004) + (xy 105.022669 90.172517) + (xy 105.057084 90.182622) + (xy 105.097273 90.200976) + (xy 105.114388 90.206002) + (xy 105.245554 90.224861) + (xy 105.254495 90.2255) + (xy 105.727885 90.2255) + (xy 105.743124 90.221025) + (xy 105.744329 90.219635) + (xy 105.746 90.211952) + (xy 105.746 89.034615) + (xy 105.741525 89.019376) + (xy 105.740135 89.018171) + (xy 105.732452 89.0165) + (xy 101.772115 89.0165) + (xy 101.756876 89.020975) + (xy 101.755671 89.022365) + (xy 101.754 89.030048) + (xy 101.244659 89.030048) + (xy 101.241525 89.019376) + (xy 101.240135 89.018171) + (xy 101.232452 89.0165) + (xy 100.410115 89.0165) + (xy 100.394876 89.020975) + (xy 100.393671 89.022365) + (xy 100.392 89.030048) + (xy 99.604 89.030048) + (xy 99.604 87.85) + (xy 99.624002 87.781879) + (xy 99.677658 87.735386) + (xy 99.73 87.724) + (xy 100.396654 87.724) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 139.871082 104.574012) + (xy 139.917575 104.627668) + (xy 139.927679 104.697942) + (xy 139.898185 104.762522) + (xy 139.892056 104.769105) + (xy 139.177566 105.483595) + (xy 139.115254 105.517621) + (xy 139.088471 105.5205) + (xy 138.627587 105.5205) + (xy 138.576447 105.527824) + (xy 138.531896 105.534204) + (xy 138.531894 105.534205) + (xy 138.523009 105.535477) + (xy 138.514839 105.539192) + (xy 138.514838 105.539192) + (xy 138.503144 105.544509) + (xy 138.394731 105.593801) + (xy 138.387932 105.599659) + (xy 138.387928 105.599662) + (xy 138.294779 105.679925) + (xy 138.294777 105.679928) + (xy 138.287979 105.685785) + (xy 138.211335 105.804032) + (xy 138.208763 105.812634) + (xy 138.208761 105.812637) + (xy 138.17765 105.916666) + (xy 138.170959 105.939039) + (xy 138.1705 105.945216) + (xy 138.1705 106.522413) + (xy 138.177412 106.570675) + (xy 138.184109 106.617436) + (xy 138.185477 106.626991) + (xy 138.189192 106.635161) + (xy 138.189192 106.635162) + (xy 138.193565 106.644779) + (xy 138.243801 106.755269) + (xy 138.249663 106.762072) + (xy 138.324209 106.848587) + (xy 138.353523 106.91325) + (xy 138.343224 106.983495) + (xy 138.317851 107.01993) + (xy 137.374595 107.963186) + (xy 137.312283 107.997212) + (xy 137.241468 107.992147) + (xy 137.184632 107.9496) + (xy 137.159821 107.88308) + (xy 137.1595 107.874091) + (xy 137.1595 107.844029) + (xy 137.179502 107.775908) + (xy 137.196405 107.754934) + (xy 137.301602 107.649737) + (xy 137.318085 107.636424) + (xy 137.320696 107.634048) + (xy 137.329448 107.628397) + (xy 137.349083 107.60349) + (xy 137.352487 107.599659) + (xy 137.352377 107.599566) + (xy 137.35573 107.595609) + (xy 137.359411 107.591928) + (xy 137.369453 107.577876) + (xy 137.373017 107.57313) + (xy 137.396084 107.54387) + (xy 137.396085 107.543868) + (xy 137.402532 107.53569) + (xy 137.405366 107.527621) + (xy 137.410341 107.520659) + (xy 137.422021 107.481604) + (xy 137.424008 107.474961) + (xy 137.425835 107.469336) + (xy 137.441647 107.424309) + (xy 137.442 107.420233) + (xy 137.442 107.417524) + (xy 137.442118 107.414791) + (xy 137.442263 107.414797) + (xy 137.442333 107.413683) + (xy 137.444165 107.407558) + (xy 137.442097 107.354905) + (xy 137.442 107.34997) + (xy 137.442 107.061556) + (xy 137.462002 106.993435) + (xy 137.51585 106.946855) + (xy 137.597093 106.909917) + (xy 137.597096 106.909915) + (xy 137.605269 106.906199) + (xy 137.612068 106.900341) + (xy 137.612072 106.900338) + (xy 137.705221 106.820075) + (xy 137.705223 106.820072) + (xy 137.712021 106.814215) + (xy 137.788665 106.695968) + (xy 137.791237 106.687366) + (xy 137.791239 106.687363) + (xy 137.827085 106.567502) + (xy 137.827085 106.5675) + (xy 137.829041 106.560961) + (xy 137.8295 106.554784) + (xy 137.8295 105.977587) + (xy 137.817158 105.891405) + (xy 137.815796 105.881896) + (xy 137.815795 105.881894) + (xy 137.814523 105.873009) + (xy 137.8082 105.859101) + (xy 137.787074 105.812637) + (xy 137.756199 105.744731) + (xy 137.750341 105.737932) + (xy 137.750338 105.737928) + (xy 137.670075 105.644779) + (xy 137.670072 105.644777) + (xy 137.664215 105.637979) + (xy 137.545968 105.561335) + (xy 137.537366 105.558763) + (xy 137.537363 105.558761) + (xy 137.417502 105.522915) + (xy 137.4175 105.522915) + (xy 137.410961 105.520959) + (xy 137.404784 105.5205) + (xy 136.802587 105.5205) + (xy 136.75716 105.527006) + (xy 136.686893 105.516862) + (xy 136.633264 105.470339) + (xy 136.6133 105.402207) + (xy 136.63334 105.334098) + (xy 136.650205 105.313183) + (xy 137.372473 104.590915) + (xy 137.434785 104.556889) + (xy 137.461568 104.55401) + (xy 139.802961 104.55401) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 151.075121 104.574012) + (xy 151.121614 104.627668) + (xy 151.133 104.68001) + (xy 151.133 105.995627) + (xy 151.112998 106.063748) + (xy 151.109285 106.069178) + (xy 151.103953 106.074856) + (xy 151.100134 106.081802) + (xy 151.100133 106.081804) + (xy 151.090662 106.099032) + (xy 151.024312 106.219722) + (xy 150.9832 106.379843) + (xy 150.9832 106.545157) + (xy 150.985102 106.552566) + (xy 150.973817 106.622534) + (xy 150.95907 106.646236) + (xy 150.934968 106.67681) + (xy 150.932134 106.684879) + (xy 150.927159 106.691841) + (xy 150.915972 106.729248) + (xy 150.913492 106.737539) + (xy 150.911665 106.743164) + (xy 150.895853 106.788191) + (xy 150.8955 106.792267) + (xy 150.8955 106.794977) + (xy 150.895477 106.795511) + (xy 150.894979 106.796969) + (xy 150.893501 106.803837) + (xy 150.892695 106.803664) + (xy 150.87255 106.862704) + (xy 150.816936 106.906834) + (xy 150.746291 106.913892) + (xy 150.6805 106.879161) + (xy 150.191405 106.390066) + (xy 150.157379 106.327754) + (xy 150.1545 106.300971) + (xy 150.1545 105.977587) + (xy 150.142158 105.891405) + (xy 150.140796 105.881896) + (xy 150.140795 105.881894) + (xy 150.139523 105.873009) + (xy 150.1332 105.859101) + (xy 150.112074 105.812637) + (xy 150.081199 105.744731) + (xy 150.075341 105.737932) + (xy 150.075338 105.737928) + (xy 149.995075 105.644779) + (xy 149.995072 105.644777) + (xy 149.989215 105.637979) + (xy 149.870968 105.561335) + (xy 149.862366 105.558763) + (xy 149.862363 105.558761) + (xy 149.742502 105.522915) + (xy 149.7425 105.522915) + (xy 149.735961 105.520959) + (xy 149.729784 105.5205) + (xy 149.127587 105.5205) + (xy 149.076447 105.527824) + (xy 149.031896 105.534204) + (xy 149.031894 105.534205) + (xy 149.023009 105.535477) + (xy 149.014839 105.539192) + (xy 149.014838 105.539192) + (xy 149.003144 105.544509) + (xy 148.894731 105.593801) + (xy 148.887932 105.599659) + (xy 148.887928 105.599662) + (xy 148.794779 105.679925) + (xy 148.794777 105.679928) + (xy 148.787979 105.685785) + (xy 148.711335 105.804032) + (xy 148.708763 105.812634) + (xy 148.708761 105.812637) + (xy 148.67765 105.916666) + (xy 148.670959 105.939039) + (xy 148.6705 105.945216) + (xy 148.6705 106.464971) + (xy 148.650498 106.533092) + (xy 148.596842 106.579585) + (xy 148.526568 106.589689) + (xy 148.461988 106.560195) + (xy 148.455405 106.554066) + (xy 148.366405 106.465066) + (xy 148.332379 106.402754) + (xy 148.3295 106.375971) + (xy 148.3295 105.977587) + (xy 148.317158 105.891405) + (xy 148.315796 105.881896) + (xy 148.315795 105.881894) + (xy 148.314523 105.873009) + (xy 148.3082 105.859101) + (xy 148.287074 105.812637) + (xy 148.256199 105.744731) + (xy 148.250341 105.737932) + (xy 148.250338 105.737928) + (xy 148.170075 105.644779) + (xy 148.170072 105.644777) + (xy 148.164215 105.637979) + (xy 148.045968 105.561335) + (xy 148.037366 105.558763) + (xy 148.037363 105.558761) + (xy 147.917502 105.522915) + (xy 147.9175 105.522915) + (xy 147.910961 105.520959) + (xy 147.904784 105.5205) + (xy 147.411529 105.5205) + (xy 147.343408 105.500498) + (xy 147.322434 105.483595) + (xy 146.607944 104.769105) + (xy 146.573918 104.706793) + (xy 146.578983 104.635978) + (xy 146.62153 104.579142) + (xy 146.68805 104.554331) + (xy 146.697039 104.55401) + (xy 151.007 104.55401) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 184.985571 92.722367) + (xy 185.036824 92.753455) + (xy 185.357712 93.074343) + (xy 185.362855 93.077779) + (xy 185.362858 93.077782) + (xy 185.4419 93.130596) + (xy 185.439519 93.134159) + (xy 185.476476 93.163912) + (xy 185.478154 93.166985) + (xy 185.478235 93.166931) + (xy 185.531049 93.245973) + (xy 185.531052 93.245976) + (xy 185.534488 93.251119) + (xy 185.923397 93.640028) + (xy 185.92854 93.643464) + (xy 185.928543 93.643467) + (xy 186.007585 93.696281) + (xy 186.005209 93.699837) + (xy 186.042216 93.729678) + (xy 186.043848 93.732666) + (xy 186.043921 93.732617) + (xy 186.096735 93.811659) + (xy 186.096738 93.811662) + (xy 186.100174 93.816805) + (xy 186.489083 94.205714) + (xy 186.494226 94.20915) + (xy 186.494229 94.209153) + (xy 186.573271 94.261967) + (xy 186.57089 94.26553) + (xy 186.607847 94.295283) + (xy 186.609525 94.298356) + (xy 186.609606 94.298302) + (xy 186.66242 94.377344) + (xy 186.662423 94.377347) + (xy 186.665859 94.38249) + (xy 187.054768 94.771399) + (xy 187.059911 94.774835) + (xy 187.059914 94.774838) + (xy 187.138956 94.827652) + (xy 187.13658 94.831208) + (xy 187.173587 94.861049) + (xy 187.175219 94.864037) + (xy 187.175292 94.863988) + (xy 187.228106 94.94303) + (xy 187.228109 94.943033) + (xy 187.231545 94.948176) + (xy 187.545855 95.262486) + (xy 187.579881 95.324798) + (xy 187.574816 95.395613) + (xy 187.545857 95.440673) + (xy 184.227935 98.758595) + (xy 184.165623 98.792621) + (xy 184.13884 98.7955) + (xy 180.685677 98.7955) + (xy 180.617556 98.775498) + (xy 180.596582 98.758595) + (xy 179.541404 97.703417) + (xy 179.507378 97.641105) + (xy 179.512443 97.57029) + (xy 179.541404 97.525227) + (xy 179.855714 97.210917) + (xy 179.866997 97.194031) + (xy 179.921474 97.148503) + (xy 179.991918 97.139656) + (xy 180.060857 97.174938) + (xy 181.050021 98.164102) + (xy 181.063334 98.180585) + (xy 181.06571 98.183196) + (xy 181.071361 98.191948) + (xy 181.093737 98.209588) + (xy 181.096268 98.211583) + (xy 181.100099 98.214987) + (xy 181.100192 98.214877) + (xy 181.104149 98.21823) + (xy 181.10783 98.221911) + (xy 181.112062 98.224935) + (xy 181.121882 98.231953) + (xy 181.126628 98.235517) + (xy 181.155888 98.258584) + (xy 181.15589 98.258585) + (xy 181.164068 98.265032) + (xy 181.172137 98.267866) + (xy 181.179099 98.272841) + (xy 181.213579 98.283153) + (xy 181.224797 98.286508) + (xy 181.230422 98.288335) + (xy 181.275449 98.304147) + (xy 181.279525 98.3045) + (xy 181.282234 98.3045) + (xy 181.284967 98.304618) + (xy 181.284961 98.304763) + (xy 181.286075 98.304833) + (xy 181.2922 98.306665) + (xy 181.344842 98.304597) + (xy 181.349788 98.3045) + (xy 181.485878 98.3045) + (xy 181.553999 98.324502) + (xy 181.55936 98.328581) + (xy 181.560402 98.32982) + (xy 181.613611 98.365239) + (xy 181.669839 98.402667) + (xy 181.680655 98.409867) + (xy 181.818541 98.452946) + (xy 181.962975 98.455593) + (xy 182.038088 98.435115) + (xy 182.093688 98.419957) + (xy 182.09369 98.419956) + (xy 182.102347 98.417596) + (xy 182.209828 98.351602) + (xy 182.217804 98.346705) + (xy 182.223374 98.343285) + (xy 182.223376 98.343284) + (xy 182.225452 98.342009) + (xy 182.225636 98.342309) + (xy 182.285544 98.316463) + (xy 182.355589 98.328047) + (xy 182.370658 98.336644) + (xy 182.469975 98.402754) + (xy 182.480655 98.409863) + (xy 182.618541 98.452942) + (xy 182.762975 98.455589) + (xy 182.838631 98.434963) + (xy 182.893688 98.419953) + (xy 182.89369 98.419952) + (xy 182.902347 98.417592) + (xy 183.025452 98.342005) + (xy 183.122395 98.234905) + (xy 183.163132 98.150824) + (xy 183.181467 98.11298) + (xy 183.181467 98.112979) + (xy 183.185381 98.104901) + (xy 183.209348 97.962444) + (xy 183.2095 97.949996) + (xy 183.201299 97.892733) + (xy 183.190295 97.815889) + (xy 183.190294 97.815886) + (xy 183.189021 97.806996) + (xy 183.181179 97.789747) + (xy 183.155601 97.733494) + (xy 183.129229 97.675492) + (xy 183.119754 97.664495) + (xy 183.09417 97.634804) + (xy 183.034933 97.566055) + (xy 182.913711 97.487483) + (xy 182.775309 97.446092) + (xy 182.766335 97.446037) + (xy 182.766333 97.446037) + (xy 182.759092 97.445993) + (xy 182.748697 97.44593) + (xy 182.6807 97.425513) + (xy 182.66037 97.409027) + (xy 182.437241 97.185898) + (xy 182.423928 97.169415) + (xy 182.421552 97.166804) + (xy 182.415901 97.158052) + (xy 182.390994 97.138417) + (xy 182.387163 97.135013) + (xy 182.38707 97.135123) + (xy 182.383113 97.13177) + (xy 182.379432 97.128089) + (xy 182.367909 97.119855) + (xy 182.36538 97.118047) + (xy 182.360634 97.114483) + (xy 182.331374 97.091416) + (xy 182.331372 97.091415) + (xy 182.323194 97.084968) + (xy 182.315125 97.082134) + (xy 182.308163 97.077159) + (xy 182.262465 97.063492) + (xy 182.25684 97.061665) + (xy 182.211813 97.045853) + (xy 182.207737 97.0455) + (xy 182.205028 97.0455) + (xy 182.202295 97.045382) + (xy 182.202301 97.045237) + (xy 182.201187 97.045167) + (xy 182.195062 97.043335) + (xy 182.146646 97.045237) + (xy 182.14242 97.045403) + (xy 182.137474 97.0455) + (xy 182.117659 97.0455) + (xy 182.049538 97.025498) + (xy 182.028564 97.008595) + (xy 181.127882 96.107913) + (xy 181.093856 96.045601) + (xy 181.098921 95.974786) + (xy 181.146975 95.914053) + (xy 181.156216 95.907878) + (xy 181.163861 95.90277) + (xy 181.55277 95.513861) + (xy 181.556206 95.508718) + (xy 181.556209 95.508715) + (xy 181.609023 95.429673) + (xy 181.612586 95.432054) + (xy 181.642339 95.395097) + (xy 181.645412 95.393419) + (xy 181.645358 95.393338) + (xy 181.7244 95.340524) + (xy 181.724403 95.340521) + (xy 181.729546 95.337085) + (xy 182.118455 94.948176) + (xy 182.121891 94.943033) + (xy 182.121894 94.94303) + (xy 182.174708 94.863988) + (xy 182.178264 94.866364) + (xy 182.208105 94.829357) + (xy 182.211093 94.827725) + (xy 182.211044 94.827652) + (xy 182.290086 94.774838) + (xy 182.290089 94.774835) + (xy 182.295232 94.771399) + (xy 182.684141 94.38249) + (xy 182.687577 94.377347) + (xy 182.68758 94.377344) + (xy 182.740394 94.298302) + (xy 182.743957 94.300683) + (xy 182.77371 94.263726) + (xy 182.776783 94.262048) + (xy 182.776729 94.261967) + (xy 182.855771 94.209153) + (xy 182.855774 94.20915) + (xy 182.860917 94.205714) + (xy 183.249826 93.816805) + (xy 183.253262 93.811662) + (xy 183.254281 93.810421) + (xy 183.312959 93.770454) + (xy 183.383931 93.768556) + (xy 183.444662 93.805329) + (xy 183.467005 93.83961) + (xy 183.475703 93.859377) + (xy 183.48148 93.86625) + (xy 183.481481 93.866251) + (xy 183.554592 93.953227) + (xy 183.568656 93.969958) + (xy 183.614192 94.000269) + (xy 183.662609 94.032498) + (xy 183.688909 94.050005) + (xy 183.826795 94.093084) + (xy 183.971229 94.095731) + (xy 184.057852 94.072115) + (xy 184.101942 94.060095) + (xy 184.101944 94.060094) + (xy 184.110601 94.057734) + (xy 184.184356 94.012448) + (xy 184.23276 93.982728) + (xy 184.301278 93.96413) + (xy 184.368508 93.985216) + (xy 184.469861 94.052682) + (xy 184.480655 94.059867) + (xy 184.618541 94.102946) + (xy 184.762975 94.105593) + (xy 184.848281 94.082336) + (xy 184.893688 94.069957) + (xy 184.89369 94.069956) + (xy 184.902347 94.067596) + (xy 185.025452 93.992009) + (xy 185.122395 93.884909) + (xy 185.164853 93.797276) + (xy 185.181467 93.762984) + (xy 185.181467 93.762983) + (xy 185.185381 93.754905) + (xy 185.209348 93.612448) + (xy 185.2095 93.6) + (xy 185.200927 93.540135) + (xy 185.190295 93.465893) + (xy 185.190294 93.46589) + (xy 185.189021 93.457) + (xy 185.185298 93.44881) + (xy 185.159186 93.391383) + (xy 185.129229 93.325496) + (xy 185.034933 93.216059) + (xy 185.014979 93.203126) + (xy 184.991508 93.183284) + (xy 184.991492 93.1833) + (xy 184.989551 93.181359) + (xy 184.987733 93.179377) + (xy 184.987842 93.179277) + (xy 184.987096 93.178431) + (xy 184.984061 93.172806) + (xy 184.94536 93.137031) + (xy 184.941794 93.133602) + (xy 184.765286 92.957094) + (xy 184.73126 92.894782) + (xy 184.736325 92.823967) + (xy 184.778872 92.767131) + (xy 184.82124 92.746436) + (xy 184.914588 92.720987) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 159.045002 89.694239) + (xy 159.049245 89.697074) + (xy 159.060257 89.704432) + (xy 159.075694 89.714747) + (xy 159.081869 89.715975) + (xy 159.124947 89.747137) + (xy 159.126412 89.748838) + (xy 159.13268 89.756734) + (xy 159.135415 89.760477) + (xy 159.141329 89.768572) + (xy 159.153104 89.780347) + (xy 159.159463 89.787195) + (xy 159.184209 89.815915) + (xy 159.184211 89.815917) + (xy 159.190067 89.822713) + (xy 159.197596 89.827593) + (xy 159.204364 89.833497) + (xy 159.204309 89.83356) + (xy 159.21483 89.842073) + (xy 159.462556 90.089799) + (xy 159.495501 90.147555) + (xy 159.536155 90.305893) + (xy 159.615796 90.450759) + (xy 159.62122 90.456535) + (xy 159.709628 90.550679) + (xy 159.728962 90.571268) + (xy 159.868542 90.659848) + (xy 159.876081 90.662298) + (xy 159.876084 90.662299) + (xy 160.018227 90.708484) + (xy 160.018229 90.708484) + (xy 160.025765 90.710933) + (xy 160.033674 90.711431) + (xy 160.033676 90.711431) + (xy 160.100908 90.715661) + (xy 160.190753 90.721314) + (xy 160.198537 90.719829) + (xy 160.198539 90.719829) + (xy 160.344734 90.691941) + (xy 160.415396 90.698824) + (xy 160.47112 90.742818) + (xy 160.494344 90.815709) + (xy 160.494344 93.382034) + (xy 160.474342 93.450155) + (xy 160.420686 93.496648) + (xy 160.402969 93.503183) + (xy 160.375552 93.511019) + (xy 160.291956 93.534911) + (xy 160.169783 93.611996) + (xy 160.163841 93.618724) + (xy 160.162192 93.620591) + (xy 160.074156 93.720273) + (xy 160.057323 93.756127) + (xy 160.038004 93.797276) + (xy 160.012763 93.851037) + (xy 160.011382 93.859906) + (xy 159.992119 93.983623) + (xy 159.990538 93.993775) + (xy 159.991702 94.002677) + (xy 159.991702 94.002679) + (xy 159.994446 94.023659) + (xy 160.003175 94.090407) + (xy 160.003306 94.091411) + (xy 159.992306 94.16155) + (xy 159.945132 94.214608) + (xy 159.912995 94.228898) + (xy 159.891956 94.234911) + (xy 159.769783 94.311996) + (xy 159.766108 94.316157) + (xy 159.702841 94.344372) + (xy 159.68602 94.3455) + (xy 156.048829 94.3455) + (xy 155.980708 94.325498) + (xy 155.959734 94.308595) + (xy 155.857908 94.206769) + (xy 155.823882 94.144457) + (xy 155.828947 94.073642) + (xy 155.871494 94.016806) + (xy 155.938014 93.991995) + (xy 155.947003 93.991674) + (xy 158.209699 93.991674) + (xy 158.230786 93.993918) + (xy 158.234303 93.994084) + (xy 158.244481 93.996275) + (xy 158.275979 93.992547) + (xy 158.281093 93.992245) + (xy 158.281081 93.992102) + (xy 158.286259 93.991674) + (xy 158.291456 93.991674) + (xy 158.301281 93.990039) + (xy 158.308499 93.988838) + (xy 158.314371 93.988003) + (xy 158.332871 93.985813) + (xy 158.361713 93.982399) + (xy 158.369422 93.978697) + (xy 158.377864 93.977292) + (xy 158.387031 93.972346) + (xy 158.387033 93.972345) + (xy 158.419847 93.954639) + (xy 158.42514 93.951942) + (xy 158.460986 93.93473) + (xy 158.460989 93.934728) + (xy 158.46813 93.931299) + (xy 158.471262 93.928667) + (xy 158.473207 93.926722) + (xy 158.475194 93.9249) + (xy 158.475293 93.925008) + (xy 158.476129 93.924271) + (xy 158.481756 93.921235) + (xy 158.48971 93.912631) + (xy 158.517519 93.882547) + (xy 158.520948 93.878981) + (xy 158.956469 93.44346) + (xy 158.972952 93.430147) + (xy 158.975563 93.427771) + (xy 158.984315 93.42212) + (xy 159.00395 93.397213) + (xy 159.007354 93.393382) + (xy 159.007244 93.393289) + (xy 159.010597 93.389332) + (xy 159.014278 93.385651) + (xy 159.02432 93.371599) + (xy 159.027884 93.366853) + (xy 159.050951 93.337593) + (xy 159.050952 93.337591) + (xy 159.057399 93.329413) + (xy 159.060233 93.321344) + (xy 159.065208 93.314382) + (xy 159.078272 93.270699) + (xy 159.078875 93.268684) + (xy 159.080702 93.263059) + (xy 159.096514 93.218032) + (xy 159.096867 93.213956) + (xy 159.096867 93.211247) + (xy 159.096985 93.208514) + (xy 159.09713 93.20852) + (xy 159.0972 93.207406) + (xy 159.099032 93.201281) + (xy 159.096964 93.148639) + (xy 159.096867 93.143693) + (xy 159.096867 92.894084) + (xy 159.099111 92.872997) + (xy 159.099277 92.86948) + (xy 159.101468 92.859302) + (xy 159.09774 92.827804) + (xy 159.097438 92.82269) + (xy 159.097295 92.822702) + (xy 159.096867 92.817524) + (xy 159.096867 92.812327) + (xy 159.095232 92.802502) + (xy 159.094031 92.795284) + (xy 159.093196 92.789412) + (xy 159.091275 92.773186) + (xy 159.087592 92.74207) + (xy 159.08389 92.734361) + (xy 159.082485 92.725919) + (xy 159.076755 92.715298) + (xy 159.059832 92.683936) + (xy 159.057135 92.678643) + (xy 159.039923 92.642797) + (xy 159.039921 92.642794) + (xy 159.036492 92.635653) + (xy 159.03386 92.632521) + (xy 159.031915 92.630576) + (xy 159.030093 92.628589) + (xy 159.030202 92.628489) + (xy 159.029461 92.627649) + (xy 159.026428 92.622027) + (xy 159.021499 92.617471) + (xy 158.997278 92.560318) + (xy 158.996819 92.557109) + (xy 158.992477 92.526795) + (xy 158.982663 92.458261) + (xy 158.982662 92.458258) + (xy 158.981389 92.449368) + (xy 158.977352 92.440488) + (xy 158.951676 92.384018) + (xy 158.921597 92.317864) + (xy 158.913218 92.308139) + (xy 158.840588 92.223848) + (xy 158.827301 92.208427) + (xy 158.821963 92.204967) + (xy 158.784487 92.146225) + (xy 158.7795 92.111128) + (xy 158.7795 89.835587) + (xy 158.799502 89.767466) + (xy 158.857281 89.719178) + (xy 158.862132 89.717169) + (xy 158.874306 89.714747) + (xy 158.904998 89.694239) + (xy 158.97275 89.673024) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 186.81613 87.744002) + (xy 186.862623 87.797658) + (xy 186.872727 87.867932) + (xy 186.843233 87.932512) + (xy 186.837104 87.939095) + (xy 184.027176 90.749023) + (xy 183.964864 90.783049) + (xy 183.937313 90.785926) + (xy 183.930298 90.785883) + (xy 183.917798 90.785807) + (xy 183.778901 90.825504) + (xy 183.656728 90.902589) + (xy 183.650786 90.909317) + (xy 183.6427 90.918473) + (xy 183.561101 91.010866) + (xy 183.556158 91.021395) + (xy 183.508127 91.123699) + (xy 183.499708 91.14163) + (xy 183.498327 91.150502) + (xy 183.498326 91.150504) + (xy 183.498087 91.152036) + (xy 183.497432 91.153428) + (xy 183.495702 91.159085) + (xy 183.494885 91.158835) + (xy 183.467841 91.216268) + (xy 183.40767 91.25395) + (xy 183.336678 91.25312) + (xy 183.284493 91.221742) + (xy 182.61343 90.550679) + (xy 182.608287 90.547243) + (xy 182.608284 90.54724) + (xy 182.539561 90.501321) + (xy 182.529242 90.494426) + (xy 182.429936 90.474673) + (xy 182.33063 90.494426) + (xy 182.320311 90.501321) + (xy 182.251588 90.54724) + (xy 182.251585 90.547243) + (xy 182.246442 90.550679) + (xy 181.857533 90.939588) + (xy 181.854097 90.944731) + (xy 181.854094 90.944734) + (xy 181.80128 91.023776) + (xy 181.797717 91.021395) + (xy 181.767964 91.058352) + (xy 181.764891 91.06003) + (xy 181.764945 91.060111) + (xy 181.685903 91.112925) + (xy 181.6859 91.112928) + (xy 181.680757 91.116364) + (xy 181.291848 91.505273) + (xy 181.288412 91.510416) + (xy 181.288409 91.510419) + (xy 181.235595 91.589461) + (xy 181.232039 91.587085) + (xy 181.202198 91.624092) + (xy 181.19921 91.625724) + (xy 181.199259 91.625797) + (xy 181.120217 91.678611) + (xy 181.120214 91.678614) + (xy 181.115071 91.68205) + (xy 180.726162 92.070959) + (xy 180.721373 92.078126) + (xy 180.714879 92.087845) + (xy 180.660402 92.133373) + (xy 180.589958 92.14222) + (xy 180.521019 92.106938) + (xy 180.283071 91.86899) + (xy 180.269758 91.852507) + (xy 180.267382 91.849896) + (xy 180.261731 91.841144) + (xy 180.236824 91.821509) + (xy 180.232993 91.818105) + (xy 180.2329 91.818215) + (xy 180.228943 91.814862) + (xy 180.225262 91.811181) + (xy 180.21121 91.801139) + (xy 180.206464 91.797575) + (xy 180.177204 91.774508) + (xy 180.177202 91.774507) + (xy 180.169024 91.76806) + (xy 180.160955 91.765226) + (xy 180.153993 91.760251) + (xy 180.108295 91.746584) + (xy 180.10267 91.744757) + (xy 180.057643 91.728945) + (xy 180.053567 91.728592) + (xy 180.050858 91.728592) + (xy 180.048125 91.728474) + (xy 180.048131 91.728329) + (xy 180.047017 91.728259) + (xy 180.040892 91.726427) + (xy 179.992476 91.728329) + (xy 179.98825 91.728495) + (xy 179.983304 91.728592) + (xy 176.976937 91.728592) + (xy 176.908816 91.70859) + (xy 176.862323 91.654934) + (xy 176.852219 91.58466) + (xy 176.881713 91.52008) + (xy 176.887842 91.513497) + (xy 178.928848 89.472491) + (xy 178.99116 89.438465) + (xy 179.041228 89.437756) + (xy 179.14702 89.45765) + (xy 179.154328 89.457312) + (xy 179.154331 89.457312) + (xy 179.315423 89.449856) + (xy 179.315427 89.449855) + (xy 179.322738 89.449517) + (xy 179.329776 89.447505) + (xy 179.329779 89.447505) + (xy 179.484834 89.40319) + (xy 179.484838 89.403188) + (xy 179.491872 89.401178) + (xy 179.629599 89.324048) + (xy 179.63897 89.3188) + (xy 179.64535 89.315227) + (xy 179.702331 89.262922) + (xy 179.76955 89.201219) + (xy 179.769551 89.201218) + (xy 179.774938 89.196273) + (xy 179.873685 89.050698) + (xy 179.936294 88.886311) + (xy 179.938156 88.872267) + (xy 179.958894 88.715794) + (xy 179.958894 88.715792) + (xy 179.959406 88.71193) + (xy 179.9595 88.7) + (xy 179.957558 88.683336) + (xy 179.939977 88.53255) + (xy 179.939129 88.525277) + (xy 179.908921 88.442055) + (xy 179.881607 88.366806) + (xy 179.87911 88.359927) + (xy 179.782662 88.212819) + (xy 179.654958 88.091845) + (xy 179.50285 88.003493) + (xy 179.495848 88.001372) + (xy 179.495845 88.001371) + (xy 179.394214 87.97059) + (xy 179.334816 87.931701) + (xy 179.305873 87.866872) + (xy 179.316573 87.796686) + (xy 179.36352 87.743427) + (xy 179.430738 87.724) + (xy 186.748009 87.724) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 177.752902 87.744002) + (xy 177.799395 87.797658) + (xy 177.809499 87.867932) + (xy 177.780005 87.932512) + (xy 177.720984 87.970469) + (xy 177.715562 87.971401) + (xy 177.555455 88.039527) + (xy 177.555454 88.039527) + (xy 177.553699 88.040274) + (xy 177.553571 88.039973) + (xy 177.488537 88.055389) + (xy 177.421568 88.031816) + (xy 177.397552 88.009203) + (xy 177.382693 87.990984) + (xy 177.37405 87.982282) + (xy 177.331505 87.947085) + (xy 177.291767 87.888251) + (xy 177.290146 87.817273) + (xy 177.327155 87.756685) + (xy 177.391045 87.725725) + (xy 177.411821 87.724) + (xy 177.684781 87.724) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 147.942412 105.824502) + (xy 147.988905 105.878158) + (xy 147.999009 105.948432) + (xy 147.969515 106.013012) + (xy 147.948352 106.032436) + (xy 147.852559 106.102034) + (xy 147.841092 106.112802) + (xy 147.745264 106.228639) + (xy 147.736835 106.241921) + (xy 147.672827 106.377945) + (xy 147.667964 106.392913) + (xy 147.6536 106.468206) + (xy 147.654871 106.481245) + (xy 147.669793 106.486) + (xy 150.581747 106.486) + (xy 150.595624 106.481925) + (xy 150.597661 106.468574) + (xy 150.593165 106.432984) + (xy 150.589254 106.417751) + (xy 150.533909 106.277966) + (xy 150.526332 106.264184) + (xy 150.437966 106.142559) + (xy 150.427198 106.131092) + (xy 150.305253 106.030211) + (xy 150.306619 106.02856) + (xy 150.267118 105.983497) + (xy 150.256612 105.913282) + (xy 150.285735 105.848534) + (xy 150.345241 105.809809) + (xy 150.38143 105.8045) + (xy 151.066666 105.8045) + (xy 151.134787 105.824502) + (xy 151.18128 105.878158) + (xy 151.191384 105.948432) + (xy 151.158516 106.016752) + (xy 151.103953 106.074856) + (xy 151.024312 106.219722) + (xy 150.9832 106.379843) + (xy 150.9832 106.545157) + (xy 151.024312 106.705278) + (xy 151.028131 106.712224) + (xy 151.080524 106.807528) + (xy 151.095813 106.876859) + (xy 151.071192 106.94345) + (xy 151.059205 106.957324) + (xy 150.895201 107.121329) + (xy 150.785938 107.230592) + (xy 150.723626 107.264617) + (xy 150.65281 107.259553) + (xy 150.595974 107.217006) + (xy 150.571163 107.150486) + (xy 150.577009 107.10256) + (xy 150.582036 107.08709) + (xy 150.5964 107.011794) + (xy 150.595129 106.998755) + (xy 150.580207 106.994) + (xy 147.668253 106.994) + (xy 147.654376 106.998075) + (xy 147.652339 107.011426) + (xy 147.656835 107.047016) + (xy 147.660746 107.062249) + (xy 147.716091 107.202034) + (xy 147.723668 107.215816) + (xy 147.812034 107.337441) + (xy 147.822802 107.348908) + (xy 147.944747 107.449789) + (xy 147.942943 107.45197) + (xy 147.980847 107.495213) + (xy 147.991352 107.565428) + (xy 147.970308 107.617702) + (xy 147.968708 107.619302) + (xy 147.910542 107.733458) + (xy 147.8955 107.828431) + (xy 147.8955 108.191569) + (xy 147.910542 108.286542) + (xy 147.968708 108.400698) + (xy 148.059302 108.491292) + (xy 148.121589 108.523029) + (xy 148.140634 108.532733) + (xy 148.192249 108.581482) + (xy 148.209315 108.650397) + (xy 148.186414 108.717598) + (xy 148.140634 108.757267) + (xy 148.059302 108.798708) + (xy 147.968708 108.889302) + (xy 147.916561 108.991645) + (xy 147.915872 108.992998) + (xy 147.867123 109.044613) + (xy 147.798209 109.061679) + (xy 147.731007 109.038778) + (xy 147.712435 109.022767) + (xy 147.62683 108.93303) + (xy 147.618873 108.92599) + (xy 147.442523 108.794782) + (xy 147.433486 108.789178) + (xy 147.23755 108.689559) + (xy 147.227699 108.685559) + (xy 147.017778 108.620378) + (xy 147.007396 108.618095) + (xy 146.991959 108.616049) + (xy 146.977792 108.618246) + (xy 146.974 108.63143) + (xy 146.974 111.269941) + (xy 146.978151 111.284079) + (xy 146.988798 111.285774) + (xy 146.992192 111.285096) + (xy 147.203333 111.223954) + (xy 147.213259 111.220143) + (xy 147.411065 111.124307) + (xy 147.420212 111.118876) + (xy 147.599041 110.991083) + (xy 147.607149 110.984182) + (xy 147.73794 110.852245) + (xy 147.800103 110.817948) + (xy 147.87094 110.822704) + (xy 147.927961 110.865003) + (xy 147.939689 110.883747) + (xy 147.961009 110.925588) + (xy 147.968708 110.940698) + (xy 148.059302 111.031292) + (xy 148.173458 111.089458) + (xy 148.268431 111.1045) + (xy 149.125971 111.1045) + (xy 149.194092 111.124502) + (xy 149.215066 111.141405) + (xy 149.554566 111.480905) + (xy 149.588592 111.543217) + (xy 149.583527 111.614032) + (xy 149.54098 111.670868) + (xy 149.47446 111.695679) + (xy 149.465471 111.696) + (xy 138.371661 111.696) + (xy 138.30354 111.675998) + (xy 138.257047 111.622342) + (xy 138.246943 111.552068) + (xy 138.276437 111.487488) + (xy 138.282566 111.480905) + (xy 138.667066 111.096405) + (xy 138.729378 111.062379) + (xy 138.756161 111.0595) + (xy 139.95 111.0595) + (xy 140.049306 111.039747) + (xy 140.133494 110.983494) + (xy 140.189747 110.899306) + (xy 140.2095 110.8) + (xy 140.2095 110.052485) + (xy 140.535243 110.052485) + (xy 140.574554 110.259541) + (xy 140.652309 110.455427) + (xy 140.655533 110.460477) + (xy 140.655534 110.46048) + (xy 140.695241 110.522687) + (xy 140.765702 110.633076) + (xy 140.910642 110.786079) + (xy 140.915507 110.789569) + (xy 140.91551 110.789571) + (xy 141.037563 110.877113) + (xy 141.081899 110.908913) + (xy 141.11807 110.925588) + (xy 141.251301 110.987008) + (xy 141.273294 110.997147) + (xy 141.27911 110.998581) + (xy 141.279113 110.998582) + (xy 141.373566 111.021869) + (xy 141.477921 111.047598) + (xy 141.483911 111.047907) + (xy 141.483913 111.047907) + (xy 141.55535 111.051588) + (xy 141.688396 111.058444) + (xy 141.694331 111.057615) + (xy 141.694335 111.057615) + (xy 141.882824 111.031292) + (xy 141.897124 111.029295) + (xy 142.061496 110.973178) + (xy 142.090896 110.963141) + (xy 142.090898 110.96314) + (xy 142.096575 110.961202) + (xy 142.23012 110.884875) + (xy 142.274344 110.859599) + (xy 142.274347 110.859597) + (xy 142.279552 110.856622) + (xy 142.284101 110.852716) + (xy 142.284104 110.852714) + (xy 142.4349 110.723237) + (xy 142.434902 110.723235) + (xy 142.439451 110.719329) + (xy 142.458273 110.695625) + (xy 142.53936 110.593502) + (xy 142.570505 110.554277) + (xy 142.597499 110.502533) + (xy 142.66521 110.372739) + (xy 142.665211 110.372736) + (xy 142.667984 110.367421) + (xy 142.72837 110.165503) + (xy 142.728995 110.159302) + (xy 142.73975 110.052485) + (xy 143.075243 110.052485) + (xy 143.114554 110.259541) + (xy 143.192309 110.455427) + (xy 143.195533 110.460477) + (xy 143.195534 110.46048) + (xy 143.235241 110.522687) + (xy 143.305702 110.633076) + (xy 143.450642 110.786079) + (xy 143.455507 110.789569) + (xy 143.45551 110.789571) + (xy 143.577563 110.877113) + (xy 143.621899 110.908913) + (xy 143.65807 110.925588) + (xy 143.791301 110.987008) + (xy 143.813294 110.997147) + (xy 143.81911 110.998581) + (xy 143.819113 110.998582) + (xy 143.913566 111.021869) + (xy 144.017921 111.047598) + (xy 144.023911 111.047907) + (xy 144.023913 111.047907) + (xy 144.09535 111.051588) + (xy 144.228396 111.058444) + (xy 144.234331 111.057615) + (xy 144.234335 111.057615) + (xy 144.422824 111.031292) + (xy 144.437124 111.029295) + (xy 144.601496 110.973178) + (xy 144.630896 110.963141) + (xy 144.630898 110.96314) + (xy 144.636575 110.961202) + (xy 144.77012 110.884875) + (xy 144.814344 110.859599) + (xy 144.814347 110.859597) + (xy 144.819552 110.856622) + (xy 144.824101 110.852716) + (xy 144.824104 110.852714) + (xy 144.9749 110.723237) + (xy 144.974902 110.723235) + (xy 144.979451 110.719329) + (xy 144.998273 110.695625) + (xy 145.07936 110.593502) + (xy 145.110505 110.554277) + (xy 145.20465 110.373812) + (xy 145.253889 110.32267) + (xy 145.322964 110.306266) + (xy 145.389943 110.329811) + (xy 145.435602 110.391383) + (xy 145.47023 110.49281) + (xy 145.474497 110.502533) + (xy 145.579556 110.695625) + (xy 145.585402 110.704491) + (xy 145.721486 110.877113) + (xy 145.72875 110.884875) + (xy 145.891967 111.032094) + (xy 145.900444 111.038528) + (xy 146.086122 111.156136) + (xy 146.095567 111.161053) + (xy 146.298406 111.245694) + (xy 146.308545 111.24895) + (xy 146.448345 111.281096) + (xy 146.462422 111.280257) + (xy 146.466 111.270999) + (xy 146.466 108.633717) + (xy 146.462027 108.620186) + (xy 146.45142 108.618661) + (xy 146.333554 108.643391) + (xy 146.323358 108.646451) + (xy 146.118932 108.727182) + (xy 146.109396 108.731916) + (xy 145.921486 108.845942) + (xy 145.912896 108.852206) + (xy 145.746884 108.996264) + (xy 145.739464 109.003895) + (xy 145.6001 109.17386) + (xy 145.594075 109.182627) + (xy 145.485342 109.373644) + (xy 145.480877 109.383308) + (xy 145.43443 109.511267) + (xy 145.392386 109.568475) + (xy 145.326086 109.593871) + (xy 145.256582 109.579391) + (xy 145.203975 109.525968) + (xy 145.192908 109.504479) + (xy 145.161457 109.443414) + (xy 145.116402 109.355934) + (xy 145.1164 109.355931) + (xy 145.113656 109.350603) + (xy 145.065296 109.289038) + (xy 144.987175 109.189584) + (xy 144.987171 109.189579) + (xy 144.983469 109.184867) + (xy 144.945975 109.152331) + (xy 144.897323 109.110114) + (xy 144.82429 109.046739) + (xy 144.67476 108.960234) + (xy 144.647062 108.94421) + (xy 144.647061 108.94421) + (xy 144.641864 108.941203) + (xy 144.636195 108.939234) + (xy 144.636192 108.939233) + (xy 144.448442 108.874035) + (xy 144.442772 108.872066) + (xy 144.436834 108.871205) + (xy 144.240139 108.842686) + (xy 144.240136 108.842686) + (xy 144.234199 108.841825) + (xy 144.02367 108.851569) + (xy 144.017846 108.852973) + (xy 144.017843 108.852973) + (xy 143.824615 108.899541) + (xy 143.824613 108.899542) + (xy 143.818782 108.900947) + (xy 143.813324 108.903429) + (xy 143.81332 108.90343) + (xy 143.733459 108.939741) + (xy 143.626928 108.988178) + (xy 143.45503 109.110114) + (xy 143.428463 109.137866) + (xy 143.336962 109.23345) + (xy 143.309291 109.262355) + (xy 143.194969 109.439408) + (xy 143.187425 109.458127) + (xy 143.1199 109.62568) + (xy 143.11619 109.634885) + (xy 143.11504 109.640775) + (xy 143.078734 109.826684) + (xy 143.075795 109.841732) + (xy 143.075779 109.847718) + (xy 143.075779 109.847721) + (xy 143.075617 109.909603) + (xy 143.075243 110.052485) + (xy 142.73975 110.052485) + (xy 142.740028 110.049724) + (xy 142.749485 109.955809) + (xy 142.7495 109.95) + (xy 142.746572 109.919305) + (xy 142.731925 109.765792) + (xy 142.729483 109.740199) + (xy 142.670155 109.537967) + (xy 142.667411 109.532639) + (xy 142.576402 109.355934) + (xy 142.5764 109.355931) + (xy 142.573656 109.350603) + (xy 142.525296 109.289038) + (xy 142.447175 109.189584) + (xy 142.447171 109.189579) + (xy 142.443469 109.184867) + (xy 142.405975 109.152331) + (xy 142.357323 109.110114) + (xy 142.28429 109.046739) + (xy 142.13476 108.960234) + (xy 142.107062 108.94421) + (xy 142.107061 108.94421) + (xy 142.101864 108.941203) + (xy 142.096195 108.939234) + (xy 142.096192 108.939233) + (xy 141.908442 108.874035) + (xy 141.902772 108.872066) + (xy 141.896834 108.871205) + (xy 141.700139 108.842686) + (xy 141.700136 108.842686) + (xy 141.694199 108.841825) + (xy 141.48367 108.851569) + (xy 141.477846 108.852973) + (xy 141.477843 108.852973) + (xy 141.284615 108.899541) + (xy 141.284613 108.899542) + (xy 141.278782 108.900947) + (xy 141.273324 108.903429) + (xy 141.27332 108.90343) + (xy 141.193459 108.939741) + (xy 141.086928 108.988178) + (xy 140.91503 109.110114) + (xy 140.888463 109.137866) + (xy 140.796962 109.23345) + (xy 140.769291 109.262355) + (xy 140.654969 109.439408) + (xy 140.647425 109.458127) + (xy 140.5799 109.62568) + (xy 140.57619 109.634885) + (xy 140.57504 109.640775) + (xy 140.538734 109.826684) + (xy 140.535795 109.841732) + (xy 140.535779 109.847718) + (xy 140.535779 109.847721) + (xy 140.535617 109.909603) + (xy 140.535243 110.052485) + (xy 140.2095 110.052485) + (xy 140.2095 109.394029) + (xy 140.229502 109.325908) + (xy 140.246405 109.304934) + (xy 140.814102 108.737237) + (xy 140.830585 108.723924) + (xy 140.833196 108.721548) + (xy 140.841948 108.715897) + (xy 140.861583 108.69099) + (xy 140.864987 108.687159) + (xy 140.864877 108.687066) + (xy 140.86823 108.683109) + (xy 140.871911 108.679428) + (xy 140.881953 108.665376) + (xy 140.885517 108.66063) + (xy 140.908584 108.63137) + (xy 140.908585 108.631368) + (xy 140.915032 108.62319) + (xy 140.917866 108.615121) + (xy 140.922841 108.608159) + (xy 140.936508 108.562461) + (xy 140.938335 108.556836) + (xy 140.954147 108.511809) + (xy 140.9545 108.507733) + (xy 140.9545 108.505024) + (xy 140.954618 108.502291) + (xy 140.954763 108.502297) + (xy 140.954833 108.501183) + (xy 140.956665 108.495058) + (xy 140.954597 108.442416) + (xy 140.9545 108.43747) + (xy 140.9545 107.6805) + (xy 140.974502 107.612379) + (xy 141.028158 107.565886) + (xy 141.0805 107.5545) + (xy 145.560878 107.5545) + (xy 145.628999 107.574502) + (xy 145.63436 107.578581) + (xy 145.635402 107.57982) + (xy 145.660768 107.596705) + (xy 145.745491 107.653101) + (xy 145.755655 107.659867) + (xy 145.893541 107.702946) + (xy 146.037975 107.705593) + (xy 146.113319 107.685052) + (xy 146.168688 107.669957) + (xy 146.16869 107.669956) + (xy 146.177347 107.667596) + (xy 146.300452 107.592009) + (xy 146.397395 107.484909) + (xy 146.448471 107.379487) + (xy 146.456467 107.362984) + (xy 146.456467 107.362983) + (xy 146.460381 107.354905) + (xy 146.484348 107.212448) + (xy 146.4845 107.2) + (xy 146.476104 107.14137) + (xy 146.465295 107.065893) + (xy 146.465294 107.06589) + (xy 146.464021 107.057) + (xy 146.460178 107.048546) + (xy 146.43063 106.983561) + (xy 146.404229 106.925496) + (xy 146.393932 106.913545) + (xy 146.326781 106.835612) + (xy 146.309933 106.816059) + (xy 146.188711 106.737487) + (xy 146.050309 106.696096) + (xy 146.041333 106.696041) + (xy 146.041332 106.696041) + (xy 145.980055 106.695667) + (xy 145.905853 106.695214) + (xy 145.766956 106.734911) + (xy 145.644783 106.811996) + (xy 145.641108 106.816157) + (xy 145.577841 106.844372) + (xy 145.56102 106.8455) + (xy 141.013981 106.8455) + (xy 140.94586 106.825498) + (xy 140.93794 106.819549) + (xy 140.934933 106.816059) + (xy 140.813711 106.737487) + (xy 140.675309 106.696096) + (xy 140.666333 106.696041) + (xy 140.666332 106.696041) + (xy 140.605055 106.695667) + (xy 140.530853 106.695214) + (xy 140.391956 106.734911) + (xy 140.269783 106.811996) + (xy 140.174156 106.920273) + (xy 140.151208 106.969152) + (xy 140.123744 107.027649) + (xy 140.112763 107.051037) + (xy 140.111382 107.059906) + (xy 140.092034 107.184168) + (xy 140.090538 107.193775) + (xy 140.091702 107.202677) + (xy 140.091702 107.20268) + (xy 140.108104 107.32811) + (xy 140.108105 107.328114) + (xy 140.109269 107.337015) + (xy 140.128208 107.380058) + (xy 140.162608 107.458236) + (xy 140.167449 107.469239) + (xy 140.215952 107.52694) + (xy 140.244472 107.591954) + (xy 140.2455 107.608014) + (xy 140.2455 108.250971) + (xy 140.225498 108.319092) + (xy 140.208595 108.340066) + (xy 139.745066 108.803595) + (xy 139.682754 108.837621) + (xy 139.655971 108.8405) + (xy 138.25 108.8405) + (xy 138.150694 108.860253) + (xy 138.066506 108.916506) + (xy 138.010253 109.000694) + (xy 137.9905 109.1) + (xy 137.9905 110.29384) + (xy 137.970498 110.361961) + (xy 137.953595 110.382935) + (xy 137.427845 110.908685) + (xy 137.365533 110.942711) + (xy 137.33875 110.94559) + (xy 135.7755 110.94559) + (xy 135.707379 110.925588) + (xy 135.660886 110.871932) + (xy 135.6495 110.81959) + (xy 135.6495 109.904029) + (xy 135.669502 109.835908) + (xy 135.686405 109.814934) + (xy 139.109934 106.391405) + (xy 139.172246 106.357379) + (xy 139.199029 106.3545) + (xy 145.898283 106.3545) + (xy 145.91937 106.356744) + (xy 145.922887 106.35691) + (xy 145.933065 106.359101) + (xy 145.964563 106.355373) + (xy 145.969677 106.355071) + (xy 145.969665 106.354928) + (xy 145.974843 106.3545) + (xy 145.98004 106.3545) + (xy 145.989865 106.352865) + (xy 145.997083 106.351664) + (xy 146.002955 106.350829) + (xy 146.011138 106.34986) + (xy 146.050297 106.345225) + (xy 146.058006 106.341523) + (xy 146.066448 106.340118) + (xy 146.075615 106.335172) + (xy 146.075617 106.335171) + (xy 146.108431 106.317465) + (xy 146.113724 106.314768) + (xy 146.14957 106.297556) + (xy 146.149573 106.297554) + (xy 146.156714 106.294125) + (xy 146.159846 106.291493) + (xy 146.161791 106.289548) + (xy 146.163778 106.287726) + (xy 146.163877 106.287834) + (xy 146.164713 106.287097) + (xy 146.17034 106.284061) + (xy 146.185875 106.267256) + (xy 146.206103 106.245373) + (xy 146.209532 106.241807) + (xy 146.609934 105.841405) + (xy 146.672246 105.807379) + (xy 146.699029 105.8045) + (xy 147.874291 105.8045) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 160.435197 108.920055) + (xy 160.492033 108.962602) + (xy 160.516844 109.029122) + (xy 160.517165 109.038111) + (xy 160.517165 109.289038) + (xy 160.514921 109.310125) + (xy 160.514755 109.313642) + (xy 160.512564 109.32382) + (xy 160.516292 109.355315) + (xy 160.516594 109.360432) + (xy 160.516737 109.36042) + (xy 160.517165 109.365598) + (xy 160.517165 109.370795) + (xy 160.518018 109.375919) + (xy 160.520001 109.387838) + (xy 160.520836 109.39371) + (xy 160.52644 109.441052) + (xy 160.530142 109.448761) + (xy 160.531547 109.457203) + (xy 160.536493 109.46637) + (xy 160.536494 109.466372) + (xy 160.5542 109.499186) + (xy 160.556897 109.504479) + (xy 160.574109 109.540325) + (xy 160.574111 109.540328) + (xy 160.57754 109.547469) + (xy 160.580172 109.550601) + (xy 160.582117 109.552546) + (xy 160.583939 109.554533) + (xy 160.583831 109.554632) + (xy 160.584568 109.555468) + (xy 160.587604 109.561095) + (xy 160.595253 109.568166) + (xy 160.595254 109.568167) + (xy 160.626292 109.596858) + (xy 160.629858 109.600287) + (xy 162.510476 111.480905) + (xy 162.544502 111.543217) + (xy 162.539437 111.614032) + (xy 162.49689 111.670868) + (xy 162.43037 111.695679) + (xy 162.421381 111.696) + (xy 157.859276 111.696) + (xy 157.791155 111.675998) + (xy 157.744662 111.622342) + (xy 157.734558 111.552068) + (xy 157.764052 111.487488) + (xy 157.770181 111.480905) + (xy 160.30207 108.949016) + (xy 160.364382 108.91499) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 199.038121 87.744002) + (xy 199.084614 87.797658) + (xy 199.096 87.85) + (xy 199.096 111.57) + (xy 199.075998 111.638121) + (xy 199.022342 111.684614) + (xy 198.97 111.696) + (xy 191.667722 111.696) + (xy 191.599601 111.675998) + (xy 191.553108 111.622342) + (xy 191.543004 111.552068) + (xy 191.572498 111.487488) + (xy 191.578627 111.480905) + (xy 193.476559 109.582973) + (xy 193.538871 109.548947) + (xy 193.609686 109.554012) + (xy 193.666522 109.596559) + (xy 193.682804 109.62568) + (xy 193.686256 109.634398) + (xy 193.68626 109.634406) + (xy 193.687714 109.638079) + (xy 193.689615 109.641538) + (xy 193.689617 109.641541) + (xy 193.709501 109.677709) + (xy 193.84232 109.919305) + (xy 193.844648 109.922509) + (xy 193.844651 109.922514) + (xy 194.023105 110.168135) + (xy 194.030953 110.178937) + (xy 194.25064 110.412879) + (xy 194.497915 110.617443) + (xy 194.768878 110.789402) + (xy 194.772457 110.791086) + (xy 194.772464 110.79109) + (xy 195.055668 110.924355) + (xy 195.055672 110.924357) + (xy 195.059258 110.926044) + (xy 195.06303 110.92727) + (xy 195.063031 110.92727) + (xy 195.125936 110.947709) + (xy 195.364473 111.025214) + (xy 195.679711 111.085349) + (xy 195.769674 111.091009) + (xy 195.918533 111.100375) + (xy 195.918549 111.100376) + (xy 195.920528 111.1005) + (xy 196.079472 111.1005) + (xy 196.081451 111.100376) + (xy 196.081467 111.100375) + (xy 196.230326 111.091009) + (xy 196.320289 111.085349) + (xy 196.635527 111.025214) + (xy 196.874064 110.947709) + (xy 196.936969 110.92727) + (xy 196.93697 110.92727) + (xy 196.940742 110.926044) + (xy 196.944328 110.924357) + (xy 196.944332 110.924355) + (xy 197.227536 110.79109) + (xy 197.227543 110.791086) + (xy 197.231122 110.789402) + (xy 197.502085 110.617443) + (xy 197.74936 110.412879) + (xy 197.969047 110.178937) + (xy 197.976895 110.168135) + (xy 198.155349 109.922514) + (xy 198.155352 109.922509) + (xy 198.15768 109.919305) + (xy 198.290499 109.677709) + (xy 198.310383 109.641541) + (xy 198.310385 109.641538) + (xy 198.312286 109.638079) + (xy 198.396097 109.426396) + (xy 198.428967 109.343376) + (xy 198.428968 109.343373) + (xy 198.430425 109.339693) + (xy 198.431409 109.335859) + (xy 198.431412 109.335851) + (xy 198.491907 109.100235) + (xy 198.510235 109.028853) + (xy 198.511596 109.018084) + (xy 198.532454 108.852973) + (xy 198.550457 108.710461) + (xy 198.550457 108.389539) + (xy 198.521801 108.1627) + (xy 198.510732 108.075079) + (xy 198.510731 108.075075) + (xy 198.510235 108.071147) + (xy 198.467091 107.90311) + (xy 198.431412 107.764149) + (xy 198.431409 107.764141) + (xy 198.430425 107.760307) + (xy 198.426466 107.750306) + (xy 198.363791 107.592009) + (xy 198.312286 107.461921) + (xy 198.306816 107.45197) + (xy 198.232329 107.31648) + (xy 198.15768 107.180695) + (xy 198.154795 107.176723) + (xy 197.971375 106.924267) + (xy 197.971374 106.924265) + (xy 197.969047 106.921063) + (xy 197.74936 106.687121) + (xy 197.532341 106.507587) + (xy 197.505134 106.485079) + (xy 197.50513 106.485076) + (xy 197.502085 106.482557) + (xy 197.274291 106.337994) + (xy 197.234469 106.312722) + (xy 197.234468 106.312721) + (xy 197.231122 106.310598) + (xy 197.227543 106.308914) + (xy 197.227536 106.30891) + (xy 196.944332 106.175645) + (xy 196.944328 106.175643) + (xy 196.940742 106.173956) + (xy 196.913382 106.165066) + (xy 196.797084 106.127279) + (xy 196.635527 106.074786) + (xy 196.320289 106.014651) + (xy 196.217913 106.00821) + (xy 196.081467 105.999625) + (xy 196.081451 105.999624) + (xy 196.079472 105.9995) + (xy 195.920528 105.9995) + (xy 195.918534 105.999625) + (xy 195.917404 105.999661) + (xy 195.848688 105.981811) + (xy 195.80053 105.929644) + (xy 195.789187 105.852819) + (xy 195.797097 105.8058) + (xy 195.797904 105.801004) + (xy 195.798056 105.788556) + (xy 195.77846 105.651722) + (xy 195.788603 105.581454) + (xy 195.835125 105.527824) + (xy 195.870042 105.512298) + (xy 195.891263 105.506512) + (xy 196.014368 105.430925) + (xy 196.020394 105.424267) + (xy 196.022558 105.422471) + (xy 196.087747 105.394348) + (xy 196.103043 105.393416) + (xy 196.134367 105.393416) + (xy 196.155454 105.39566) + (xy 196.158971 105.395826) + (xy 196.169149 105.398017) + (xy 196.200647 105.394289) + (xy 196.205761 105.393987) + (xy 196.205749 105.393844) + (xy 196.210927 105.393416) + (xy 196.216124 105.393416) + (xy 196.226561 105.391679) + (xy 196.233167 105.39058) + (xy 196.239039 105.389745) + (xy 196.247222 105.388776) + (xy 196.286381 105.384141) + (xy 196.29409 105.380439) + (xy 196.302532 105.379034) + (xy 196.311699 105.374088) + (xy 196.311701 105.374087) + (xy 196.344515 105.356381) + (xy 196.349808 105.353684) + (xy 196.385654 105.336472) + (xy 196.385657 105.33647) + (xy 196.392798 105.333041) + (xy 196.39593 105.330409) + (xy 196.397875 105.328464) + (xy 196.399862 105.326642) + (xy 196.399961 105.32675) + (xy 196.400797 105.326013) + (xy 196.406424 105.322977) + (xy 196.416069 105.312544) + (xy 196.442187 105.284289) + (xy 196.445616 105.280723) + (xy 197.259934 104.466405) + (xy 197.322246 104.432379) + (xy 197.349029 104.4295) + (xy 197.551569 104.4295) + (xy 197.646542 104.414458) + (xy 197.760698 104.356292) + (xy 197.851292 104.265698) + (xy 197.909458 104.151542) + (xy 197.9245 104.056569) + (xy 197.9245 102.243431) + (xy 197.909458 102.148458) + (xy 197.851292 102.034302) + (xy 197.760698 101.943708) + (xy 197.646542 101.885542) + (xy 197.551569 101.8705) + (xy 197.188431 101.8705) + (xy 197.093458 101.885542) + (xy 196.979302 101.943708) + (xy 196.888708 102.034302) + (xy 196.855233 102.1) + (xy 196.847267 102.115634) + (xy 196.798518 102.167249) + (xy 196.729603 102.184315) + (xy 196.662402 102.161414) + (xy 196.622733 102.115634) + (xy 196.614767 102.1) + (xy 196.581292 102.034302) + (xy 196.490698 101.943708) + (xy 196.376542 101.885542) + (xy 196.281569 101.8705) + (xy 195.918431 101.8705) + (xy 195.823458 101.885542) + (xy 195.709302 101.943708) + (xy 195.618708 102.034302) + (xy 195.585233 102.1) + (xy 195.577267 102.115634) + (xy 195.528518 102.167249) + (xy 195.459603 102.184315) + (xy 195.392402 102.161414) + (xy 195.352733 102.115634) + (xy 195.344767 102.1) + (xy 195.311292 102.034302) + (xy 195.220698 101.943708) + (xy 195.106542 101.885542) + (xy 195.011569 101.8705) + (xy 194.648431 101.8705) + (xy 194.553458 101.885542) + (xy 194.439302 101.943708) + (xy 194.348708 102.034302) + (xy 194.315233 102.1) + (xy 194.307267 102.115634) + (xy 194.258518 102.167249) + (xy 194.189603 102.184315) + (xy 194.122402 102.161414) + (xy 194.082733 102.115634) + (xy 194.074767 102.1) + (xy 194.041292 102.034302) + (xy 193.950698 101.943708) + (xy 193.836542 101.885542) + (xy 193.741569 101.8705) + (xy 193.378431 101.8705) + (xy 193.378431 101.870323) + (xy 193.31091 101.856136) + (xy 193.260353 101.806291) + (xy 193.24451 101.745123) + (xy 193.24451 98.809019) + (xy 193.264512 98.740898) + (xy 193.281415 98.719924) + (xy 196.314102 95.687237) + (xy 196.330585 95.673924) + (xy 196.333196 95.671548) + (xy 196.341948 95.665897) + (xy 196.361583 95.64099) + (xy 196.364987 95.637159) + (xy 196.364877 95.637066) + (xy 196.36823 95.633109) + (xy 196.371911 95.629428) + (xy 196.381953 95.615376) + (xy 196.385517 95.61063) + (xy 196.408584 95.58137) + (xy 196.408585 95.581368) + (xy 196.415032 95.57319) + (xy 196.417866 95.565121) + (xy 196.422841 95.558159) + (xy 196.436508 95.512461) + (xy 196.438335 95.506836) + (xy 196.454147 95.461809) + (xy 196.4545 95.457733) + (xy 196.4545 95.455024) + (xy 196.454618 95.452291) + (xy 196.454763 95.452297) + (xy 196.454833 95.451183) + (xy 196.456665 95.445058) + (xy 196.454597 95.392416) + (xy 196.4545 95.38747) + (xy 196.4545 92.349135) + (xy 196.474502 92.281014) + (xy 196.528158 92.234521) + (xy 196.598432 92.224417) + (xy 196.619436 92.229302) + (xy 196.668884 92.245369) + (xy 196.668886 92.245369) + (xy 196.676422 92.247818) + (xy 196.684331 92.248316) + (xy 196.684333 92.248316) + (xy 196.758916 92.253009) + (xy 196.84141 92.258199) + (xy 196.86589 92.253529) + (xy 196.936551 92.260412) + (xy 196.992275 92.304405) + (xy 197.0155 92.377297) + (xy 197.0155 97.180971) + (xy 196.995498 97.249092) + (xy 196.978595 97.270066) + (xy 195.715231 98.53343) + (xy 195.652919 98.567456) + (xy 195.625368 98.570333) + (xy 195.618353 98.57029) + (xy 195.605853 98.570214) + (xy 195.466956 98.609911) + (xy 195.344783 98.686996) + (xy 195.338841 98.693724) + (xy 195.29771 98.740296) + (xy 195.249156 98.795273) + (xy 195.187763 98.926037) + (xy 195.186382 98.934906) + (xy 195.170448 99.037243) + (xy 195.165538 99.068775) + (xy 195.166702 99.077677) + (xy 195.166702 99.07768) + (xy 195.183104 99.20311) + (xy 195.183105 99.203114) + (xy 195.184269 99.212015) + (xy 195.187886 99.220235) + (xy 195.233288 99.323418) + (xy 195.242449 99.344239) + (xy 195.248226 99.351112) + (xy 195.248227 99.351113) + (xy 195.318669 99.434914) + (xy 195.335402 99.45482) + (xy 195.342879 99.459797) + (xy 195.437616 99.522859) + (xy 195.455655 99.534867) + (xy 195.593541 99.577946) + (xy 195.737975 99.580593) + (xy 195.802788 99.562923) + (xy 195.868688 99.544957) + (xy 195.86869 99.544956) + (xy 195.877347 99.542596) + (xy 196.000452 99.467009) + (xy 196.097395 99.359909) + (xy 196.160381 99.229905) + (xy 196.181805 99.102566) + (xy 196.216963 99.034376) + (xy 197.584102 97.667237) + (xy 197.600585 97.653924) + (xy 197.603196 97.651548) + (xy 197.611948 97.645897) + (xy 197.631583 97.62099) + (xy 197.634987 97.617159) + (xy 197.634877 97.617066) + (xy 197.63823 97.613109) + (xy 197.641911 97.609428) + (xy 197.651953 97.595376) + (xy 197.655517 97.59063) + (xy 197.678584 97.56137) + (xy 197.678585 97.561368) + (xy 197.685032 97.55319) + (xy 197.687866 97.545121) + (xy 197.692841 97.538159) + (xy 197.706508 97.492461) + (xy 197.708335 97.486836) + (xy 197.724147 97.441809) + (xy 197.7245 97.437733) + (xy 197.7245 97.435024) + (xy 197.724618 97.432291) + (xy 197.724763 97.432297) + (xy 197.724833 97.431183) + (xy 197.726665 97.425058) + (xy 197.724597 97.372416) + (xy 197.7245 97.36747) + (xy 197.7245 92.221717) + (xy 197.726744 92.20063) + (xy 197.72691 92.197113) + (xy 197.729101 92.186935) + (xy 197.725373 92.155437) + (xy 197.725071 92.150323) + (xy 197.724928 92.150335) + (xy 197.7245 92.145157) + (xy 197.7245 92.13996) + (xy 197.72239 92.127279) + (xy 197.721664 92.122917) + (xy 197.720829 92.117045) + (xy 197.717988 92.093047) + (xy 197.715225 92.069703) + (xy 197.711523 92.061994) + (xy 197.710118 92.053552) + (xy 197.703169 92.040672) + (xy 197.687465 92.011569) + (xy 197.684768 92.006276) + (xy 197.667556 91.97043) + (xy 197.667554 91.970427) + (xy 197.664125 91.963286) + (xy 197.661493 91.960154) + (xy 197.659548 91.958209) + (xy 197.657726 91.956222) + (xy 197.657834 91.956123) + (xy 197.657097 91.955287) + (xy 197.654061 91.94966) + (xy 197.643564 91.939956) + (xy 197.615373 91.913897) + (xy 197.611807 91.910468) + (xy 197.4965 91.795161) + (xy 197.462474 91.732849) + (xy 197.467539 91.662034) + (xy 197.496499 91.616971) + (xy 197.67739 91.43608) + (xy 197.686171 91.429064) + (xy 197.685788 91.428613) + (xy 197.692626 91.422793) + (xy 197.700217 91.418004) + (xy 197.733554 91.380257) + (xy 197.7389 91.37457) + (xy 197.751423 91.362047) + (xy 197.754104 91.35847) + (xy 197.754111 91.358462) + (xy 197.758406 91.352731) + (xy 197.764791 91.344888) + (xy 197.789902 91.316456) + (xy 197.789904 91.316453) + (xy 197.795844 91.309727) + (xy 197.799659 91.301602) + (xy 197.801524 91.298762) + (xy 197.809902 91.284819) + (xy 197.811524 91.281856) + (xy 197.816907 91.274674) + (xy 197.820056 91.266273) + (xy 197.82006 91.266266) + (xy 197.83338 91.230733) + (xy 197.837307 91.221414) + (xy 197.853421 91.187092) + (xy 197.853422 91.187088) + (xy 197.857237 91.178963) + (xy 197.858618 91.170093) + (xy 197.859611 91.166846) + (xy 197.863743 91.151096) + (xy 197.864081 91.149557) + (xy 197.864325 91.148877) + (xy 197.864929 91.146572) + (xy 197.867615 91.139407) + (xy 197.867615 91.139405) + (xy 197.867616 91.139403) + (xy 197.867705 91.139436) + (xy 197.874873 91.119421) + (xy 197.904956 91.060379) + (xy 197.904957 91.060375) + (xy 197.909458 91.051542) + (xy 197.9245 90.956569) + (xy 197.9245 89.143431) + (xy 197.909458 89.048458) + (xy 197.851292 88.934302) + (xy 197.760698 88.843708) + (xy 197.646542 88.785542) + (xy 197.551569 88.7705) + (xy 197.188431 88.7705) + (xy 197.093458 88.785542) + (xy 196.979302 88.843708) + (xy 196.888708 88.934302) + (xy 196.865106 88.980624) + (xy 196.847267 89.015634) + (xy 196.798518 89.067249) + (xy 196.729603 89.084315) + (xy 196.662402 89.061414) + (xy 196.622733 89.015634) + (xy 196.604894 88.980624) + (xy 196.581292 88.934302) + (xy 196.490698 88.843708) + (xy 196.376542 88.785542) + (xy 196.281569 88.7705) + (xy 195.918431 88.7705) + (xy 195.918431 88.769421) + (xy 195.854033 88.755888) + (xy 195.803478 88.706042) + (xy 195.789634 88.646882) + (xy 195.78911 88.646944) + (xy 195.788559 88.642286) + (xy 195.788558 88.642283) + (xy 195.785508 88.616506) + (xy 195.785383 88.615454) + (xy 195.785081 88.610333) + (xy 195.784938 88.610345) + (xy 195.78451 88.605164) + (xy 195.78451 88.59997) + (xy 195.781676 88.582941) + (xy 195.78084 88.577066) + (xy 195.778276 88.555405) + (xy 195.775235 88.529712) + (xy 195.771533 88.522002) + (xy 195.770128 88.513562) + (xy 195.747467 88.471564) + (xy 195.74478 88.46629) + (xy 195.727566 88.43044) + (xy 195.727564 88.430437) + (xy 195.724135 88.423296) + (xy 195.721503 88.420164) + (xy 195.719558 88.418219) + (xy 195.717736 88.416232) + (xy 195.717844 88.416133) + (xy 195.717107 88.415297) + (xy 195.714071 88.40967) + (xy 195.693337 88.390503) + (xy 195.675383 88.373907) + (xy 195.671817 88.370478) + (xy 195.240434 87.939095) + (xy 195.206408 87.876783) + (xy 195.211473 87.805968) + (xy 195.25402 87.749132) + (xy 195.32054 87.724321) + (xy 195.329529 87.724) + (xy 198.97 87.724) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 100.464775 87.744002) + (xy 100.511268 87.797658) + (xy 100.521372 87.867932) + (xy 100.511085 87.899985) + (xy 100.511861 87.900298) + (xy 100.435078 88.090822) + (xy 100.431684 88.102281) + (xy 100.39318 88.299444) + (xy 100.392091 88.308412) + (xy 100.392075 88.308728) + (xy 100.392 88.311821) + (xy 100.392 88.490385) + (xy 100.396475 88.505624) + (xy 100.397865 88.506829) + (xy 100.405548 88.5085) + (xy 102.589885 88.5085) + (xy 102.605124 88.504025) + (xy 102.606329 88.502635) + (xy 102.608 88.494952) + (xy 102.608 88.363087) + (xy 102.607715 88.35711) + (xy 102.59349 88.208014) + (xy 102.591231 88.19628) + (xy 102.535091 88.004916) + (xy 102.530661 87.99384) + (xy 102.486292 87.907692) + (xy 102.472883 87.837973) + (xy 102.499296 87.772072) + (xy 102.557145 87.730913) + (xy 102.598308 87.724) + (xy 107.396654 87.724) + (xy 107.464775 87.744002) + (xy 107.511268 87.797658) + (xy 107.521372 87.867932) + (xy 107.511085 87.899985) + (xy 107.511861 87.900298) + (xy 107.435078 88.090822) + (xy 107.431684 88.102281) + (xy 107.39318 88.299444) + (xy 107.392091 88.308412) + (xy 107.392075 88.308728) + (xy 107.392 88.311821) + (xy 107.392 88.490385) + (xy 107.396475 88.505624) + (xy 107.397865 88.506829) + (xy 107.405548 88.5085) + (xy 109.589885 88.5085) + (xy 109.605124 88.504025) + (xy 109.606329 88.502635) + (xy 109.608 88.494952) + (xy 109.608 88.363087) + (xy 109.607715 88.35711) + (xy 109.59349 88.208014) + (xy 109.591231 88.19628) + (xy 109.535091 88.004916) + (xy 109.530661 87.99384) + (xy 109.486292 87.907692) + (xy 109.472883 87.837973) + (xy 109.499296 87.772072) + (xy 109.557145 87.730913) + (xy 109.598308 87.724) + (xy 111.14595 87.724) + (xy 111.214071 87.744002) + (xy 111.260564 87.797658) + (xy 111.270668 87.867932) + (xy 111.259228 87.897804) + (xy 111.261897 87.89891) + (xy 111.257148 87.910375) + (xy 111.250253 87.920694) + (xy 111.2305 88.02) + (xy 111.2305 89.82) + (xy 111.250253 89.919306) + (xy 111.306506 90.003494) + (xy 111.390694 90.059747) + (xy 111.49 90.0795) + (xy 112.128672 90.0795) + (xy 112.196793 90.099502) + (xy 112.243286 90.153158) + (xy 112.25339 90.223432) + (xy 112.223896 90.288012) + (xy 112.16417 90.326396) + (xy 112.15001 90.32968) + (xy 112.115628 90.335588) + (xy 112.089899 90.340009) + (xy 111.890822 90.413452) + (xy 111.885861 90.416404) + (xy 111.88586 90.416404) + (xy 111.756579 90.493319) + (xy 111.708463 90.521945) + (xy 111.548928 90.661853) + (xy 111.545361 90.666378) + (xy 111.545356 90.666383) + (xy 111.421135 90.823957) + (xy 111.417561 90.828491) + (xy 111.318762 91.016279) + (xy 111.297621 91.084365) + (xy 111.260632 91.203488) + (xy 111.255838 91.218926) + (xy 111.230897 91.429648) + (xy 111.244775 91.641386) + (xy 111.246196 91.646982) + (xy 111.246197 91.646987) + (xy 111.266002 91.724966) + (xy 111.276339 91.765666) + (xy 111.277846 91.771601) + (xy 111.275228 91.842549) + (xy 111.244818 91.891712) + (xy 109.977935 93.158595) + (xy 109.915623 93.192621) + (xy 109.88884 93.1955) + (xy 106.94844 93.1955) + (xy 106.937269 93.194252) + (xy 106.937222 93.194842) + (xy 106.928271 93.194122) + (xy 106.919517 93.192141) + (xy 106.869823 93.195224) + (xy 106.869275 93.195258) + (xy 106.861473 93.1955) + (xy 106.843741 93.1955) + (xy 106.832196 93.197153) + (xy 106.822159 93.198181) + (xy 106.784293 93.20053) + (xy 106.784291 93.20053) + (xy 106.775335 93.201086) + (xy 106.766893 93.204134) + (xy 106.763579 93.20482) + (xy 106.747797 93.208754) + (xy 106.744538 93.209707) + (xy 106.735655 93.210979) + (xy 106.727487 93.214693) + (xy 106.727486 93.214693) + (xy 106.692954 93.230394) + (xy 106.683589 93.234207) + (xy 106.639459 93.250138) + (xy 106.632212 93.255433) + (xy 106.629217 93.257025) + (xy 106.61517 93.265233) + (xy 106.612314 93.267059) + (xy 106.604151 93.270771) + (xy 106.597357 93.276625) + (xy 106.597354 93.276627) + (xy 106.596608 93.27727) + (xy 106.568601 93.301402) + (xy 106.560694 93.307681) + (xy 106.548856 93.316329) + (xy 106.537087 93.328098) + (xy 106.530239 93.334457) + (xy 106.501512 93.359209) + (xy 106.50151 93.359211) + (xy 106.494714 93.365067) + (xy 106.489834 93.372597) + (xy 106.48393 93.379364) + (xy 106.483867 93.379309) + (xy 106.475352 93.389833) + (xy 105.480661 94.384524) + (xy 105.418349 94.41855) + (xy 105.36143 94.415111) + (xy 105.360839 94.417536) + (xy 105.355009 94.416115) + (xy 105.349345 94.414148) + (xy 105.343412 94.413288) + (xy 105.343409 94.413287) + (xy 105.146712 94.384768) + (xy 105.146709 94.384768) + (xy 105.140772 94.383907) + (xy 104.930243 94.393651) + (xy 104.924419 94.395055) + (xy 104.924416 94.395055) + (xy 104.731188 94.441623) + (xy 104.731186 94.441624) + (xy 104.725355 94.443029) + (xy 104.719897 94.445511) + (xy 104.719893 94.445512) + (xy 104.630203 94.486292) + (xy 104.533501 94.53026) + (xy 104.361603 94.652196) + (xy 104.215864 94.804437) + (xy 104.205865 94.819923) + (xy 104.141425 94.919722) + (xy 104.087669 94.9661) + (xy 104.017374 94.976053) + (xy 103.952857 94.946421) + (xy 103.914601 94.886613) + (xy 103.909573 94.851374) + (xy 103.909573 94.644339) + (xy 103.909412 94.639832) + (xy 103.904833 94.575813) + (xy 103.902447 94.562591) + (xy 103.865754 94.437624) + (xy 103.85834 94.42139) + (xy 103.789147 94.313722) + (xy 103.777461 94.300235) + (xy 103.680733 94.21642) + (xy 103.665725 94.206775) + (xy 103.549298 94.153605) + (xy 103.532185 94.14858) + (xy 103.401019 94.129721) + (xy 103.392078 94.129082) + (xy 102.818688 94.129082) + (xy 102.803449 94.133557) + (xy 102.802244 94.134947) + (xy 102.800573 94.14263) + (xy 102.800573 96.836967) + (xy 102.805048 96.852206) + (xy 102.806438 96.853411) + (xy 102.814121 96.855082) + (xy 103.394316 96.855082) + (xy 103.398823 96.854921) + (xy 103.462842 96.850342) + (xy 103.476064 96.847956) + (xy 103.601031 96.811263) + (xy 103.617265 96.803849) + (xy 103.724933 96.734656) + (xy 103.73842 96.72297) + (xy 103.822235 96.626242) + (xy 103.83188 96.611234) + (xy 103.88505 96.494807) + (xy 103.890075 96.477694) + (xy 103.908934 96.346528) + (xy 103.909573 96.337585) + (xy 103.909573 96.132509) + (xy 103.929575 96.064388) + (xy 103.983231 96.017895) + (xy 104.053505 96.007791) + (xy 104.118085 96.037285) + (xy 104.14178 96.064716) + (xy 104.212275 96.175158) + (xy 104.357215 96.328161) + (xy 104.36208 96.331651) + (xy 104.362083 96.331653) + (xy 104.460309 96.402105) + (xy 104.528472 96.450995) + (xy 104.555311 96.463368) + (xy 104.708145 96.533825) + (xy 104.719867 96.539229) + (xy 104.725683 96.540663) + (xy 104.725686 96.540664) + (xy 104.796423 96.558104) + (xy 104.924494 96.58968) + (xy 104.930484 96.589989) + (xy 104.930486 96.589989) + (xy 105.00443 96.593799) + (xy 105.134969 96.600526) + (xy 105.140904 96.599697) + (xy 105.140908 96.599697) + (xy 105.324427 96.574068) + (xy 105.343697 96.571377) + (xy 105.503031 96.51698) + (xy 105.537469 96.505223) + (xy 105.537471 96.505222) + (xy 105.543148 96.503284) + (xy 105.640746 96.447502) + (xy 105.720917 96.401681) + (xy 105.72092 96.401679) + (xy 105.726125 96.398704) + (xy 105.730674 96.394798) + (xy 105.730677 96.394796) + (xy 105.881473 96.265319) + (xy 105.881475 96.265317) + (xy 105.886024 96.261411) + (xy 105.891909 96.254) + (xy 105.958525 96.170102) + (xy 106.017078 96.096359) + (xy 106.033442 96.064991) + (xy 106.111783 95.914821) + (xy 106.111784 95.914818) + (xy 106.114557 95.909503) + (xy 106.174943 95.707585) + (xy 106.176189 95.695216) + (xy 106.183678 95.620836) + (xy 106.196058 95.497891) + (xy 106.196073 95.492082) + (xy 106.194663 95.477298) + (xy 106.18403 95.365853) + (xy 106.176056 95.282281) + (xy 106.159394 95.225486) + (xy 106.15941 95.154492) + (xy 106.191203 95.100923) + (xy 106.427988 94.864138) + (xy 106.4903 94.830112) + (xy 106.561115 94.835177) + (xy 106.617951 94.877724) + (xy 106.642762 94.944244) + (xy 106.633949 95.000331) + (xy 106.628623 95.013547) + (xy 106.565028 95.171348) + (xy 106.562763 95.176967) + (xy 106.553474 95.224534) + (xy 106.525737 95.366565) + (xy 106.522368 95.383814) + (xy 106.522352 95.3898) + (xy 106.522352 95.389803) + (xy 106.522116 95.479941) + (xy 106.521816 95.594567) + (xy 106.53761 95.677754) + (xy 106.559292 95.791956) + (xy 106.561127 95.801623) + (xy 106.638882 95.997509) + (xy 106.642106 96.002559) + (xy 106.642107 96.002562) + (xy 106.686373 96.071911) + (xy 106.752275 96.175158) + (xy 106.897215 96.328161) + (xy 106.90208 96.331651) + (xy 106.902083 96.331653) + (xy 107.000309 96.402105) + (xy 107.068472 96.450995) + (xy 107.095311 96.463368) + (xy 107.248145 96.533825) + (xy 107.259867 96.539229) + (xy 107.265683 96.540663) + (xy 107.265686 96.540664) + (xy 107.336423 96.558104) + (xy 107.464494 96.58968) + (xy 107.470484 96.589989) + (xy 107.470486 96.589989) + (xy 107.54443 96.593799) + (xy 107.674969 96.600526) + (xy 107.680904 96.599697) + (xy 107.680908 96.599697) + (xy 107.864427 96.574068) + (xy 107.883697 96.571377) + (xy 108.043031 96.51698) + (xy 108.077469 96.505223) + (xy 108.077471 96.505222) + (xy 108.083148 96.503284) + (xy 108.180746 96.447502) + (xy 108.260917 96.401681) + (xy 108.26092 96.401679) + (xy 108.266125 96.398704) + (xy 108.270674 96.394798) + (xy 108.270677 96.394796) + (xy 108.421473 96.265319) + (xy 108.421475 96.265317) + (xy 108.426024 96.261411) + (xy 108.431909 96.254) + (xy 108.498525 96.170102) + (xy 108.557078 96.096359) + (xy 108.573442 96.064991) + (xy 108.651783 95.914821) + (xy 108.651784 95.914818) + (xy 108.654557 95.909503) + (xy 108.714943 95.707585) + (xy 108.716189 95.695216) + (xy 108.723678 95.620836) + (xy 108.736058 95.497891) + (xy 108.736073 95.492082) + (xy 108.734663 95.477298) + (xy 108.72403 95.365853) + (xy 108.716056 95.282281) + (xy 108.656728 95.080049) + (xy 108.651647 95.070183) + (xy 108.562975 94.898016) + (xy 108.562973 94.898013) + (xy 108.560229 94.892685) + (xy 108.501226 94.817571) + (xy 108.433748 94.731666) + (xy 108.433744 94.731661) + (xy 108.430042 94.726949) + (xy 108.270863 94.588821) + (xy 108.088437 94.483285) + (xy 108.082768 94.481316) + (xy 108.082765 94.481315) + (xy 107.991225 94.449527) + (xy 107.933436 94.408286) + (xy 107.907117 94.342347) + (xy 107.920625 94.272648) + (xy 107.969672 94.221316) + (xy 108.032559 94.2045) + (xy 110.080215 94.2045) + (xy 110.091386 94.205748) + (xy 110.091433 94.205158) + (xy 110.100384 94.205878) + (xy 110.109138 94.207859) + (xy 110.159381 94.204742) + (xy 110.167182 94.2045) + (xy 110.184914 94.2045) + (xy 110.196459 94.202847) + (xy 110.206496 94.201819) + (xy 110.244358 94.19947) + (xy 110.25332 94.198914) + (xy 110.261764 94.195866) + (xy 110.265089 94.195177) + (xy 110.280874 94.191241) + (xy 110.284115 94.190293) + (xy 110.293 94.189021) + (xy 110.301171 94.185306) + (xy 110.301174 94.185305) + (xy 110.325058 94.174445) + (xy 110.335716 94.169599) + (xy 110.345052 94.165798) + (xy 110.389196 94.149861) + (xy 110.396443 94.144567) + (xy 110.39942 94.142984) + (xy 110.413482 94.134767) + (xy 110.41633 94.132945) + (xy 110.424504 94.129229) + (xy 110.460039 94.098611) + (xy 110.467955 94.092325) + (xy 110.475869 94.086543) + (xy 110.47587 94.086542) + (xy 110.4798 94.083671) + (xy 110.491575 94.071896) + (xy 110.498423 94.065537) + (xy 110.527143 94.040791) + (xy 110.527145 94.040789) + (xy 110.533941 94.034933) + (xy 110.538821 94.027404) + (xy 110.544725 94.020636) + (xy 110.544788 94.020691) + (xy 110.553301 94.01017) + (xy 111.957304 92.606167) + (xy 112.019616 92.572141) + (xy 112.074205 92.572369) + (xy 112.159172 92.591595) + (xy 112.233019 92.608305) + (xy 112.233022 92.608305) + (xy 112.238655 92.60958) + (xy 112.244426 92.609807) + (xy 112.244428 92.609807) + (xy 112.30775 92.612295) + (xy 112.450684 92.617911) + (xy 112.563103 92.601611) + (xy 112.654966 92.588292) + (xy 112.654971 92.588291) + (xy 112.66068 92.587463) + (xy 112.666144 92.585608) + (xy 112.666149 92.585607) + (xy 112.856139 92.521114) + (xy 112.856144 92.521112) + (xy 112.861611 92.519256) + (xy 112.866654 92.516432) + (xy 113.041701 92.418401) + (xy 113.041705 92.418398) + (xy 113.046748 92.415574) + (xy 113.20989 92.27989) + (xy 113.345574 92.116748) + (xy 113.348398 92.111705) + (xy 113.348401 92.111701) + (xy 113.446432 91.936654) + (xy 113.446433 91.936652) + (xy 113.449256 91.931611) + (xy 113.451112 91.926144) + (xy 113.451114 91.926139) + (xy 113.515607 91.736149) + (xy 113.515608 91.736144) + (xy 113.517463 91.73068) + (xy 113.518291 91.724971) + (xy 113.518292 91.724966) + (xy 113.540457 91.572095) + (xy 113.547911 91.520684) + (xy 113.5495 91.46) + (xy 113.530084 91.248698) + (xy 113.526194 91.234903) + (xy 113.505393 91.16115) + (xy 113.472487 91.044472) + (xy 113.469934 91.039295) + (xy 113.469932 91.03929) + (xy 113.38119 90.859342) + (xy 113.378636 90.854163) + (xy 113.299207 90.747795) + (xy 113.255129 90.688767) + (xy 113.255128 90.688766) + (xy 113.251676 90.684143) + (xy 113.144744 90.585296) + (xy 113.100099 90.544026) + (xy 113.100096 90.544024) + (xy 113.095859 90.540107) + (xy 112.916402 90.426878) + (xy 112.719316 90.348249) + (xy 112.622943 90.329079) + (xy 112.560034 90.296171) + (xy 112.524902 90.234476) + (xy 112.528702 90.163581) + (xy 112.570228 90.105995) + (xy 112.636295 90.080001) + (xy 112.647525 90.0795) + (xy 113.29 90.0795) + (xy 113.389306 90.059747) + (xy 113.473494 90.003494) + (xy 113.529747 89.919306) + (xy 113.5495 89.82) + (xy 113.5495 88.964842) + (xy 118.445208 88.964842) + (xy 118.446651 88.971515) + (xy 118.446651 88.971517) + (xy 118.481919 89.134634) + (xy 118.484441 89.1463) + (xy 118.561724 89.315101) + (xy 118.565828 89.320547) + (xy 118.565829 89.320549) + (xy 118.639145 89.417842) + (xy 118.673451 89.463368) + (xy 118.814411 89.584185) + (xy 118.978026 89.671915) + (xy 118.984594 89.673773) + (xy 118.984595 89.673774) + (xy 119.150095 89.720606) + (xy 119.150097 89.720606) + (xy 119.156662 89.722464) + (xy 119.163471 89.722869) + (xy 119.163474 89.722869) + (xy 119.304791 89.731264) + (xy 119.341986 89.733474) + (xy 119.525352 89.704432) + (xy 119.603582 89.673774) + (xy 119.674582 89.645949) + (xy 119.698203 89.636692) + (xy 119.703871 89.632898) + (xy 119.703876 89.632895) + (xy 119.812571 89.560129) + (xy 119.852476 89.533415) + (xy 119.924886 89.457907) + (xy 120.997461 89.457907) + (xy 121.004094 89.469884) + (xy 121.637188 90.102978) + (xy 121.651132 90.110592) + (xy 121.652965 90.110461) + (xy 121.65958 90.10621) + (xy 122.292958 89.472832) + (xy 122.299183 89.461433) + (xy 122.289373 89.449002) + (xy 122.239024 89.415169) + (xy 122.229229 89.409785) + (xy 122.04204 89.327614) + (xy 122.031441 89.324047) + (xy 121.832656 89.276323) + (xy 121.821594 89.27469) + (xy 121.617506 89.262922) + (xy 121.606322 89.263274) + (xy 121.403375 89.287833) + (xy 121.392441 89.290157) + (xy 121.197041 89.35027) + (xy 121.186695 89.354491) + (xy 121.005024 89.448258) + (xy 121.004061 89.44887) + (xy 120.997461 89.457907) + (xy 119.924886 89.457907) + (xy 119.980973 89.39942) + (xy 119.993725 89.37853) + (xy 120.064077 89.263274) + (xy 120.077699 89.240957) + (xy 120.11128 89.143431) + (xy 120.135918 89.071878) + (xy 120.135919 89.071875) + (xy 120.138141 89.065421) + (xy 120.14099 89.040803) + (xy 120.155724 88.913452) + (xy 120.159479 88.881) + (xy 120.1595 88.875) + (xy 120.157534 88.856896) + (xy 120.142078 88.714624) + (xy 120.13945 88.690435) + (xy 120.13588 88.679825) + (xy 120.103274 88.582941) + (xy 120.080235 88.514481) + (xy 120.076676 88.508557) + (xy 120.021695 88.417055) + (xy 119.984617 88.355347) + (xy 119.945461 88.31394) + (xy 119.91697 88.283812) + (xy 119.857059 88.220458) + (xy 119.838749 88.208014) + (xy 119.709153 88.119941) + (xy 119.709151 88.11994) + (xy 119.703511 88.116107) + (xy 119.697182 88.113575) + (xy 119.697179 88.113574) + (xy 119.537478 88.049698) + (xy 119.537476 88.049697) + (xy 119.531137 88.047162) + (xy 119.406668 88.026556) + (xy 119.354716 88.017955) + (xy 119.354713 88.017955) + (xy 119.347979 88.01684) + (xy 119.341162 88.017197) + (xy 119.341158 88.017197) + (xy 119.184627 88.025401) + (xy 119.162582 88.026556) + (xy 119.156008 88.028367) + (xy 119.156007 88.028367) + (xy 119.091821 88.046047) + (xy 118.983597 88.075857) + (xy 118.819374 88.162442) + (xy 118.677574 88.282272) + (xy 118.673427 88.287696) + (xy 118.673426 88.287697) + (xy 118.568958 88.424335) + (xy 118.568955 88.424339) + (xy 118.564814 88.429756) + (xy 118.486355 88.598013) + (xy 118.445856 88.779192) + (xy 118.445832 88.786021) + (xy 118.445832 88.786022) + (xy 118.445644 88.839838) + (xy 118.445208 88.964842) + (xy 113.5495 88.964842) + (xy 113.5495 88.02) + (xy 113.529747 87.920694) + (xy 113.522852 87.910375) + (xy 113.518103 87.89891) + (xy 113.522151 87.897233) + (xy 113.508069 87.852201) + (xy 113.526878 87.783741) + (xy 113.579713 87.736318) + (xy 113.63405 87.724) + (xy 154.269476 87.724) + (xy 154.337597 87.744002) + (xy 154.38409 87.797658) + (xy 154.394194 87.867932) + (xy 154.3647 87.932512) + (xy 154.329308 87.960888) + (xy 154.289229 87.982513) + (xy 154.289225 87.982516) + (xy 154.283231 87.98575) + (xy 154.278538 87.989793) + (xy 153.852721 88.415611) + (xy 153.789363 88.500149) + (xy 153.777191 88.532617) + (xy 153.754994 88.591829) + (xy 153.739898 88.632096) + (xy 153.729456 88.772624) + (xy 153.731329 88.781398) + (xy 153.731329 88.7814) + (xy 153.752338 88.87982) + (xy 153.758873 88.910433) + (xy 153.763135 88.918331) + (xy 153.763135 88.918332) + (xy 153.812592 89.00999) + (xy 153.825788 89.034447) + (xy 153.829831 89.039139) + (xy 154.237971 89.447279) + (xy 154.23748 89.44777) + (xy 154.273907 89.504483) + (xy 154.273889 89.575479) + (xy 154.235491 89.635196) + (xy 154.170903 89.664673) + (xy 154.153002 89.665951) + (xy 154.026585 89.665951) + (xy 153.958464 89.645949) + (xy 153.93749 89.629046) + (xy 153.611597 89.303153) + (xy 153.600587 89.294901) + (xy 153.534241 89.245177) + (xy 153.534238 89.245175) + (xy 153.527059 89.239795) + (xy 153.395111 89.19033) + (xy 153.386165 89.189665) + (xy 153.386164 89.189665) + (xy 153.309353 89.183958) + (xy 153.254584 89.179888) + (xy 153.24581 89.181761) + (xy 153.245808 89.181761) + (xy 153.125553 89.207431) + (xy 153.125552 89.207432) + (xy 153.116775 89.209305) + (xy 153.108877 89.213567) + (xy 153.108876 89.213567) + (xy 152.998759 89.272983) + (xy 152.998755 89.272986) + (xy 152.992761 89.27622) + (xy 152.988068 89.280263) + (xy 152.562251 89.706081) + (xy 152.498893 89.790619) + (xy 152.449428 89.922566) + (xy 152.438986 90.063094) + (xy 152.440859 90.071868) + (xy 152.440859 90.07187) + (xy 152.466046 90.189861) + (xy 152.468403 90.200903) + (xy 152.472665 90.208801) + (xy 152.472665 90.208802) + (xy 152.53159 90.318007) + (xy 152.535318 90.324917) + (xy 152.539361 90.329609) + (xy 152.715111 90.505359) + (xy 152.749137 90.567671) + (xy 152.744072 90.638486) + (xy 152.715111 90.683549) + (xy 152.685538 90.713122) + (xy 152.623226 90.747148) + (xy 152.572834 90.747795) + (xy 152.549198 90.743286) + (xy 152.549193 90.743286) + (xy 152.54141 90.741801) + (xy 152.467697 90.746439) + (xy 152.384333 90.751684) + (xy 152.384331 90.751684) + (xy 152.376422 90.752182) + (xy 152.368886 90.754631) + (xy 152.368884 90.754631) + (xy 152.226741 90.800816) + (xy 152.226738 90.800817) + (xy 152.219199 90.803267) + (xy 152.11897 90.866874) + (xy 152.050738 90.886487) + (xy 151.982732 90.866096) + (xy 151.936546 90.812176) + (xy 151.925457 90.760489) + (xy 151.925457 90.739539) + (xy 151.897786 90.520497) + (xy 151.885732 90.425079) + (xy 151.885731 90.425075) + (xy 151.885235 90.421147) + (xy 151.849918 90.283595) + (xy 151.806412 90.114149) + (xy 151.806409 90.114141) + (xy 151.805425 90.110307) + (xy 151.795413 90.085018) + (xy 151.729803 89.919306) + (xy 151.687286 89.811921) + (xy 151.683159 89.804413) + (xy 151.593196 89.640773) + (xy 151.53268 89.530695) + (xy 151.529888 89.526851) + (xy 151.346375 89.274267) + (xy 151.346374 89.274265) + (xy 151.344047 89.271063) + (xy 151.12436 89.037121) + (xy 150.939862 88.884491) + (xy 150.880134 88.835079) + (xy 150.88013 88.835076) + (xy 150.877085 88.832557) + (xy 150.669111 88.700572) + (xy 150.609469 88.662722) + (xy 150.609468 88.662721) + (xy 150.606122 88.660598) + (xy 150.602543 88.658914) + (xy 150.602536 88.65891) + (xy 150.319332 88.525645) + (xy 150.319328 88.525643) + (xy 150.315742 88.523956) + (xy 150.295082 88.517243) + (xy 150.154557 88.471584) + (xy 150.010527 88.424786) + (xy 149.695289 88.364651) + (xy 149.599293 88.358611) + (xy 149.456467 88.349625) + (xy 149.456451 88.349624) + (xy 149.454472 88.3495) + (xy 149.295528 88.3495) + (xy 149.293549 88.349624) + (xy 149.293533 88.349625) + (xy 149.150707 88.358611) + (xy 149.054711 88.364651) + (xy 148.739473 88.424786) + (xy 148.595443 88.471584) + (xy 148.454919 88.517243) + (xy 148.434258 88.523956) + (xy 148.430672 88.525643) + (xy 148.430668 88.525645) + (xy 148.147464 88.65891) + (xy 148.147457 88.658914) + (xy 148.143878 88.660598) + (xy 148.140532 88.662721) + (xy 148.140531 88.662722) + (xy 148.080889 88.700572) + (xy 147.872915 88.832557) + (xy 147.86987 88.835076) + (xy 147.869866 88.835079) + (xy 147.810138 88.884491) + (xy 147.62564 89.037121) + (xy 147.405953 89.271063) + (xy 147.403626 89.274265) + (xy 147.403625 89.274267) + (xy 147.223287 89.522482) + (xy 147.167065 89.565836) + (xy 147.096329 89.571911) + (xy 147.033537 89.53878) + (xy 147.010301 89.500247) + (xy 147.002135 89.493171) + (xy 146.994452 89.4915) + (xy 145.897 89.4915) + (xy 145.828879 89.471498) + (xy 145.782386 89.417842) + (xy 145.771 89.3655) + (xy 145.771 88.260115) + (xy 145.769659 88.255548) + (xy 146.279 88.255548) + (xy 146.279 88.965385) + (xy 146.283475 88.980624) + (xy 146.284865 88.981829) + (xy 146.292548 88.9835) + (xy 146.989885 88.9835) + (xy 147.005124 88.979025) + (xy 147.006329 88.977635) + (xy 147.008 88.969952) + (xy 147.008 88.948277) + (xy 147.007575 88.940973) + (xy 146.993719 88.82212) + (xy 146.990374 88.807968) + (xy 146.93606 88.658336) + (xy 146.92955 88.645337) + (xy 146.842269 88.512212) + (xy 146.832945 88.50106) + (xy 146.717383 88.391587) + (xy 146.705736 88.382874) + (xy 146.56809 88.302922) + (xy 146.554753 88.297123) + (xy 146.401593 88.250736) + (xy 146.388961 88.248286) + (xy 146.321318 88.242249) + (xy 146.315723 88.242) + (xy 146.297115 88.242) + (xy 146.281876 88.246475) + (xy 146.280671 88.247865) + (xy 146.279 88.255548) + (xy 145.769659 88.255548) + (xy 145.766525 88.244876) + (xy 145.765135 88.243671) + (xy 145.757452 88.242) + (xy 145.748277 88.242) + (xy 145.740973 88.242425) + (xy 145.62212 88.256281) + (xy 145.607968 88.259626) + (xy 145.458336 88.31394) + (xy 145.445337 88.32045) + (xy 145.312212 88.407731) + (xy 145.30106 88.417055) + (xy 145.191587 88.532617) + (xy 145.182871 88.544267) + (xy 145.129673 88.635855) + (xy 145.078162 88.684714) + (xy 145.008414 88.697968) + (xy 144.942572 88.671409) + (xy 144.925266 88.654818) + (xy 144.895075 88.619779) + (xy 144.895072 88.619777) + (xy 144.889215 88.612979) + (xy 144.770968 88.536335) + (xy 144.762366 88.533763) + (xy 144.762363 88.533761) + (xy 144.642502 88.497915) + (xy 144.6425 88.497915) + (xy 144.635961 88.495959) + (xy 144.629784 88.4955) + (xy 144.052587 88.4955) + (xy 143.993061 88.504025) + (xy 143.956896 88.509204) + (xy 143.956894 88.509205) + (xy 143.948009 88.510477) + (xy 143.939839 88.514192) + (xy 143.939838 88.514192) + (xy 143.936693 88.515622) + (xy 143.819731 88.568801) + (xy 143.812932 88.574659) + (xy 143.812928 88.574662) + (xy 143.719779 88.654925) + (xy 143.719777 88.654928) + (xy 143.712979 88.660785) + (xy 143.636335 88.779032) + (xy 143.633763 88.787634) + (xy 143.633761 88.787637) + (xy 143.610221 88.866352) + (xy 143.595959 88.914039) + (xy 143.595862 88.915339) + (xy 143.564789 88.976814) + (xy 143.503589 89.012802) + (xy 143.432648 89.00999) + (xy 143.374489 88.969271) + (xy 143.34818 88.908457) + (xy 143.340796 88.856896) + (xy 143.340795 88.856894) + (xy 143.339523 88.848009) + (xy 143.335522 88.839208) + (xy 143.309238 88.7814) + (xy 143.281199 88.719731) + (xy 143.275341 88.712932) + (xy 143.275338 88.712928) + (xy 143.195075 88.619779) + (xy 143.195072 88.619777) + (xy 143.189215 88.612979) + (xy 143.070968 88.536335) + (xy 143.062366 88.533763) + (xy 143.062363 88.533761) + (xy 142.942502 88.497915) + (xy 142.9425 88.497915) + (xy 142.935961 88.495959) + (xy 142.929784 88.4955) + (xy 142.352587 88.4955) + (xy 142.293061 88.504025) + (xy 142.256896 88.509204) + (xy 142.256894 88.509205) + (xy 142.248009 88.510477) + (xy 142.239839 88.514192) + (xy 142.239838 88.514192) + (xy 142.236693 88.515622) + (xy 142.119731 88.568801) + (xy 142.112932 88.574659) + (xy 142.112928 88.574662) + (xy 142.019779 88.654925) + (xy 142.019777 88.654928) + (xy 142.012979 88.660785) + (xy 141.936335 88.779032) + (xy 141.933763 88.787634) + (xy 141.933761 88.787637) + (xy 141.897915 88.907498) + (xy 141.895959 88.914039) + (xy 141.8955 88.920216) + (xy 141.8955 89.21534) + (xy 141.875498 89.283461) + (xy 141.821842 89.329954) + (xy 141.751568 89.340058) + (xy 141.686988 89.310564) + (xy 141.680405 89.304435) + (xy 141.666405 89.290435) + (xy 141.632379 89.228123) + (xy 141.6295 89.20134) + (xy 141.6295 88.952587) + (xy 141.618818 88.877999) + (xy 141.615796 88.856896) + (xy 141.615795 88.856894) + (xy 141.614523 88.848009) + (xy 141.610522 88.839208) + (xy 141.584238 88.7814) + (xy 141.556199 88.719731) + (xy 141.550341 88.712932) + (xy 141.550338 88.712928) + (xy 141.470075 88.619779) + (xy 141.470072 88.619777) + (xy 141.464215 88.612979) + (xy 141.345968 88.536335) + (xy 141.337366 88.533763) + (xy 141.337363 88.533761) + (xy 141.217502 88.497915) + (xy 141.2175 88.497915) + (xy 141.210961 88.495959) + (xy 141.204784 88.4955) + (xy 140.627587 88.4955) + (xy 140.568061 88.504025) + (xy 140.531896 88.509204) + (xy 140.531894 88.509205) + (xy 140.523009 88.510477) + (xy 140.514839 88.514192) + (xy 140.514838 88.514192) + (xy 140.511693 88.515622) + (xy 140.394731 88.568801) + (xy 140.387932 88.574659) + (xy 140.387928 88.574662) + (xy 140.294779 88.654925) + (xy 140.294777 88.654928) + (xy 140.287979 88.660785) + (xy 140.211335 88.779032) + (xy 140.208763 88.787634) + (xy 140.208761 88.787637) + (xy 140.185221 88.866352) + (xy 140.170959 88.914039) + (xy 140.170862 88.915339) + (xy 140.139789 88.976814) + (xy 140.078589 89.012802) + (xy 140.007648 89.00999) + (xy 139.949489 88.969271) + (xy 139.92318 88.908457) + (xy 139.915796 88.856896) + (xy 139.915795 88.856894) + (xy 139.914523 88.848009) + (xy 139.910522 88.839208) + (xy 139.884238 88.7814) + (xy 139.856199 88.719731) + (xy 139.850341 88.712932) + (xy 139.850338 88.712928) + (xy 139.770075 88.619779) + (xy 139.770072 88.619777) + (xy 139.764215 88.612979) + (xy 139.645968 88.536335) + (xy 139.637366 88.533763) + (xy 139.637363 88.533761) + (xy 139.517502 88.497915) + (xy 139.5175 88.497915) + (xy 139.510961 88.495959) + (xy 139.504784 88.4955) + (xy 138.927587 88.4955) + (xy 138.868061 88.504025) + (xy 138.831896 88.509204) + (xy 138.831894 88.509205) + (xy 138.823009 88.510477) + (xy 138.814839 88.514192) + (xy 138.814838 88.514192) + (xy 138.811693 88.515622) + (xy 138.694731 88.568801) + (xy 138.687932 88.574659) + (xy 138.687928 88.574662) + (xy 138.594779 88.654925) + (xy 138.594777 88.654928) + (xy 138.587979 88.660785) + (xy 138.511335 88.779032) + (xy 138.508763 88.787634) + (xy 138.508761 88.787637) + (xy 138.485221 88.866352) + (xy 138.470959 88.914039) + (xy 138.470862 88.915339) + (xy 138.439789 88.976814) + (xy 138.378589 89.012802) + (xy 138.307648 89.00999) + (xy 138.249489 88.969271) + (xy 138.22318 88.908457) + (xy 138.215796 88.856896) + (xy 138.215795 88.856894) + (xy 138.214523 88.848009) + (xy 138.210522 88.839208) + (xy 138.184238 88.7814) + (xy 138.156199 88.719731) + (xy 138.150341 88.712932) + (xy 138.150338 88.712928) + (xy 138.070075 88.619779) + (xy 138.070072 88.619777) + (xy 138.064215 88.612979) + (xy 137.945968 88.536335) + (xy 137.937366 88.533763) + (xy 137.937363 88.533761) + (xy 137.817502 88.497915) + (xy 137.8175 88.497915) + (xy 137.810961 88.495959) + (xy 137.804784 88.4955) + (xy 137.227587 88.4955) + (xy 137.168061 88.504025) + (xy 137.131896 88.509204) + (xy 137.131894 88.509205) + (xy 137.123009 88.510477) + (xy 137.114839 88.514192) + (xy 137.114838 88.514192) + (xy 137.111693 88.515622) + (xy 136.994731 88.568801) + (xy 136.987932 88.574659) + (xy 136.987928 88.574662) + (xy 136.894779 88.654925) + (xy 136.894777 88.654928) + (xy 136.887979 88.660785) + (xy 136.811335 88.779032) + (xy 136.808763 88.787634) + (xy 136.808761 88.787637) + (xy 136.772915 88.907498) + (xy 136.770959 88.914039) + (xy 136.7705 88.920216) + (xy 136.7705 89.522413) + (xy 136.785477 89.626991) + (xy 136.789192 89.635161) + (xy 136.789192 89.635162) + (xy 136.789888 89.636692) + (xy 136.843801 89.755269) + (xy 136.849659 89.762068) + (xy 136.849662 89.762072) + (xy 136.929925 89.855221) + (xy 136.929928 89.855223) + (xy 136.935785 89.862021) + (xy 137.054032 89.938665) + (xy 137.062634 89.941237) + (xy 137.062637 89.941239) + (xy 137.182498 89.977085) + (xy 137.1825 89.977085) + (xy 137.189039 89.979041) + (xy 137.195216 89.9795) + (xy 137.476338 89.9795) + (xy 137.544459 89.999502) + (xy 137.565433 90.016405) + (xy 137.654433 90.105405) + (xy 137.688459 90.167717) + (xy 137.683394 90.238532) + (xy 137.640847 90.295368) + (xy 137.574327 90.320179) + (xy 137.565338 90.3205) + (xy 137.227587 90.3205) + (xy 137.167684 90.329079) + (xy 137.131896 90.334204) + (xy 137.131894 90.334205) + (xy 137.123009 90.335477) + (xy 137.114839 90.339192) + (xy 137.114838 90.339192) + (xy 137.10864 90.34201) + (xy 136.994731 90.393801) + (xy 136.987927 90.399663) + (xy 136.987928 90.399663) + (xy 136.91232 90.464811) + (xy 136.847657 90.494125) + (xy 136.777412 90.483826) + (xy 136.723885 90.437184) + (xy 136.704571 90.380559) + (xy 136.694328 90.265791) + (xy 136.692346 90.254777) + (xy 136.638403 90.057593) + (xy 136.634509 90.04712) + (xy 136.546493 89.862592) + (xy 136.540809 89.852981) + (xy 136.526468 89.833024) + (xy 136.515678 89.824614) + (xy 136.502642 89.831568) + (xy 135.872022 90.462188) + (xy 135.864408 90.476132) + (xy 135.864539 90.477965) + (xy 135.86879 90.48458) + (xy 136.504608 91.120398) + (xy 136.516988 91.127158) + (xy 136.523252 91.122469) + (xy 136.533769 91.103099) + (xy 136.583852 91.052777) + (xy 136.65319 91.037521) + (xy 136.719769 91.062173) + (xy 136.762451 91.118908) + (xy 136.7705 91.163221) + (xy 136.7705 91.347413) + (xy 136.771136 91.351851) + (xy 136.771454 91.356319) + (xy 136.770418 91.356393) + (xy 136.760963 91.421904) + (xy 136.714442 91.475535) + (xy 136.646378 91.4955) + (xy 136.21348 91.4955) + (xy 136.145359 91.475498) + (xy 136.124385 91.458595) + (xy 134.497897 89.832107) + (xy 134.485517 89.825347) + (xy 134.477545 89.831315) + (xy 134.422194 89.919551) + (xy 134.417114 89.929521) + (xy 134.340864 90.119198) + (xy 134.337633 90.129898) + (xy 134.296177 90.330084) + (xy 134.294892 90.341191) + (xy 134.289541 90.545559) + (xy 134.290243 90.556711) + (xy 134.321165 90.758795) + (xy 134.323831 90.769648) + (xy 134.39005 90.96306) + (xy 134.394601 90.97328) + (xy 134.49402 91.1519) + (xy 134.500306 91.16115) + (xy 134.534959 91.203488) + (xy 134.562627 91.268871) + (xy 134.550554 91.338834) + (xy 134.502572 91.391163) + (xy 134.433917 91.409244) + (xy 134.366385 91.387337) + (xy 134.34836 91.372389) + (xy 132.91351 89.937539) + (xy 132.879485 89.875228) + (xy 132.884549 89.804413) + (xy 132.927096 89.747577) + (xy 132.993616 89.722766) + (xy 133.010077 89.722667) + (xy 133.122477 89.729345) + (xy 133.191986 89.733474) + (xy 133.375352 89.704432) + (xy 133.453582 89.673774) + (xy 133.524582 89.645949) + (xy 133.548203 89.636692) + (xy 133.553871 89.632898) + (xy 133.553876 89.632895) + (xy 133.662571 89.560129) + (xy 133.702476 89.533415) + (xy 133.774886 89.457907) + (xy 134.847461 89.457907) + (xy 134.854094 89.469884) + (xy 135.487188 90.102978) + (xy 135.501132 90.110592) + (xy 135.502965 90.110461) + (xy 135.50958 90.10621) + (xy 136.142958 89.472832) + (xy 136.149183 89.461433) + (xy 136.139373 89.449002) + (xy 136.089024 89.415169) + (xy 136.079229 89.409785) + (xy 135.89204 89.327614) + (xy 135.881441 89.324047) + (xy 135.682656 89.276323) + (xy 135.671594 89.27469) + (xy 135.467506 89.262922) + (xy 135.456322 89.263274) + (xy 135.253375 89.287833) + (xy 135.242441 89.290157) + (xy 135.047041 89.35027) + (xy 135.036695 89.354491) + (xy 134.855024 89.448258) + (xy 134.854061 89.44887) + (xy 134.847461 89.457907) + (xy 133.774886 89.457907) + (xy 133.830973 89.39942) + (xy 133.843725 89.37853) + (xy 133.914077 89.263274) + (xy 133.927699 89.240957) + (xy 133.96128 89.143431) + (xy 133.985918 89.071878) + (xy 133.985919 89.071875) + (xy 133.988141 89.065421) + (xy 133.99099 89.040803) + (xy 134.005724 88.913452) + (xy 134.009479 88.881) + (xy 134.0095 88.875) + (xy 134.007534 88.856896) + (xy 133.992078 88.714624) + (xy 133.98945 88.690435) + (xy 133.98588 88.679825) + (xy 133.953274 88.582941) + (xy 133.930235 88.514481) + (xy 133.926676 88.508557) + (xy 133.871695 88.417055) + (xy 133.834617 88.355347) + (xy 133.795461 88.31394) + (xy 133.76697 88.283812) + (xy 133.707059 88.220458) + (xy 133.688749 88.208014) + (xy 133.559153 88.119941) + (xy 133.559151 88.11994) + (xy 133.553511 88.116107) + (xy 133.547182 88.113575) + (xy 133.547179 88.113574) + (xy 133.387478 88.049698) + (xy 133.387476 88.049697) + (xy 133.381137 88.047162) + (xy 133.256668 88.026556) + (xy 133.204716 88.017955) + (xy 133.204713 88.017955) + (xy 133.197979 88.01684) + (xy 133.191162 88.017197) + (xy 133.191158 88.017197) + (xy 133.034627 88.025401) + (xy 133.012582 88.026556) + (xy 133.006008 88.028367) + (xy 133.006007 88.028367) + (xy 132.941821 88.046047) + (xy 132.833597 88.075857) + (xy 132.669374 88.162442) + (xy 132.527574 88.282272) + (xy 132.523427 88.287696) + (xy 132.523426 88.287697) + (xy 132.418958 88.424335) + (xy 132.418955 88.424339) + (xy 132.414814 88.429756) + (xy 132.336355 88.598013) + (xy 132.332352 88.615923) + (xy 132.29797 88.678038) + (xy 132.235463 88.711705) + (xy 132.164678 88.706234) + (xy 132.113935 88.670682) + (xy 132.064215 88.612979) + (xy 131.945968 88.536335) + (xy 131.937366 88.533763) + (xy 131.937363 88.533761) + (xy 131.817502 88.497915) + (xy 131.8175 88.497915) + (xy 131.810961 88.495959) + (xy 131.804784 88.4955) + (xy 131.227587 88.4955) + (xy 131.168061 88.504025) + (xy 131.131896 88.509204) + (xy 131.131894 88.509205) + (xy 131.123009 88.510477) + (xy 131.114839 88.514192) + (xy 131.114838 88.514192) + (xy 131.111693 88.515622) + (xy 130.994731 88.568801) + (xy 130.987932 88.574659) + (xy 130.987928 88.574662) + (xy 130.894779 88.654925) + (xy 130.894777 88.654928) + (xy 130.887979 88.660785) + (xy 130.811335 88.779032) + (xy 130.808763 88.787634) + (xy 130.808761 88.787637) + (xy 130.785221 88.866352) + (xy 130.770959 88.914039) + (xy 130.770862 88.915339) + (xy 130.739789 88.976814) + (xy 130.678589 89.012802) + (xy 130.607648 89.00999) + (xy 130.549489 88.969271) + (xy 130.52318 88.908457) + (xy 130.515796 88.856896) + (xy 130.515795 88.856894) + (xy 130.514523 88.848009) + (xy 130.510522 88.839208) + (xy 130.484238 88.7814) + (xy 130.456199 88.719731) + (xy 130.450341 88.712932) + (xy 130.450338 88.712928) + (xy 130.370075 88.619779) + (xy 130.370072 88.619777) + (xy 130.364215 88.612979) + (xy 130.245968 88.536335) + (xy 130.237366 88.533763) + (xy 130.237363 88.533761) + (xy 130.117502 88.497915) + (xy 130.1175 88.497915) + (xy 130.110961 88.495959) + (xy 130.104784 88.4955) + (xy 129.527587 88.4955) + (xy 129.468061 88.504025) + (xy 129.431896 88.509204) + (xy 129.431894 88.509205) + (xy 129.423009 88.510477) + (xy 129.414839 88.514192) + (xy 129.414838 88.514192) + (xy 129.411693 88.515622) + (xy 129.294731 88.568801) + (xy 129.287932 88.574659) + (xy 129.287928 88.574662) + (xy 129.194779 88.654925) + (xy 129.194777 88.654928) + (xy 129.187979 88.660785) + (xy 129.111335 88.779032) + (xy 129.108763 88.787634) + (xy 129.108761 88.787637) + (xy 129.072915 88.907498) + (xy 129.070959 88.914039) + (xy 129.0705 88.920216) + (xy 129.0705 89.522413) + (xy 129.085477 89.626991) + (xy 129.089192 89.635161) + (xy 129.089192 89.635162) + (xy 129.089888 89.636692) + (xy 129.143801 89.755269) + (xy 129.149659 89.762068) + (xy 129.149662 89.762072) + (xy 129.229925 89.855221) + (xy 129.229928 89.855223) + (xy 129.235785 89.862021) + (xy 129.354032 89.938665) + (xy 129.362634 89.941237) + (xy 129.362637 89.941239) + (xy 129.482498 89.977085) + (xy 129.4825 89.977085) + (xy 129.489039 89.979041) + (xy 129.495216 89.9795) + (xy 129.651339 89.9795) + (xy 129.71946 89.999502) + (xy 129.740434 90.016405) + (xy 129.829434 90.105405) + (xy 129.86346 90.167717) + (xy 129.858395 90.238532) + (xy 129.815848 90.295368) + (xy 129.749328 90.320179) + (xy 129.740339 90.3205) + (xy 129.527587 90.3205) + (xy 129.467684 90.329079) + (xy 129.431896 90.334204) + (xy 129.431894 90.334205) + (xy 129.423009 90.335477) + (xy 129.414839 90.339192) + (xy 129.414838 90.339192) + (xy 129.40864 90.34201) + (xy 129.294731 90.393801) + (xy 129.287932 90.399659) + (xy 129.287928 90.399662) + (xy 129.194779 90.479925) + (xy 129.194777 90.479928) + (xy 129.187979 90.485785) + (xy 129.111335 90.604032) + (xy 129.108763 90.612634) + (xy 129.108761 90.612637) + (xy 129.078929 90.71239) + (xy 129.070959 90.739039) + (xy 129.0705 90.745216) + (xy 129.0705 91.2695) + (xy 129.050498 91.337621) + (xy 128.996842 91.384114) + (xy 128.9445 91.3955) + (xy 122.723051 91.3955) + (xy 122.65493 91.375498) + (xy 122.608437 91.321842) + (xy 122.598333 91.251568) + (xy 122.624717 91.190719) + (xy 122.656828 91.150639) + (xy 122.66301 91.141334) + (xy 122.760561 90.961666) + (xy 122.764995 90.95142) + (xy 122.829191 90.757312) + (xy 122.831741 90.746439) + (xy 122.860827 90.542075) + (xy 122.861433 90.534859) + (xy 122.862905 90.478632) + (xy 122.862677 90.471379) + (xy 122.844328 90.265791) + (xy 122.842346 90.254777) + (xy 122.788403 90.057593) + (xy 122.784509 90.04712) + (xy 122.696493 89.862592) + (xy 122.690809 89.852981) + (xy 122.676468 89.833024) + (xy 122.665678 89.824614) + (xy 122.652642 89.831568) + (xy 121.739095 90.745115) + (xy 121.676783 90.779141) + (xy 121.605968 90.774076) + (xy 121.560905 90.745115) + (xy 120.647897 89.832107) + (xy 120.635517 89.825347) + (xy 120.627545 89.831315) + (xy 120.572194 89.919551) + (xy 120.567114 89.929521) + (xy 120.490864 90.119198) + (xy 120.487633 90.129898) + (xy 120.446177 90.330084) + (xy 120.444892 90.341191) + (xy 120.439541 90.545559) + (xy 120.440243 90.556711) + (xy 120.471165 90.758795) + (xy 120.473831 90.769648) + (xy 120.54005 90.96306) + (xy 120.544601 90.97328) + (xy 120.64402 91.1519) + (xy 120.650307 91.161152) + (xy 120.673668 91.189693) + (xy 120.701337 91.255077) + (xy 120.689264 91.325039) + (xy 120.641283 91.377369) + (xy 120.576165 91.3955) + (xy 120.419785 91.3955) + (xy 120.408614 91.394252) + (xy 120.408567 91.394842) + (xy 120.399616 91.394122) + (xy 120.390862 91.392141) + (xy 120.34062 91.395258) + (xy 120.332818 91.3955) + (xy 120.315086 91.3955) + (xy 120.303541 91.397153) + (xy 120.293504 91.398181) + (xy 120.264185 91.4) + (xy 120.24668 91.401086) + (xy 120.238236 91.404134) + (xy 120.234911 91.404823) + (xy 120.219126 91.408759) + (xy 120.215885 91.409707) + (xy 120.207 91.410979) + (xy 120.198829 91.414694) + (xy 120.198826 91.414695) + (xy 120.182972 91.421904) + (xy 120.164284 91.430401) + (xy 120.154948 91.434202) + (xy 120.110804 91.450139) + (xy 120.103557 91.455433) + (xy 120.10058 91.457016) + (xy 120.086518 91.465233) + (xy 120.08367 91.467055) + (xy 120.075496 91.470771) + (xy 120.068694 91.476632) + (xy 120.039961 91.501389) + (xy 120.032045 91.507675) + (xy 120.024131 91.513457) + (xy 120.0202 91.516329) + (xy 120.008425 91.528104) + (xy 120.001577 91.534463) + (xy 119.972857 91.559209) + (xy 119.972855 91.559211) + (xy 119.966059 91.565067) + (xy 119.961179 91.572596) + (xy 119.955275 91.579364) + (xy 119.955212 91.579309) + (xy 119.946699 91.58983) + (xy 116.642612 94.893918) + (xy 116.633826 94.900938) + (xy 116.63421 94.901389) + (xy 116.627377 94.907204) + (xy 116.619783 94.911996) + (xy 116.596401 94.938471) + (xy 116.586446 94.949743) + (xy 116.5811 94.95543) + (xy 116.568577 94.967953) + (xy 116.561601 94.977261) + (xy 116.55522 94.985099) + (xy 116.524156 95.020273) + (xy 116.520342 95.028396) + (xy 116.518483 95.031227) + (xy 116.510105 95.045172) + (xy 116.50848 95.048139) + (xy 116.503094 95.055326) + (xy 116.493657 95.0805) + (xy 116.48663 95.099246) + (xy 116.482702 95.108568) + (xy 116.475577 95.123744) + (xy 116.462763 95.151037) + (xy 116.461382 95.15991) + (xy 116.460392 95.163147) + (xy 116.456262 95.178892) + (xy 116.455537 95.182187) + (xy 116.452386 95.190593) + (xy 116.448908 95.237396) + (xy 116.447756 95.247416) + (xy 116.44625 95.257086) + (xy 116.446249 95.257095) + (xy 116.4455 95.261907) + (xy 116.4455 95.278573) + (xy 116.445153 95.287912) + (xy 116.442434 95.324502) + (xy 116.441679 95.334654) + (xy 116.443552 95.343429) + (xy 116.444163 95.352391) + (xy 116.444079 95.352397) + (xy 116.4455 95.365853) + (xy 116.4455 97.694486) + (xy 116.425498 97.762607) + (xy 116.385896 97.801573) + (xy 116.364566 97.814798) + (xy 116.359935 97.819177) + (xy 116.359934 97.819178) + (xy 116.348389 97.830096) + (xy 116.223663 97.948043) + (xy 116.173593 98.019551) + (xy 116.119453 98.096871) + (xy 116.112431 98.106899) + (xy 116.071816 98.200755) + (xy 116.052385 98.245659) + (xy 116.035414 98.284876) + (xy 116.034108 98.291126) + (xy 116.034108 98.291127) + (xy 115.997673 98.46553) + (xy 115.995756 98.474705) + (xy 115.995485 98.552257) + (xy 115.995112 98.659278) + (xy 115.995079 98.668631) + (xy 116.008805 98.736705) + (xy 116.020687 98.795631) + (xy 116.03341 98.858732) + (xy 116.035905 98.864609) + (xy 116.035905 98.86461) + (xy 116.105328 99.028158) + (xy 116.109184 99.037243) + (xy 116.219304 99.196871) + (xy 116.359273 99.331096) + (xy 116.412113 99.364371) + (xy 116.517965 99.43103) + (xy 116.517969 99.431032) + (xy 116.523373 99.434435) + (xy 116.7049 99.502667) + (xy 116.711204 99.503665) + (xy 116.711206 99.503666) + (xy 116.758623 99.511176) + (xy 116.896439 99.533004) + (xy 117.090167 99.524207) + (xy 117.096352 99.522642) + (xy 117.27198 99.478201) + (xy 117.271982 99.4782) + (xy 117.278168 99.476635) + (xy 117.283915 99.473857) + (xy 117.447016 99.395011) + (xy 117.447018 99.39501) + (xy 117.452764 99.392232) + (xy 117.457833 99.388356) + (xy 117.457837 99.388354) + (xy 117.553737 99.315032) + (xy 117.606823 99.274445) + (xy 117.703691 99.163012) + (xy 117.729863 99.132905) + (xy 117.729864 99.132903) + (xy 117.734051 99.128087) + (xy 117.82925 98.959135) + (xy 117.888533 98.774491) + (xy 117.90254 98.645559) + (xy 129.589541 98.645559) + (xy 129.590243 98.656711) + (xy 129.621165 98.858795) + (xy 129.623831 98.869648) + (xy 129.69005 99.06306) + (xy 129.694601 99.07328) + (xy 129.774435 99.216714) + (xy 129.784487 99.226605) + (xy 129.792091 99.223699) + (xy 130.427978 98.587812) + (xy 130.435592 98.573868) + (xy 130.435461 98.572035) + (xy 130.43121 98.56542) + (xy 129.797897 97.932107) + (xy 129.785517 97.925347) + (xy 129.777545 97.931315) + (xy 129.722194 98.019551) + (xy 129.717114 98.029521) + (xy 129.640864 98.219198) + (xy 129.637633 98.229898) + (xy 129.596177 98.430084) + (xy 129.594892 98.441191) + (xy 129.589541 98.645559) + (xy 117.90254 98.645559) + (xy 117.909477 98.581699) + (xy 117.9095 98.575) + (xy 117.90902 98.570269) + (xy 117.890547 98.388415) + (xy 117.890547 98.388414) + (xy 117.889902 98.382066) + (xy 117.83191 98.197013) + (xy 117.737893 98.0274) + (xy 117.61169 97.880157) + (xy 117.503274 97.796061) + (xy 117.461707 97.738504) + (xy 117.4545 97.696501) + (xy 117.4545 97.568631) + (xy 120.695079 97.568631) + (xy 120.710495 97.645084) + (xy 120.719814 97.691301) + (xy 120.73341 97.758732) + (xy 120.735905 97.764609) + (xy 120.735905 97.76461) + (xy 120.806668 97.931315) + (xy 120.809184 97.937243) + (xy 120.919304 98.096871) + (xy 121.059273 98.231096) + (xy 121.103057 98.258668) + (xy 121.217965 98.33103) + (xy 121.217969 98.331032) + (xy 121.223373 98.334435) + (xy 121.4049 98.402667) + (xy 121.411204 98.403665) + (xy 121.411206 98.403666) + (xy 121.496272 98.417139) + (xy 121.596439 98.433004) + (xy 121.790167 98.424207) + (xy 121.816309 98.417592) + (xy 121.97198 98.378201) + (xy 121.971982 98.3782) + (xy 121.978168 98.376635) + (xy 121.994107 98.36893) + (xy 122.147016 98.295011) + (xy 122.147018 98.29501) + (xy 122.152764 98.292232) + (xy 122.157833 98.288356) + (xy 122.157837 98.288354) + (xy 122.247307 98.219949) + (xy 122.306823 98.174445) + (xy 122.416365 98.048432) + (xy 122.429863 98.032905) + (xy 122.429864 98.032903) + (xy 122.434051 98.028087) + (xy 122.52925 97.859135) + (xy 122.588533 97.674491) + (xy 122.609477 97.481699) + (xy 122.6095 97.475) + (xy 122.606415 97.444624) + (xy 122.590547 97.288415) + (xy 122.590547 97.288414) + (xy 122.589902 97.282066) + (xy 122.53191 97.097013) + (xy 122.437893 96.9274) + (xy 122.31169 96.780157) + (xy 122.158458 96.661298) + (xy 121.984455 96.575678) + (xy 121.978277 96.574069) + (xy 121.978275 96.574068) + (xy 121.802972 96.528405) + (xy 121.802969 96.528405) + (xy 121.79679 96.526795) + (xy 121.713491 96.52243) + (xy 121.60951 96.51698) + (xy 121.609506 96.51698) + (xy 121.603129 96.516646) + (xy 121.470398 96.536719) + (xy 121.417696 96.544689) + (xy 121.417695 96.544689) + (xy 121.411382 96.545644) + (xy 121.405393 96.547847) + (xy 121.405391 96.547848) + (xy 121.306631 96.584185) + (xy 121.229383 96.612607) + (xy 121.064566 96.714798) + (xy 120.923663 96.848043) + (xy 120.812431 97.006899) + (xy 120.771579 97.101303) + (xy 120.748129 97.155494) + (xy 120.735414 97.184876) + (xy 120.734108 97.191126) + (xy 120.734108 97.191127) + (xy 120.697201 97.36779) + (xy 120.695756 97.374705) + (xy 120.695544 97.435557) + (xy 120.695121 97.556676) + (xy 120.695079 97.568631) + (xy 117.4545 97.568631) + (xy 117.4545 95.964842) + (xy 118.445208 95.964842) + (xy 118.456295 96.016121) + (xy 118.482636 96.137951) + (xy 118.484441 96.1463) + (xy 118.524821 96.234498) + (xy 118.553141 96.296353) + (xy 118.561724 96.315101) + (xy 118.565828 96.320547) + (xy 118.565829 96.320549) + (xy 118.60996 96.379113) + (xy 118.673451 96.463368) + (xy 118.814411 96.584185) + (xy 118.978026 96.671915) + (xy 118.984594 96.673773) + (xy 118.984595 96.673774) + (xy 119.150095 96.720606) + (xy 119.150097 96.720606) + (xy 119.156662 96.722464) + (xy 119.163471 96.722869) + (xy 119.163474 96.722869) + (xy 119.304791 96.731264) + (xy 119.341986 96.733474) + (xy 119.525352 96.704432) + (xy 119.603582 96.673774) + (xy 119.635416 96.661298) + (xy 119.698203 96.636692) + (xy 119.703871 96.632898) + (xy 119.703876 96.632895) + (xy 119.83287 96.54654) + (xy 119.852476 96.533415) + (xy 119.980973 96.39942) + (xy 119.988409 96.387239) + (xy 120.060445 96.269223) + (xy 120.077699 96.240957) + (xy 120.093953 96.193751) + (xy 120.135918 96.071878) + (xy 120.135919 96.071875) + (xy 120.138141 96.065421) + (xy 120.141397 96.037285) + (xy 120.154638 95.922841) + (xy 120.159479 95.881) + (xy 120.1595 95.875) + (xy 120.158043 95.861583) + (xy 120.140187 95.69722) + (xy 120.13945 95.690435) + (xy 120.080235 95.514481) + (xy 120.072109 95.500956) + (xy 120.00532 95.389803) + (xy 119.984617 95.355347) + (xy 119.965783 95.33543) + (xy 119.915522 95.282281) + (xy 119.857059 95.220458) + (xy 119.828387 95.200972) + (xy 119.709153 95.119941) + (xy 119.709151 95.11994) + (xy 119.703511 95.116107) + (xy 119.697182 95.113575) + (xy 119.697179 95.113574) + (xy 119.537478 95.049698) + (xy 119.537476 95.049697) + (xy 119.531137 95.047162) + (xy 119.406668 95.026556) + (xy 119.354716 95.017955) + (xy 119.354713 95.017955) + (xy 119.347979 95.01684) + (xy 119.341162 95.017197) + (xy 119.341158 95.017197) + (xy 119.184627 95.025401) + (xy 119.162582 95.026556) + (xy 119.156008 95.028367) + (xy 119.156007 95.028367) + (xy 119.027613 95.063733) + (xy 118.983597 95.075857) + (xy 118.819374 95.162442) + (xy 118.677574 95.282272) + (xy 118.673427 95.287696) + (xy 118.673426 95.287697) + (xy 118.568958 95.424335) + (xy 118.568955 95.424339) + (xy 118.564814 95.429756) + (xy 118.486355 95.598013) + (xy 118.445856 95.779192) + (xy 118.445208 95.964842) + (xy 117.4545 95.964842) + (xy 117.4545 95.561161) + (xy 117.474502 95.49304) + (xy 117.491405 95.472066) + (xy 120.522066 92.441405) + (xy 120.584378 92.407379) + (xy 120.611161 92.4045) + (xy 121.1227 92.4045) + (xy 121.190821 92.424502) + (xy 121.237314 92.478158) + (xy 121.247418 92.548432) + (xy 121.217924 92.613012) + (xy 121.1891 92.637584) + (xy 121.064566 92.714798) + (xy 120.923663 92.848043) + (xy 120.871488 92.922557) + (xy 120.817435 92.999753) + (xy 120.812431 93.006899) + (xy 120.772653 93.098822) + (xy 120.741838 93.170032) + (xy 120.735414 93.184876) + (xy 120.734108 93.191126) + (xy 120.734108 93.191127) + (xy 120.697295 93.36734) + (xy 120.695756 93.374705) + (xy 120.695529 93.439751) + (xy 120.69511 93.559882) + (xy 120.695079 93.568631) + (xy 120.7092 93.638665) + (xy 120.731221 93.747874) + (xy 120.73341 93.758732) + (xy 120.735905 93.764609) + (xy 120.735905 93.76461) + (xy 120.806672 93.931324) + (xy 120.809184 93.937243) + (xy 120.919304 94.096871) + (xy 121.059273 94.231096) + (xy 121.115365 94.266419) + (xy 121.217965 94.33103) + (xy 121.217969 94.331032) + (xy 121.223373 94.334435) + (xy 121.4049 94.402667) + (xy 121.411204 94.403665) + (xy 121.411206 94.403666) + (xy 121.483468 94.415111) + (xy 121.596439 94.433004) + (xy 121.790167 94.424207) + (xy 121.812523 94.41855) + (xy 121.97198 94.378201) + (xy 121.971982 94.3782) + (xy 121.978168 94.376635) + (xy 121.985669 94.373009) + (xy 122.147016 94.295011) + (xy 122.147018 94.29501) + (xy 122.152764 94.292232) + (xy 122.162013 94.285161) + (xy 122.219243 94.241405) + (xy 122.306823 94.174445) + (xy 122.388725 94.080228) + (xy 122.429863 94.032905) + (xy 122.429864 94.032903) + (xy 122.434051 94.028087) + (xy 122.52925 93.859135) + (xy 122.588533 93.674491) + (xy 122.609477 93.481699) + (xy 122.6095 93.475) + (xy 122.607012 93.4505) + (xy 122.590547 93.288415) + (xy 122.590547 93.288414) + (xy 122.589902 93.282066) + (xy 122.53191 93.097013) + (xy 122.437893 92.9274) + (xy 122.31169 92.780157) + (xy 122.158458 92.661298) + (xy 122.152737 92.658483) + (xy 122.152734 92.658481) + (xy 122.1224 92.643555) + (xy 122.070109 92.595532) + (xy 122.052082 92.526863) + (xy 122.074042 92.459348) + (xy 122.129017 92.414423) + (xy 122.178029 92.4045) + (xy 128.964429 92.4045) + (xy 129.03255 92.424502) + (xy 129.079043 92.478158) + (xy 129.089147 92.548432) + (xy 129.085147 92.566597) + (xy 129.070959 92.614039) + (xy 129.0705 92.620216) + (xy 129.0705 93.222413) + (xy 129.07682 93.266543) + (xy 129.083166 93.310851) + (xy 129.085477 93.326991) + (xy 129.143801 93.455269) + (xy 129.149659 93.462068) + (xy 129.149662 93.462072) + (xy 129.229925 93.555221) + (xy 129.229928 93.555223) + (xy 129.235785 93.562021) + (xy 129.354032 93.638665) + (xy 129.362634 93.641237) + (xy 129.362637 93.641239) + (xy 129.482498 93.677085) + (xy 129.4825 93.677085) + (xy 129.489039 93.679041) + (xy 129.495216 93.6795) + (xy 130.072413 93.6795) + (xy 130.133091 93.67081) + (xy 130.168104 93.665796) + (xy 130.168106 93.665795) + (xy 130.176991 93.664523) + (xy 130.305269 93.606199) + (xy 130.312068 93.600341) + (xy 130.312072 93.600338) + (xy 130.405221 93.520075) + (xy 130.405223 93.520072) + (xy 130.412021 93.514215) + (xy 130.488665 93.395968) + (xy 130.491237 93.387366) + (xy 130.491239 93.387363) + (xy 130.522729 93.282066) + (xy 130.529041 93.260961) + (xy 130.529138 93.259661) + (xy 130.560211 93.198186) + (xy 130.621411 93.162198) + (xy 130.692352 93.16501) + (xy 130.750511 93.205729) + (xy 130.77682 93.266543) + (xy 130.783166 93.310851) + (xy 130.785477 93.326991) + (xy 130.843801 93.455269) + (xy 130.849659 93.462068) + (xy 130.849662 93.462072) + (xy 130.929925 93.555221) + (xy 130.929928 93.555223) + (xy 130.935785 93.562021) + (xy 131.054032 93.638665) + (xy 131.062634 93.641237) + (xy 131.062637 93.641239) + (xy 131.182498 93.677085) + (xy 131.1825 93.677085) + (xy 131.189039 93.679041) + (xy 131.195216 93.6795) + (xy 131.772413 93.6795) + (xy 131.833091 93.67081) + (xy 131.868104 93.665796) + (xy 131.868106 93.665795) + (xy 131.876991 93.664523) + (xy 132.005269 93.606199) + (xy 132.012068 93.600341) + (xy 132.012072 93.600338) + (xy 132.105221 93.520075) + (xy 132.105223 93.520072) + (xy 132.112021 93.514215) + (xy 132.188665 93.395968) + (xy 132.191237 93.387366) + (xy 132.191239 93.387363) + (xy 132.227085 93.267502) + (xy 132.227085 93.2675) + (xy 132.229041 93.260961) + (xy 132.2295 93.254784) + (xy 132.2295 92.652587) + (xy 132.214523 92.548009) + (xy 132.156199 92.419731) + (xy 132.150341 92.412932) + (xy 132.150338 92.412928) + (xy 132.070073 92.319777) + (xy 132.070071 92.319775) + (xy 132.064215 92.312979) + (xy 132.056686 92.308099) + (xy 132.049917 92.302194) + (xy 132.051949 92.299865) + (xy 132.015691 92.257702) + (xy 132.0045 92.20579) + (xy 132.0045 91.789615) + (xy 132.024502 91.721494) + (xy 132.048252 91.694162) + (xy 132.049164 91.693376) + (xy 132.112021 91.639215) + (xy 132.188665 91.520968) + (xy 132.191237 91.512366) + (xy 132.191239 91.512363) + (xy 132.227085 91.392502) + (xy 132.227085 91.3925) + (xy 132.229041 91.385961) + (xy 132.2295 91.379784) + (xy 132.2295 90.984661) + (xy 132.249502 90.91654) + (xy 132.303158 90.870047) + (xy 132.373432 90.859943) + (xy 132.438012 90.889437) + (xy 132.444595 90.895566) + (xy 133.856418 92.307388) + (xy 133.863438 92.316174) + (xy 133.863889 92.31579) + (xy 133.869704 92.322623) + (xy 133.874496 92.330217) + (xy 133.895917 92.349135) + (xy 133.912243 92.363554) + (xy 133.91793 92.3689) + (xy 133.930453 92.381423) + (xy 133.939761 92.388399) + (xy 133.947599 92.39478) + (xy 133.969841 92.414423) + (xy 133.982773 92.425844) + (xy 133.990896 92.429658) + (xy 133.993727 92.431517) + (xy 134.007672 92.439895) + (xy 134.010639 92.44152) + (xy 134.017826 92.446906) + (xy 134.04625 92.457561) + (xy 134.061746 92.46337) + (xy 134.071068 92.467298) + (xy 134.105407 92.48342) + (xy 134.113537 92.487237) + (xy 134.12241 92.488618) + (xy 134.125647 92.489608) + (xy 134.141392 92.493738) + (xy 134.144687 92.494463) + (xy 134.153093 92.497614) + (xy 134.199896 92.501092) + (xy 134.209916 92.502244) + (xy 134.219586 92.50375) + (xy 134.219595 92.503751) + (xy 134.224407 92.5045) + (xy 134.241073 92.5045) + (xy 134.250412 92.504847) + (xy 134.288203 92.507656) + (xy 134.288205 92.507656) + (xy 134.297154 92.508321) + (xy 134.305929 92.506448) + (xy 134.314891 92.505837) + (xy 134.314897 92.505921) + (xy 134.328353 92.5045) + (xy 134.820325 92.5045) + (xy 134.888446 92.524502) + (xy 134.934939 92.578158) + (xy 134.945043 92.648432) + (xy 134.915549 92.713012) + (xy 134.906898 92.722048) + (xy 134.778302 92.843655) + (xy 134.778297 92.843661) + (xy 134.773663 92.848043) + (xy 134.721488 92.922557) + (xy 134.667435 92.999753) + (xy 134.662431 93.006899) + (xy 134.622653 93.098822) + (xy 134.591838 93.170032) + (xy 134.585414 93.184876) + (xy 134.584108 93.191126) + (xy 134.584108 93.191127) + (xy 134.547295 93.36734) + (xy 134.545756 93.374705) + (xy 134.545529 93.439751) + (xy 134.54511 93.559882) + (xy 134.545079 93.568631) + (xy 134.5592 93.638665) + (xy 134.581221 93.747874) + (xy 134.58341 93.758732) + (xy 134.585905 93.764609) + (xy 134.585905 93.76461) + (xy 134.656672 93.931324) + (xy 134.659184 93.937243) + (xy 134.701396 93.998433) + (xy 134.723613 94.065863) + (xy 134.705847 94.134601) + (xy 134.653739 94.182822) + (xy 134.59768 94.19598) + (xy 132.483085 94.19598) + (xy 132.461998 94.193736) + (xy 132.458481 94.19357) + (xy 132.448303 94.191379) + (xy 132.416805 94.195107) + (xy 132.411691 94.195409) + (xy 132.411703 94.195552) + (xy 132.406525 94.19598) + (xy 132.401328 94.19598) + (xy 132.391503 94.197615) + (xy 132.384285 94.198816) + (xy 132.378413 94.199651) + (xy 132.36676 94.201031) + (xy 132.331071 94.205255) + (xy 132.323361 94.208957) + (xy 132.31492 94.210362) + (xy 132.275511 94.231626) + (xy 132.206068 94.246371) + (xy 132.139672 94.221229) + (xy 132.120229 94.202986) + (xy 132.070075 94.144779) + (xy 132.070072 94.144777) + (xy 132.064215 94.137979) + (xy 131.945968 94.061335) + (xy 131.937366 94.058763) + (xy 131.937363 94.058761) + (xy 131.817502 94.022915) + (xy 131.8175 94.022915) + (xy 131.810961 94.020959) + (xy 131.804784 94.0205) + (xy 131.227587 94.0205) + (xy 131.166909 94.02919) + (xy 131.131896 94.034204) + (xy 131.131894 94.034205) + (xy 131.123009 94.035477) + (xy 131.114839 94.039192) + (xy 131.114838 94.039192) + (xy 131.095186 94.048127) + (xy 130.994731 94.093801) + (xy 130.987932 94.099659) + (xy 130.987928 94.099662) + (xy 130.894779 94.179925) + (xy 130.894777 94.179928) + (xy 130.887979 94.185785) + (xy 130.811335 94.304032) + (xy 130.808763 94.312634) + (xy 130.808761 94.312637) + (xy 130.78719 94.384768) + (xy 130.770959 94.439039) + (xy 130.770862 94.440339) + (xy 130.739789 94.501814) + (xy 130.678589 94.537802) + (xy 130.607648 94.53499) + (xy 130.549489 94.494271) + (xy 130.52318 94.433457) + (xy 130.515796 94.381896) + (xy 130.515795 94.381894) + (xy 130.514523 94.373009) + (xy 130.456199 94.244731) + (xy 130.450341 94.237932) + (xy 130.450338 94.237928) + (xy 130.370075 94.144779) + (xy 130.370072 94.144777) + (xy 130.364215 94.137979) + (xy 130.245968 94.061335) + (xy 130.237366 94.058763) + (xy 130.237363 94.058761) + (xy 130.117502 94.022915) + (xy 130.1175 94.022915) + (xy 130.110961 94.020959) + (xy 130.104784 94.0205) + (xy 129.527587 94.0205) + (xy 129.466909 94.02919) + (xy 129.431896 94.034204) + (xy 129.431894 94.034205) + (xy 129.423009 94.035477) + (xy 129.414839 94.039192) + (xy 129.414838 94.039192) + (xy 129.395186 94.048127) + (xy 129.294731 94.093801) + (xy 129.287932 94.099659) + (xy 129.287928 94.099662) + (xy 129.194779 94.179925) + (xy 129.194777 94.179928) + (xy 129.187979 94.185785) + (xy 129.111335 94.304032) + (xy 129.108763 94.312634) + (xy 129.108761 94.312637) + (xy 129.072915 94.432498) + (xy 129.070959 94.439039) + (xy 129.0705 94.445216) + (xy 129.0705 95.047413) + (xy 129.085477 95.151991) + (xy 129.143801 95.280269) + (xy 129.149659 95.287068) + (xy 129.149662 95.287072) + (xy 129.229925 95.380221) + (xy 129.229928 95.380223) + (xy 129.235785 95.387021) + (xy 129.354032 95.463665) + (xy 129.362634 95.466237) + (xy 129.362637 95.466239) + (xy 129.482498 95.502085) + (xy 129.4825 95.502085) + (xy 129.489039 95.504041) + (xy 129.495216 95.5045) + (xy 129.988471 95.5045) + (xy 130.056592 95.524502) + (xy 130.077566 95.541405) + (xy 130.350263 95.814102) + (xy 130.363576 95.830585) + (xy 130.365952 95.833196) + (xy 130.371603 95.841948) + (xy 130.383082 95.850997) + (xy 130.39651 95.861583) + (xy 130.400344 95.86499) + (xy 130.400437 95.86488) + (xy 130.404395 95.868234) + (xy 130.408072 95.871911) + (xy 130.412297 95.87493) + (xy 130.412299 95.874932) + (xy 130.422119 95.88195) + (xy 130.426862 95.885512) + (xy 130.45613 95.908584) + (xy 130.456132 95.908585) + (xy 130.46431 95.915032) + (xy 130.466825 95.915915) + (xy 130.513701 95.963058) + (xy 130.518388 95.984903) + (xy 130.539318 95.966931) + (xy 130.592532 95.958937) + (xy 130.592443 95.956665) + (xy 130.645084 95.954597) + (xy 130.65003 95.9545) + (xy 132.048283 95.9545) + (xy 132.06937 95.956744) + (xy 132.072887 95.95691) + (xy 132.083065 95.959101) + (xy 132.114563 95.955373) + (xy 132.119677 95.955071) + (xy 132.119665 95.954928) + (xy 132.124843 95.9545) + (xy 132.13004 95.9545) + (xy 132.139865 95.952865) + (xy 132.147083 95.951664) + (xy 132.152956 95.950829) + (xy 132.174947 95.948226) + (xy 132.244947 95.960082) + (xy 132.297424 96.0079) + (xy 132.312912 96.046725) + (xy 132.332636 96.137951) + (xy 132.334441 96.1463) + (xy 132.374821 96.234498) + (xy 132.403141 96.296353) + (xy 132.411724 96.315101) + (xy 132.415828 96.320547) + (xy 132.415829 96.320549) + (xy 132.45996 96.379113) + (xy 132.523451 96.463368) + (xy 132.664411 96.584185) + (xy 132.828026 96.671915) + (xy 132.834594 96.673773) + (xy 132.834595 96.673774) + (xy 133.000095 96.720606) + (xy 133.000097 96.720606) + (xy 133.006662 96.722464) + (xy 133.013471 96.722869) + (xy 133.013474 96.722869) + (xy 133.154791 96.731264) + (xy 133.191986 96.733474) + (xy 133.375352 96.704432) + (xy 133.453582 96.673774) + (xy 133.485416 96.661298) + (xy 133.548203 96.636692) + (xy 133.553871 96.632898) + (xy 133.553876 96.632895) + (xy 133.68287 96.54654) + (xy 133.702476 96.533415) + (xy 133.830973 96.39942) + (xy 133.838409 96.387239) + (xy 133.910445 96.269223) + (xy 133.927699 96.240957) + (xy 133.943953 96.193751) + (xy 133.985918 96.071878) + (xy 133.985919 96.071875) + (xy 133.988141 96.065421) + (xy 133.991397 96.037285) + (xy 134.004638 95.922841) + (xy 134.009479 95.881) + (xy 134.0095 95.875) + (xy 134.008043 95.861583) + (xy 133.990187 95.69722) + (xy 133.98945 95.690435) + (xy 133.930235 95.514481) + (xy 133.918767 95.495395) + (xy 133.900827 95.426702) + (xy 133.922874 95.359215) + (xy 133.977906 95.314361) + (xy 134.02677 95.3045) + (xy 134.585965 95.3045) + (xy 134.654086 95.324502) + (xy 134.67506 95.341405) + (xy 135.640166 96.306511) + (xy 135.674192 96.368823) + (xy 135.669127 96.439638) + (xy 135.62658 96.496474) + (xy 135.56006 96.521285) + (xy 135.544477 96.521433) + (xy 135.459511 96.51698) + (xy 135.459507 96.51698) + (xy 135.453129 96.516646) + (xy 135.320398 96.536719) + (xy 135.267696 96.544689) + (xy 135.267695 96.544689) + (xy 135.261382 96.545644) + (xy 135.255393 96.547847) + (xy 135.255391 96.547848) + (xy 135.156631 96.584185) + (xy 135.079383 96.612607) + (xy 134.914566 96.714798) + (xy 134.773663 96.848043) + (xy 134.662431 97.006899) + (xy 134.621579 97.101303) + (xy 134.598129 97.155494) + (xy 134.585414 97.184876) + (xy 134.584108 97.191126) + (xy 134.584108 97.191127) + (xy 134.547201 97.36779) + (xy 134.545756 97.374705) + (xy 134.545544 97.435557) + (xy 134.545121 97.556676) + (xy 134.545079 97.568631) + (xy 134.560495 97.645084) + (xy 134.569814 97.691301) + (xy 134.58341 97.758732) + (xy 134.585905 97.764609) + (xy 134.585905 97.76461) + (xy 134.656668 97.931315) + (xy 134.659184 97.937243) + (xy 134.68622 97.976434) + (xy 134.735888 98.048432) + (xy 134.758106 98.115863) + (xy 134.74034 98.184601) + (xy 134.688233 98.232822) + (xy 134.632173 98.24598) + (xy 133.864515 98.24598) + (xy 133.796394 98.225978) + (xy 133.77542 98.209075) + (xy 132.002743 96.436398) + (xy 131.98943 96.419915) + (xy 131.987054 96.417304) + (xy 131.981403 96.408552) + (xy 131.956496 96.388917) + (xy 131.952665 96.385513) + (xy 131.952572 96.385623) + (xy 131.948615 96.38227) + (xy 131.944934 96.378589) + (xy 131.930882 96.368547) + (xy 131.926136 96.364983) + (xy 131.896876 96.341916) + (xy 131.896874 96.341915) + (xy 131.888696 96.335468) + (xy 131.880627 96.332634) + (xy 131.873665 96.327659) + (xy 131.827967 96.313992) + (xy 131.822342 96.312165) + (xy 131.777315 96.296353) + (xy 131.773239 96.296) + (xy 131.77053 96.296) + (xy 131.767797 96.295882) + (xy 131.767803 96.295737) + (xy 131.766689 96.295667) + (xy 131.760564 96.293835) + (xy 131.708923 96.295864) + (xy 131.707922 96.295903) + (xy 131.702976 96.296) + (xy 130.723912 96.296) + (xy 130.655791 96.275998) + (xy 130.647871 96.270049) + (xy 130.644864 96.266559) + (xy 130.523642 96.187987) + (xy 130.52556 96.185028) + (xy 130.484841 96.149422) + (xy 130.476156 96.119344) + (xy 130.446639 96.141309) + (xy 130.387859 96.147379) + (xy 130.38524 96.146596) + (xy 130.376263 96.146541) + (xy 130.376262 96.146541) + (xy 130.31602 96.146173) + (xy 130.240784 96.145714) + (xy 130.101887 96.185411) + (xy 129.979714 96.262496) + (xy 129.970956 96.272413) + (xy 129.97082 96.272567) + (xy 129.967074 96.274925) + (xy 129.966936 96.275042) + (xy 129.966919 96.275022) + (xy 129.910733 96.310384) + (xy 129.83974 96.309712) + (xy 129.793551 96.284106) + (xy 129.790794 96.281701) + (xy 129.784933 96.274899) + (xy 129.663711 96.196327) + (xy 129.525309 96.154936) + (xy 129.516333 96.154881) + (xy 129.516332 96.154881) + (xy 129.455055 96.154507) + (xy 129.380853 96.154054) + (xy 129.241956 96.193751) + (xy 129.119783 96.270836) + (xy 129.024156 96.379113) + (xy 129.013361 96.402105) + (xy 128.967257 96.500306) + (xy 128.962763 96.509877) + (xy 128.961382 96.518746) + (xy 128.94263 96.639182) + (xy 128.940538 96.652615) + (xy 128.941702 96.661517) + (xy 128.941702 96.66152) + (xy 128.958104 96.78695) + (xy 128.958105 96.786954) + (xy 128.959269 96.795855) + (xy 129.017449 96.928079) + (xy 129.023226 96.934952) + (xy 129.023227 96.934953) + (xy 129.09773 97.023585) + (xy 129.110402 97.03866) + (xy 129.117879 97.043637) + (xy 129.198065 97.097013) + (xy 129.230655 97.118707) + (xy 129.368541 97.161786) + (xy 129.402324 97.162405) + (xy 129.470066 97.183652) + (xy 129.48911 97.199289) + (xy 129.703934 97.414113) + (xy 129.717247 97.430596) + (xy 129.719623 97.433207) + (xy 129.725274 97.441959) + (xy 129.747893 97.45979) + (xy 129.750181 97.461594) + (xy 129.754012 97.464998) + (xy 129.754105 97.464888) + (xy 129.758062 97.468241) + (xy 129.761743 97.471922) + (xy 129.770682 97.47831) + (xy 129.775795 97.481964) + (xy 129.780541 97.485528) + (xy 129.809801 97.508595) + (xy 129.809803 97.508596) + (xy 129.817981 97.515043) + (xy 129.82605 97.517877) + (xy 129.833012 97.522852) + (xy 129.850841 97.528184) + (xy 129.87871 97.536519) + (xy 129.884335 97.538346) + (xy 129.929362 97.554158) + (xy 129.933438 97.554511) + (xy 129.936147 97.554511) + (xy 129.93888 97.554629) + (xy 129.938874 97.554774) + (xy 129.939988 97.554844) + (xy 129.946113 97.556676) + (xy 129.998755 97.554608) + (xy 130.003701 97.554511) + (xy 130.086531 97.554511) + (xy 130.154652 97.574513) + (xy 130.175626 97.591416) + (xy 131.804608 99.220398) + (xy 131.816988 99.227158) + (xy 131.823252 99.222469) + (xy 131.910562 99.061666) + (xy 131.914995 99.05142) + (xy 131.979191 98.857312) + (xy 131.981741 98.846439) + (xy 132.010827 98.642075) + (xy 132.011433 98.634859) + (xy 132.012905 98.578632) + (xy 132.012677 98.571379) + (xy 131.994328 98.365791) + (xy 131.992346 98.354777) + (xy 131.981757 98.316068) + (xy 131.983075 98.245083) + (xy 132.022561 98.18608) + (xy 132.087678 98.157791) + (xy 132.157752 98.169198) + (xy 132.192386 98.193725) + (xy 133.212763 99.214102) + (xy 133.226076 99.230585) + (xy 133.228452 99.233196) + (xy 133.234103 99.241948) + (xy 133.25901 99.261583) + (xy 133.262844 99.26499) + (xy 133.262937 99.26488) + (xy 133.266895 99.268234) + (xy 133.270572 99.271911) + (xy 133.274797 99.27493) + (xy 133.274799 99.274932) + (xy 133.284619 99.28195) + (xy 133.289362 99.285512) + (xy 133.318633 99.308586) + (xy 133.32681 99.315032) + (xy 133.33488 99.317866) + (xy 133.341842 99.322841) + (xy 133.387536 99.336507) + (xy 133.39317 99.338337) + (xy 133.430712 99.351521) + (xy 133.430715 99.351522) + (xy 133.438191 99.354147) + (xy 133.442267 99.3545) + (xy 133.444975 99.3545) + (xy 133.447709 99.354618) + (xy 133.447703 99.354763) + (xy 133.448818 99.354833) + (xy 133.454943 99.356665) + (xy 133.507584 99.354597) + (xy 133.51253 99.3545) + (xy 150.700971 99.3545) + (xy 150.769092 99.374502) + (xy 150.790066 99.391405) + (xy 153.38934 101.990679) + (xy 153.402653 102.007162) + (xy 153.405029 102.009773) + (xy 153.41068 102.018525) + (xy 153.418859 102.024973) + (xy 153.425869 102.032677) + (xy 153.423435 102.034892) + (xy 153.45436 102.078424) + (xy 153.457658 102.149344) + (xy 153.42209 102.210789) + (xy 153.358948 102.24325) + (xy 153.335243 102.2455) + (xy 152.599029 102.2455) + (xy 152.530908 102.225498) + (xy 152.509934 102.208595) + (xy 151.087237 100.785898) + (xy 151.073924 100.769415) + (xy 151.071548 100.766804) + (xy 151.065897 100.758052) + (xy 151.04099 100.738417) + (xy 151.037159 100.735013) + (xy 151.037066 100.735123) + (xy 151.033109 100.73177) + (xy 151.029428 100.728089) + (xy 151.015376 100.718047) + (xy 151.01063 100.714483) + (xy 150.98137 100.691416) + (xy 150.981368 100.691415) + (xy 150.97319 100.684968) + (xy 150.965121 100.682134) + (xy 150.958159 100.677159) + (xy 150.912461 100.663492) + (xy 150.906836 100.661665) + (xy 150.861809 100.645853) + (xy 150.857733 100.6455) + (xy 150.855024 100.6455) + (xy 150.852291 100.645382) + (xy 150.852297 100.645237) + (xy 150.851183 100.645167) + (xy 150.845058 100.643335) + (xy 150.796642 100.645237) + (xy 150.792416 100.645403) + (xy 150.78747 100.6455) + (xy 149.451717 100.6455) + (xy 149.43063 100.643256) + (xy 149.427113 100.64309) + (xy 149.416935 100.640899) + (xy 149.385437 100.644627) + (xy 149.380323 100.644929) + (xy 149.380335 100.645072) + (xy 149.375157 100.6455) + (xy 149.36996 100.6455) + (xy 149.360135 100.647135) + (xy 149.352917 100.648336) + (xy 149.347045 100.649171) + (xy 149.335392 100.650551) + (xy 149.299703 100.654775) + (xy 149.291993 100.658477) + (xy 149.283552 100.659882) + (xy 149.274388 100.664827) + (xy 149.274387 100.664827) + (xy 149.241588 100.682525) + (xy 149.236294 100.685223) + (xy 149.193286 100.705874) + (xy 149.190154 100.708507) + (xy 149.18822 100.710441) + (xy 149.186231 100.712265) + (xy 149.186132 100.712157) + (xy 149.185285 100.712904) + (xy 149.17966 100.715939) + (xy 149.172589 100.723588) + (xy 149.172588 100.723589) + (xy 149.143897 100.754627) + (xy 149.140468 100.758193) + (xy 148.673576 101.225085) + (xy 148.611264 101.259111) + (xy 148.547957 101.25658) + (xy 148.547245 101.256364) + (xy 148.534496 101.252503) + (xy 148.527187 101.25205) + (xy 148.527184 101.252049) + (xy 148.452843 101.247437) + (xy 148.358927 101.241611) + (xy 148.351712 101.242851) + (xy 148.351709 101.242851) + (xy 148.192778 101.270161) + (xy 148.185562 101.271401) + (xy 148.023699 101.340274) + (xy 148.017811 101.344607) + (xy 148.017806 101.34461) + (xy 147.887921 101.440195) + (xy 147.887917 101.440199) + (xy 147.882022 101.444537) + (xy 147.768131 101.578596) + (xy 147.742201 101.629376) + (xy 147.691839 101.728005) + (xy 147.688134 101.73526) + (xy 147.686395 101.742365) + (xy 147.686394 101.742369) + (xy 147.673786 101.793897) + (xy 147.646324 101.906125) + (xy 147.646266 101.913444) + (xy 147.646266 101.913448) + (xy 147.645953 101.953317) + (xy 147.644942 102.082026) + (xy 147.684064 102.253526) + (xy 147.687289 102.260095) + (xy 147.68729 102.260097) + (xy 147.692829 102.271379) + (xy 147.76159 102.411427) + (xy 147.766242 102.41708) + (xy 147.766243 102.417082) + (xy 147.868708 102.541605) + (xy 147.868711 102.541607) + (xy 147.873361 102.547259) + (xy 147.879188 102.55169) + (xy 147.879189 102.551691) + (xy 148.007558 102.649305) + (xy 148.007561 102.649306) + (xy 148.013383 102.653734) + (xy 148.020064 102.656701) + (xy 148.020068 102.656704) + (xy 148.167457 102.722171) + (xy 148.167459 102.722172) + (xy 148.174144 102.725141) + (xy 148.34702 102.75765) + (xy 148.354328 102.757312) + (xy 148.354331 102.757312) + (xy 148.515423 102.749856) + (xy 148.515427 102.749855) + (xy 148.522738 102.749517) + (xy 148.529776 102.747505) + (xy 148.529779 102.747505) + (xy 148.684834 102.70319) + (xy 148.684838 102.703188) + (xy 148.691872 102.701178) + (xy 148.84535 102.615227) + (xy 148.931836 102.535838) + (xy 148.96955 102.501219) + (xy 148.969551 102.501218) + (xy 148.974938 102.496273) + (xy 149.073685 102.350698) + (xy 149.136294 102.186311) + (xy 149.138821 102.167249) + (xy 149.158894 102.015794) + (xy 149.158894 102.015792) + (xy 149.159406 102.01193) + (xy 149.1595 102) + (xy 149.158479 101.991237) + (xy 149.145974 101.883991) + (xy 149.139605 101.829362) + (xy 149.151583 101.759385) + (xy 149.175662 101.725677) + (xy 149.509934 101.391405) + (xy 149.572246 101.357379) + (xy 149.599029 101.3545) + (xy 149.686138 101.3545) + (xy 149.754259 101.374502) + (xy 149.800752 101.428158) + (xy 149.810856 101.498432) + (xy 149.782165 101.562077) + (xy 149.768131 101.578596) + (xy 149.742201 101.629376) + (xy 149.691839 101.728005) + (xy 149.688134 101.73526) + (xy 149.686395 101.742365) + (xy 149.686394 101.742369) + (xy 149.673786 101.793897) + (xy 149.646324 101.906125) + (xy 149.646266 101.913444) + (xy 149.646266 101.913448) + (xy 149.645953 101.953317) + (xy 149.644942 102.082026) + (xy 149.684064 102.253526) + (xy 149.687289 102.260095) + (xy 149.68729 102.260097) + (xy 149.692829 102.271379) + (xy 149.76159 102.411427) + (xy 149.766242 102.41708) + (xy 149.766243 102.417082) + (xy 149.868708 102.541605) + (xy 149.868711 102.541607) + (xy 149.873361 102.547259) + (xy 149.879188 102.55169) + (xy 149.879189 102.551691) + (xy 150.007558 102.649305) + (xy 150.007561 102.649306) + (xy 150.013383 102.653734) + (xy 150.020064 102.656701) + (xy 150.020068 102.656704) + (xy 150.167457 102.722171) + (xy 150.167459 102.722172) + (xy 150.174144 102.725141) + (xy 150.34702 102.75765) + (xy 150.354328 102.757312) + (xy 150.354331 102.757312) + (xy 150.515421 102.749856) + (xy 150.515424 102.749856) + (xy 150.522738 102.749517) + (xy 150.549008 102.742009) + (xy 150.620002 102.742523) + (xy 150.672725 102.774064) + (xy 151.112763 103.214102) + (xy 151.126076 103.230585) + (xy 151.128452 103.233196) + (xy 151.134103 103.241948) + (xy 151.150481 103.254859) + (xy 151.15901 103.261583) + (xy 151.162844 103.26499) + (xy 151.162937 103.26488) + (xy 151.166895 103.268234) + (xy 151.170572 103.271911) + (xy 151.174797 103.27493) + (xy 151.174799 103.274932) + (xy 151.184619 103.28195) + (xy 151.189362 103.285512) + (xy 151.216621 103.307) + (xy 151.22681 103.315032) + (xy 151.23488 103.317866) + (xy 151.241842 103.322841) + (xy 151.285542 103.33591) + (xy 151.287542 103.336508) + (xy 151.29319 103.338343) + (xy 151.338191 103.354147) + (xy 151.342267 103.3545) + (xy 151.344979 103.3545) + (xy 151.347709 103.354618) + (xy 151.347703 103.354764) + (xy 151.348818 103.354834) + (xy 151.354943 103.356666) + (xy 151.407599 103.354597) + (xy 151.412545 103.3545) + (xy 153.983117 103.3545) + (xy 154.051238 103.374502) + (xy 154.097731 103.428158) + (xy 154.107835 103.498432) + (xy 154.078341 103.563012) + (xy 154.072212 103.569595) + (xy 153.983123 103.658684) + (xy 153.920811 103.69271) + (xy 153.894028 103.695589) + (xy 142.351628 103.695589) + (xy 142.330541 103.693345) + (xy 142.327024 103.693179) + (xy 142.316846 103.690988) + (xy 142.285348 103.694716) + (xy 142.280234 103.695018) + (xy 142.280246 103.695161) + (xy 142.275068 103.695589) + (xy 142.269871 103.695589) + (xy 142.260046 103.697224) + (xy 142.252828 103.698425) + (xy 142.246956 103.69926) + (xy 142.238773 103.700229) + (xy 142.199614 103.704864) + (xy 142.191905 103.708566) + (xy 142.183463 103.709971) + (xy 142.174296 103.714917) + (xy 142.174294 103.714918) + (xy 142.14148 103.732624) + (xy 142.136187 103.735321) + (xy 142.100341 103.752533) + (xy 142.100338 103.752535) + (xy 142.093197 103.755964) + (xy 142.090065 103.758596) + (xy 142.08812 103.760541) + (xy 142.086133 103.762363) + (xy 142.086034 103.762255) + (xy 142.085198 103.762992) + (xy 142.079571 103.766028) + (xy 142.0725 103.773677) + (xy 142.072499 103.773678) + (xy 142.043808 103.804716) + (xy 142.040379 103.808282) + (xy 141.540231 104.30843) + (xy 141.477919 104.342456) + (xy 141.450368 104.345333) + (xy 141.443353 104.34529) + (xy 141.430853 104.345214) + (xy 141.291956 104.384911) + (xy 141.169783 104.461996) + (xy 141.163841 104.468724) + (xy 141.160711 104.472268) + (xy 141.074156 104.570273) + (xy 141.012763 104.701037) + (xy 141.011382 104.709906) + (xy 140.992497 104.831196) + (xy 140.990538 104.843775) + (xy 140.991702 104.852677) + (xy 140.991702 104.85268) + (xy 141.008104 104.97811) + (xy 141.008105 104.978114) + (xy 141.009269 104.987015) + (xy 141.028367 105.030419) + (xy 141.063525 105.11032) + (xy 141.067449 105.119239) + (xy 141.073226 105.126112) + (xy 141.073227 105.126113) + (xy 141.147111 105.214009) + (xy 141.160402 105.22982) + (xy 141.167879 105.234797) + (xy 141.25498 105.292776) + (xy 141.280655 105.309867) + (xy 141.418541 105.352946) + (xy 141.562975 105.355593) + (xy 141.63311 105.336472) + (xy 141.693688 105.319957) + (xy 141.69369 105.319956) + (xy 141.702347 105.317596) + (xy 141.825452 105.242009) + (xy 141.922395 105.134909) + (xy 141.957977 105.061467) + (xy 141.981467 105.012984) + (xy 141.981467 105.012983) + (xy 141.985381 105.004905) + (xy 142.006805 104.877566) + (xy 142.041963 104.809376) + (xy 142.409845 104.441494) + (xy 142.472157 104.407468) + (xy 142.49894 104.404589) + (xy 144.403592 104.404589) + (xy 144.471713 104.424591) + (xy 144.518206 104.478247) + (xy 144.52831 104.548521) + (xy 144.517647 104.584137) + (xy 144.462763 104.701037) + (xy 144.461382 104.709906) + (xy 144.442497 104.831196) + (xy 144.440538 104.843775) + (xy 144.441702 104.852677) + (xy 144.441702 104.85268) + (xy 144.458104 104.97811) + (xy 144.458105 104.978114) + (xy 144.459269 104.987015) + (xy 144.478367 105.030419) + (xy 144.513525 105.11032) + (xy 144.517449 105.119239) + (xy 144.523226 105.126112) + (xy 144.523227 105.126113) + (xy 144.597111 105.214009) + (xy 144.610402 105.22982) + (xy 144.617879 105.234797) + (xy 144.70498 105.292776) + (xy 144.730655 105.309867) + (xy 144.868541 105.352946) + (xy 145.012975 105.355593) + (xy 145.08311 105.336472) + (xy 145.143688 105.319957) + (xy 145.14369 105.319956) + (xy 145.152347 105.317596) + (xy 145.275452 105.242009) + (xy 145.372395 105.134909) + (xy 145.407977 105.061467) + (xy 145.431467 105.012984) + (xy 145.431467 105.012983) + (xy 145.435381 105.004905) + (xy 145.451416 104.909595) + (xy 145.482443 104.845737) + (xy 145.543069 104.808791) + (xy 145.57567 104.8045) + (xy 154.206987 104.8045) + (xy 154.228074 104.806744) + (xy 154.231591 104.80691) + (xy 154.241769 104.809101) + (xy 154.273267 104.805373) + (xy 154.278381 104.805071) + (xy 154.278369 104.804928) + (xy 154.283547 104.8045) + (xy 154.288744 104.8045) + (xy 154.298569 104.802865) + (xy 154.305787 104.801664) + (xy 154.311659 104.800829) + (xy 154.32183 104.799625) + (xy 154.359001 104.795225) + (xy 154.36671 104.791523) + (xy 154.375152 104.790118) + (xy 154.384319 104.785172) + (xy 154.384321 104.785171) + (xy 154.417135 104.767465) + (xy 154.422428 104.764768) + (xy 154.458274 104.747556) + (xy 154.458277 104.747554) + (xy 154.465418 104.744125) + (xy 154.46855 104.741493) + (xy 154.470495 104.739548) + (xy 154.472482 104.737726) + (xy 154.472581 104.737834) + (xy 154.473417 104.737097) + (xy 154.479044 104.734061) + (xy 154.486328 104.726182) + (xy 154.514807 104.695373) + (xy 154.518236 104.691807) + (xy 155.426395 103.783648) + (xy 155.488707 103.749622) + (xy 155.559522 103.754687) + (xy 155.57461 103.762809) + (xy 155.576366 103.762914) + (xy 155.589694 103.755533) + (xy 155.798732 103.546495) + (xy 155.816863 103.531853) + (xy 155.821434 103.529658) + (xy 155.824566 103.527026) + (xy 155.826511 103.525081) + (xy 155.828498 103.523259) + (xy 155.828597 103.523367) + (xy 155.829433 103.52263) + (xy 155.83506 103.519594) + (xy 155.842131 103.511945) + (xy 155.850358 103.505563) + (xy 155.852268 103.508026) + (xy 155.879715 103.491452) + (xy 155.878071 103.487925) + (xy 155.918423 103.469109) + (xy 155.988615 103.458448) + (xy 156.053427 103.487429) + (xy 156.092283 103.546849) + (xy 156.092846 103.617843) + (xy 156.091489 103.621481) + (xy 156.089376 103.624499) + (xy 156.081088 103.65543) + (xy 156.077757 103.667862) + (xy 156.045145 103.724346) + (xy 155.802097 103.967394) + (xy 155.795216 103.979995) + (xy 155.803353 103.991001) + (xy 155.844498 104.022572) + (xy 155.858677 104.030759) + (xy 155.985236 104.083182) + (xy 156.00105 104.087419) + (xy 156.085086 104.098483) + (xy 156.150013 104.127206) + (xy 156.189104 104.186471) + (xy 156.189949 104.257463) + (xy 156.157734 104.3125) + (xy 155.535898 104.934336) + (xy 155.519415 104.947649) + (xy 155.516804 104.950025) + (xy 155.508052 104.955676) + (xy 155.491468 104.976713) + (xy 155.488417 104.980583) + (xy 155.485013 104.984414) + (xy 155.485123 104.984507) + (xy 155.48177 104.988464) + (xy 155.478089 104.992145) + (xy 155.475065 104.996377) + (xy 155.468047 105.006197) + (xy 155.464483 105.010943) + (xy 155.441416 105.040203) + (xy 155.441415 105.040205) + (xy 155.434968 105.048383) + (xy 155.432134 105.056452) + (xy 155.427159 105.063414) + (xy 155.416715 105.098334) + (xy 155.413492 105.109112) + (xy 155.411665 105.114737) + (xy 155.395853 105.159764) + (xy 155.3955 105.16384) + (xy 155.3955 105.166549) + (xy 155.395382 105.169282) + (xy 155.395237 105.169276) + (xy 155.395167 105.17039) + (xy 155.393335 105.176515) + (xy 155.393744 105.186919) + (xy 155.395403 105.229157) + (xy 155.3955 105.234103) + (xy 155.3955 106.05097) + (xy 155.375498 106.119091) + (xy 155.358595 106.140065) + (xy 155.271182 106.227478) + (xy 155.20887 106.261504) + (xy 155.138055 106.256439) + (xy 155.124884 106.25065) + (xy 155.035376 106.205043) + (xy 155.035375 106.205043) + (xy 155.026542 106.200542) + (xy 154.931569 106.1855) + (xy 153.218431 106.1855) + (xy 153.177568 106.191972) + (xy 153.13325 106.198991) + (xy 153.133249 106.198991) + (xy 153.123458 106.200542) + (xy 153.121077 106.201755) + (xy 153.05413 106.203669) + (xy 152.993332 106.167008) + (xy 152.971238 106.127755) + (xy 152.970221 106.128244) + (xy 152.968612 106.124894) + (xy 152.966313 106.119282) + (xy 152.965118 106.112102) + (xy 152.942464 106.070117) + (xy 152.939768 106.064826) + (xy 152.922556 106.02898) + (xy 152.922554 106.028977) + (xy 152.919125 106.021836) + (xy 152.916493 106.018704) + (xy 152.914548 106.016759) + (xy 152.912726 106.014772) + (xy 152.912834 106.014673) + (xy 152.912097 106.013837) + (xy 152.909061 106.00821) + (xy 152.870373 105.972447) + (xy 152.866807 105.969018) + (xy 152.133687 105.235898) + (xy 152.120374 105.219415) + (xy 152.117998 105.216804) + (xy 152.112347 105.208052) + (xy 152.08744 105.188417) + (xy 152.083609 105.185013) + (xy 152.083516 105.185123) + (xy 152.079559 105.18177) + (xy 152.075878 105.178089) + (xy 152.061826 105.168047) + (xy 152.05708 105.164483) + (xy 152.02782 105.141416) + (xy 152.027818 105.141415) + (xy 152.01964 105.134968) + (xy 152.011571 105.132134) + (xy 152.004609 105.127159) + (xy 151.958911 105.113492) + (xy 151.953286 105.111665) + (xy 151.908259 105.095853) + (xy 151.904183 105.0955) + (xy 151.901474 105.0955) + (xy 151.898741 105.095382) + (xy 151.898747 105.095237) + (xy 151.897633 105.095167) + (xy 151.891508 105.093335) + (xy 151.843092 105.095237) + (xy 151.838866 105.095403) + (xy 151.83392 105.0955) + (xy 146.551711 105.0955) + (xy 146.530641 105.093258) + (xy 146.527114 105.093092) + (xy 146.516934 105.0909) + (xy 146.488934 105.094214) + (xy 146.485444 105.094627) + (xy 146.480323 105.094929) + (xy 146.480335 105.095072) + (xy 146.475154 105.0955) + (xy 146.46996 105.0955) + (xy 146.464842 105.096352) + (xy 146.464839 105.096352) + (xy 146.452931 105.098334) + (xy 146.447054 105.09917) + (xy 146.399702 105.104775) + (xy 146.391992 105.108477) + (xy 146.383552 105.109882) + (xy 146.374386 105.114828) + (xy 146.374385 105.114828) + (xy 146.341557 105.13254) + (xy 146.336279 105.135229) + (xy 146.293285 105.155875) + (xy 146.290154 105.158508) + (xy 146.288227 105.160435) + (xy 146.286242 105.162256) + (xy 146.286143 105.162148) + (xy 146.285286 105.162903) + (xy 146.27966 105.165939) + (xy 146.246691 105.201605) + (xy 146.243886 105.204639) + (xy 146.240456 105.208206) + (xy 145.840065 105.608596) + (xy 145.777753 105.642621) + (xy 145.75097 105.6455) + (xy 139.051717 105.6455) + (xy 139.03063 105.643256) + (xy 139.027113 105.64309) + (xy 139.016935 105.640899) + (xy 138.985437 105.644627) + (xy 138.980323 105.644929) + (xy 138.980335 105.645072) + (xy 138.975157 105.6455) + (xy 138.96996 105.6455) + (xy 138.960135 105.647135) + (xy 138.952917 105.648336) + (xy 138.947045 105.649171) + (xy 138.938862 105.65014) + (xy 138.899703 105.654775) + (xy 138.891994 105.658477) + (xy 138.883552 105.659882) + (xy 138.874385 105.664828) + (xy 138.874383 105.664829) + (xy 138.841569 105.682535) + (xy 138.836276 105.685232) + (xy 138.80043 105.702444) + (xy 138.800427 105.702446) + (xy 138.793286 105.705875) + (xy 138.790154 105.708507) + (xy 138.788209 105.710452) + (xy 138.786222 105.712274) + (xy 138.786123 105.712166) + (xy 138.785287 105.712903) + (xy 138.77966 105.715939) + (xy 138.772589 105.723588) + (xy 138.772588 105.723589) + (xy 138.743897 105.754627) + (xy 138.740468 105.758193) + (xy 135.765208 108.733453) + (xy 135.702896 108.767479) + (xy 135.632081 108.762414) + (xy 135.587018 108.733453) + (xy 135.580387 108.726822) + (xy 135.573494 108.716506) + (xy 135.489306 108.660253) + (xy 135.39 108.6405) + (xy 133.59 108.6405) + (xy 133.490694 108.660253) + (xy 133.406506 108.716506) + (xy 133.350253 108.800694) + (xy 133.3305 108.9) + (xy 133.3305 110.81959) + (xy 133.310498 110.887711) + (xy 133.256842 110.934204) + (xy 133.2045 110.94559) + (xy 130.6955 110.94559) + (xy 130.627379 110.925588) + (xy 130.580886 110.871932) + (xy 130.5695 110.81959) + (xy 130.5695 108.9) + (xy 130.549747 108.800694) + (xy 130.493494 108.716506) + (xy 130.409306 108.660253) + (xy 130.31 108.6405) + (xy 128.51 108.6405) + (xy 128.410694 108.660253) + (xy 128.326506 108.716506) + (xy 128.270253 108.800694) + (xy 128.2505 108.9) + (xy 128.2505 109.029379) + (xy 128.230498 109.0975) + (xy 128.176842 109.143993) + (xy 128.100888 109.153147) + (xy 128.096609 109.152331) + (xy 128.031128 109.117658) + (xy 127.516405 108.602935) + (xy 127.482379 108.540623) + (xy 127.4795 108.51384) + (xy 127.4795 108.386529) + (xy 127.499502 108.318408) + (xy 127.516405 108.297434) + (xy 128.817434 106.996405) + (xy 128.879746 106.962379) + (xy 128.906529 106.9595) + (xy 130.31 106.9595) + (xy 130.409306 106.939747) + (xy 130.493494 106.883494) + (xy 130.549747 106.799306) + (xy 130.5695 106.7) + (xy 130.5695 105.967548) + (xy 133.077 105.967548) + (xy 133.077 106.697743) + (xy 133.077161 106.70225) + (xy 133.08174 106.766269) + (xy 133.084126 106.779491) + (xy 133.120819 106.904458) + (xy 133.128233 106.920692) + (xy 133.197426 107.02836) + (xy 133.209112 107.041847) + (xy 133.30584 107.125662) + (xy 133.320848 107.135307) + (xy 133.437275 107.188477) + (xy 133.454388 107.193502) + (xy 133.585554 107.212361) + (xy 133.594495 107.213) + (xy 134.217885 107.213) + (xy 134.233124 107.208525) + (xy 134.234329 107.207135) + (xy 134.236 107.199452) + (xy 134.236 105.972115) + (xy 134.234659 105.967548) + (xy 134.744 105.967548) + (xy 134.744 107.194885) + (xy 134.748475 107.210124) + (xy 134.749865 107.211329) + (xy 134.757548 107.213) + (xy 135.387743 107.213) + (xy 135.39225 107.212839) + (xy 135.456269 107.20826) + (xy 135.469491 107.205874) + (xy 135.594458 107.169181) + (xy 135.610692 107.161767) + (xy 135.71836 107.092574) + (xy 135.731847 107.080888) + (xy 135.815662 106.98416) + (xy 135.825307 106.969152) + (xy 135.878477 106.852725) + (xy 135.883502 106.835612) + (xy 135.902361 106.704446) + (xy 135.903 106.695503) + (xy 135.903 105.972115) + (xy 135.898525 105.956876) + (xy 135.897135 105.955671) + (xy 135.889452 105.954) + (xy 134.762115 105.954) + (xy 134.746876 105.958475) + (xy 134.745671 105.959865) + (xy 134.744 105.967548) + (xy 134.234659 105.967548) + (xy 134.231525 105.956876) + (xy 134.230135 105.955671) + (xy 134.222452 105.954) + (xy 133.095115 105.954) + (xy 133.079876 105.958475) + (xy 133.078671 105.959865) + (xy 133.077 105.967548) + (xy 130.5695 105.967548) + (xy 130.5695 104.704495) + (xy 133.077 104.704495) + (xy 133.077 105.427885) + (xy 133.081475 105.443124) + (xy 133.082865 105.444329) + (xy 133.090548 105.446) + (xy 134.217885 105.446) + (xy 134.233124 105.441525) + (xy 134.234329 105.440135) + (xy 134.236 105.432452) + (xy 134.236 104.205115) + (xy 134.234659 104.200548) + (xy 134.744 104.200548) + (xy 134.744 105.427885) + (xy 134.748475 105.443124) + (xy 134.749865 105.444329) + (xy 134.757548 105.446) + (xy 135.884885 105.446) + (xy 135.900124 105.441525) + (xy 135.901329 105.440135) + (xy 135.903 105.432452) + (xy 135.903 104.702257) + (xy 135.902839 104.69775) + (xy 135.89826 104.633731) + (xy 135.895874 104.620509) + (xy 135.859181 104.495542) + (xy 135.851767 104.479308) + (xy 135.782574 104.37164) + (xy 135.770888 104.358153) + (xy 135.67416 104.274338) + (xy 135.659152 104.264693) + (xy 135.542725 104.211523) + (xy 135.525612 104.206498) + (xy 135.394446 104.187639) + (xy 135.385505 104.187) + (xy 134.762115 104.187) + (xy 134.746876 104.191475) + (xy 134.745671 104.192865) + (xy 134.744 104.200548) + (xy 134.234659 104.200548) + (xy 134.231525 104.189876) + (xy 134.230135 104.188671) + (xy 134.222452 104.187) + (xy 133.592257 104.187) + (xy 133.58775 104.187161) + (xy 133.523731 104.19174) + (xy 133.510509 104.194126) + (xy 133.385542 104.230819) + (xy 133.369308 104.238233) + (xy 133.26164 104.307426) + (xy 133.248153 104.319112) + (xy 133.164338 104.41584) + (xy 133.154693 104.430848) + (xy 133.101523 104.547275) + (xy 133.096498 104.564388) + (xy 133.077639 104.695554) + (xy 133.077 104.704495) + (xy 130.5695 104.704495) + (xy 130.5695 104.7) + (xy 130.549747 104.600694) + (xy 130.493494 104.516506) + (xy 130.409306 104.460253) + (xy 130.31 104.4405) + (xy 128.51 104.4405) + (xy 128.410694 104.460253) + (xy 128.326506 104.516506) + (xy 128.270253 104.600694) + (xy 128.2505 104.7) + (xy 128.2505 106.508471) + (xy 128.230498 106.576592) + (xy 128.213595 106.597566) + (xy 127.756566 107.054595) + (xy 127.694254 107.088621) + (xy 127.667471 107.0915) + (xy 125.785115 107.0915) + (xy 125.769876 107.095975) + (xy 125.768671 107.097365) + (xy 125.767 107.105048) + (xy 125.767 107.176723) + (xy 125.767425 107.184027) + (xy 125.781281 107.30288) + (xy 125.784626 107.317032) + (xy 125.83894 107.466664) + (xy 125.84545 107.479663) + (xy 125.932731 107.612788) + (xy 125.942055 107.62394) + (xy 126.057617 107.733413) + (xy 126.069264 107.742126) + (xy 126.096062 107.757692) + (xy 126.14492 107.809203) + (xy 126.158174 107.878952) + (xy 126.134598 107.933593) + (xy 126.137979 107.935785) + (xy 126.096012 108.000532) + (xy 126.042176 108.046816) + (xy 125.99028 108.058) + (xy 124.270554 108.058) + (xy 124.204122 108.038698) + (xy 124.199951 108.035248) + (xy 124.192785 108.031876) + (xy 124.192782 108.031874) + (xy 124.109953 107.992898) + (xy 124.05037 107.96486) + (xy 124.042587 107.963375) + (xy 124.042586 107.963375) + (xy 123.895769 107.935368) + (xy 123.895767 107.935368) + (xy 123.887983 107.933883) + (xy 123.805489 107.939073) + (xy 123.730906 107.943766) + (xy 123.730904 107.943766) + (xy 123.722995 107.944264) + (xy 123.715459 107.946713) + (xy 123.715457 107.946713) + (xy 123.573314 107.992898) + (xy 123.573311 107.992899) + (xy 123.565772 107.995349) + (xy 123.426192 108.083929) + (xy 123.420765 108.089708) + (xy 123.420764 108.089709) + (xy 123.319572 108.197467) + (xy 123.313026 108.204438) + (xy 123.233385 108.349304) + (xy 123.192273 108.509425) + (xy 123.192273 108.674739) + (xy 123.233385 108.83486) + (xy 123.313026 108.979726) + (xy 123.31845 108.985502) + (xy 123.368868 109.039191) + (xy 123.426192 109.100235) + (xy 123.565772 109.188815) + (xy 123.573311 109.191265) + (xy 123.573314 109.191266) + (xy 123.715457 109.237451) + (xy 123.715459 109.237451) + (xy 123.722995 109.2399) + (xy 123.730904 109.240398) + (xy 123.730906 109.240398) + (xy 123.805489 109.245091) + (xy 123.887983 109.250281) + (xy 123.895767 109.248796) + (xy 123.895769 109.248796) + (xy 124.042586 109.220789) + (xy 124.042587 109.220789) + (xy 124.05037 109.219304) + (xy 124.199951 109.148916) + (xy 124.241273 109.114732) + (xy 124.264019 109.095915) + (xy 124.329257 109.067905) + (xy 124.344334 109.067) + (xy 125.985867 109.067) + (xy 126.053988 109.087002) + (xy 126.09099 109.124087) + (xy 126.093801 109.130269) + (xy 126.112811 109.152331) + (xy 126.179925 109.230221) + (xy 126.179928 109.230223) + (xy 126.185785 109.237021) + (xy 126.304032 109.313665) + (xy 126.312634 109.316237) + (xy 126.312637 109.316239) + (xy 126.432498 109.352085) + (xy 126.4325 109.352085) + (xy 126.439039 109.354041) + (xy 126.445216 109.3545) + (xy 126.788839 109.3545) + (xy 126.85696 109.374502) + (xy 126.877934 109.391405) + (xy 127.313213 109.826684) + (xy 127.346158 109.88444) + (xy 127.386812 110.042778) + (xy 127.466453 110.187644) + (xy 127.471877 110.19342) + (xy 127.564786 110.292357) + (xy 127.579619 110.308153) + (xy 127.719199 110.396733) + (xy 127.726738 110.399183) + (xy 127.726741 110.399184) + (xy 127.868884 110.445369) + (xy 127.868886 110.445369) + (xy 127.876422 110.447818) + (xy 127.884331 110.448316) + (xy 127.884333 110.448316) + (xy 127.958916 110.453008) + (xy 128.04141 110.458199) + (xy 128.049193 110.456714) + (xy 128.049198 110.456714) + (xy 128.100891 110.446853) + (xy 128.171553 110.453736) + (xy 128.227276 110.49773) + (xy 128.2505 110.570621) + (xy 128.2505 110.81959) + (xy 128.230498 110.887711) + (xy 128.176842 110.934204) + (xy 128.1245 110.94559) + (xy 122.319168 110.94559) + (xy 122.251047 110.925588) + (xy 122.230073 110.908685) + (xy 121.977202 110.655814) + (xy 121.943176 110.593502) + (xy 121.948241 110.522687) + (xy 121.990788 110.465851) + (xy 122.034004 110.444927) + (xy 122.128321 110.419919) + (xy 122.178358 110.406652) + (xy 122.291655 110.34603) + (xy 122.326997 110.32712) + (xy 122.327 110.327118) + (xy 122.333457 110.323663) + (xy 122.338945 110.318816) + (xy 122.338948 110.318814) + (xy 122.410987 110.255191) + (xy 122.465305 110.207219) + (xy 122.566828 110.063567) + (xy 122.569563 110.056781) + (xy 122.569565 110.056777) + (xy 122.613836 109.946926) + (xy 122.632582 109.900412) + (xy 122.642395 109.835908) + (xy 122.657938 109.73374) + (xy 122.657938 109.733736) + (xy 122.659038 109.726506) + (xy 122.644777 109.551179) + (xy 122.641322 109.540512) + (xy 122.592822 109.390801) + (xy 122.590565 109.383834) + (xy 122.562449 109.337501) + (xy 122.555572 109.32409) + (xy 122.554672 109.322512) + (xy 122.551808 109.315781) + (xy 122.54066 109.300633) + (xy 122.53442 109.291312) + (xy 122.533041 109.289038) + (xy 122.499309 109.23345) + (xy 122.495589 109.229238) + (xy 122.4918 109.224947) + (xy 122.491787 109.224933) + (xy 122.4905 109.223476) + (xy 122.472168 109.205144) + (xy 122.459781 109.190731) + (xy 122.451886 109.180003) + (xy 122.447545 109.174104) + (xy 122.408786 109.141175) + (xy 122.401269 109.134245) + (xy 122.39527 109.128246) + (xy 122.3924 109.125975) + (xy 122.392394 109.12597) + (xy 122.372188 109.109983) + (xy 122.368816 109.107219) + (xy 122.313486 109.060213) + (xy 122.30697 109.056886) + (xy 122.302008 109.053576) + (xy 122.296916 109.050431) + (xy 122.291177 109.045891) + (xy 122.28455 109.042794) + (xy 122.284547 109.042792) + (xy 122.225412 109.015155) + (xy 122.221459 109.013222) + (xy 122.163344 108.983546) + (xy 122.163341 108.983545) + (xy 122.156822 108.980216) + (xy 122.149711 108.978476) + (xy 122.144119 108.976396) + (xy 122.138446 108.974509) + (xy 122.131817 108.971411) + (xy 122.124652 108.969921) + (xy 122.124644 108.969918) + (xy 122.060734 108.956624) + (xy 122.056447 108.955654) + (xy 121.991415 108.939741) + (xy 121.991409 108.93974) + (xy 121.985957 108.938406) + (xy 121.980352 108.938058) + (xy 121.98035 108.938058) + (xy 121.975236 108.937741) + (xy 121.97346 108.937631) + (xy 121.970063 108.937374) + (xy 121.966763 108.937079) + (xy 121.959597 108.935589) + (xy 121.893643 108.937374) + (xy 121.887657 108.937536) + (xy 121.884248 108.937582) + (xy 106.703688 108.937582) + (xy 106.700054 108.938006) + (xy 106.700049 108.938006) + (xy 106.579123 108.952105) + (xy 106.57185 108.952953) + (xy 106.564968 108.955451) + (xy 106.461528 108.992998) + (xy 106.4065 109.012972) + (xy 106.259392 109.10942) + (xy 106.138418 109.237124) + (xy 106.050066 109.389232) + (xy 106.047945 109.396234) + (xy 106.047944 109.396237) + (xy 106.03881 109.426396) + (xy 105.999076 109.557586) + (xy 105.998623 109.564895) + (xy 105.998622 109.564898) + (xy 105.994082 109.638079) + (xy 105.988184 109.733155) + (xy 105.989424 109.74037) + (xy 105.989424 109.740373) + (xy 106.014181 109.884444) + (xy 106.017974 109.90652) + (xy 106.086847 110.068383) + (xy 106.09118 110.074271) + (xy 106.091183 110.074276) + (xy 106.186768 110.204161) + (xy 106.186772 110.204165) + (xy 106.19111 110.21006) + (xy 106.325169 110.323951) + (xy 106.368408 110.34603) + (xy 106.440682 110.382935) + (xy 106.481833 110.403948) + (xy 106.488938 110.405687) + (xy 106.488942 110.405688) + (xy 106.547465 110.420008) + (xy 106.652698 110.445758) + (xy 106.6583 110.446106) + (xy 106.658303 110.446106) + (xy 106.664041 110.446462) + (xy 106.66405 110.446462) + (xy 106.66598 110.446582) + (xy 120.288839 110.446582) + (xy 120.35696 110.466584) + (xy 120.377934 110.483487) + (xy 120.956827 111.062379) + (xy 121.375353 111.480905) + (xy 121.409378 111.543217) + (xy 121.404314 111.614032) + (xy 121.361767 111.670868) + (xy 121.295247 111.695679) + (xy 121.286258 111.696) + (xy 99.73 111.696) + (xy 99.661879 111.675998) + (xy 99.615386 111.622342) + (xy 99.604 111.57) + (xy 99.604 108.389539) + (xy 100.199543 108.389539) + (xy 100.199543 108.710461) + (xy 100.217546 108.852973) + (xy 100.238405 109.018084) + (xy 100.239765 109.028853) + (xy 100.258093 109.100235) + (xy 100.318588 109.335851) + (xy 100.318591 109.335859) + (xy 100.319575 109.339693) + (xy 100.321032 109.343373) + (xy 100.321033 109.343376) + (xy 100.353903 109.426396) + (xy 100.437714 109.638079) + (xy 100.439615 109.641538) + (xy 100.439617 109.641541) + (xy 100.459501 109.677709) + (xy 100.59232 109.919305) + (xy 100.594648 109.922509) + (xy 100.594651 109.922514) + (xy 100.773105 110.168135) + (xy 100.780953 110.178937) + (xy 101.00064 110.412879) + (xy 101.247915 110.617443) + (xy 101.518878 110.789402) + (xy 101.522457 110.791086) + (xy 101.522464 110.79109) + (xy 101.805668 110.924355) + (xy 101.805672 110.924357) + (xy 101.809258 110.926044) + (xy 101.81303 110.92727) + (xy 101.813031 110.92727) + (xy 101.875936 110.947709) + (xy 102.114473 111.025214) + (xy 102.429711 111.085349) + (xy 102.519674 111.091009) + (xy 102.668533 111.100375) + (xy 102.668549 111.100376) + (xy 102.670528 111.1005) + (xy 102.829472 111.1005) + (xy 102.831451 111.100376) + (xy 102.831467 111.100375) + (xy 102.980326 111.091009) + (xy 103.070289 111.085349) + (xy 103.385527 111.025214) + (xy 103.624064 110.947709) + (xy 103.686969 110.92727) + (xy 103.68697 110.92727) + (xy 103.690742 110.926044) + (xy 103.694328 110.924357) + (xy 103.694332 110.924355) + (xy 103.977536 110.79109) + (xy 103.977543 110.791086) + (xy 103.981122 110.789402) + (xy 104.252085 110.617443) + (xy 104.49936 110.412879) + (xy 104.719047 110.178937) + (xy 104.726895 110.168135) + (xy 104.905349 109.922514) + (xy 104.905352 109.922509) + (xy 104.90768 109.919305) + (xy 105.040499 109.677709) + (xy 105.060383 109.641541) + (xy 105.060385 109.641538) + (xy 105.062286 109.638079) + (xy 105.146097 109.426396) + (xy 105.178967 109.343376) + (xy 105.178968 109.343373) + (xy 105.180425 109.339693) + (xy 105.181409 109.335859) + (xy 105.181412 109.335851) + (xy 105.241907 109.100235) + (xy 105.260235 109.028853) + (xy 105.261596 109.018084) + (xy 105.282454 108.852973) + (xy 105.300457 108.710461) + (xy 105.300457 108.389539) + (xy 105.271801 108.1627) + (xy 105.260732 108.075079) + (xy 105.260731 108.075075) + (xy 105.260235 108.071147) + (xy 105.217091 107.90311) + (xy 105.181412 107.764149) + (xy 105.181409 107.764141) + (xy 105.180425 107.760307) + (xy 105.176466 107.750306) + (xy 105.113791 107.592009) + (xy 105.062286 107.461921) + (xy 105.056816 107.45197) + (xy 105.02047 107.385857) + (xy 109.337111 107.385857) + (xy 109.338275 107.394759) + (xy 109.338275 107.394762) + (xy 109.354677 107.520192) + (xy 109.354678 107.520196) + (xy 109.355842 107.529097) + (xy 109.414022 107.661321) + (xy 109.419799 107.668194) + (xy 109.4198 107.668195) + (xy 109.49503 107.757692) + (xy 109.506975 107.771902) + (xy 109.532346 107.78879) + (xy 109.574189 107.816643) + (xy 109.627228 107.851949) + (xy 109.765114 107.895028) + (xy 109.909548 107.897675) + (xy 109.968225 107.881678) + (xy 110.040261 107.862039) + (xy 110.040263 107.862038) + (xy 110.04892 107.859678) + (xy 110.107778 107.823539) + (xy 110.164373 107.78879) + (xy 110.164376 107.788788) + (xy 110.172025 107.784091) + (xy 110.173683 107.782259) + (xy 110.235863 107.755432) + (xy 110.251162 107.7545) + (xy 112.932451 107.7545) + (xy 113.000572 107.774502) + (xy 113.005933 107.778581) + (xy 113.006975 107.77982) + (xy 113.072542 107.823465) + (xy 113.119355 107.854626) + (xy 113.127228 107.859867) + (xy 113.265114 107.902946) + (xy 113.409548 107.905593) + (xy 113.47161 107.888673) + (xy 113.540261 107.869957) + (xy 113.540263 107.869956) + (xy 113.54892 107.867596) + (xy 113.672025 107.792009) + (xy 113.768968 107.684909) + (xy 113.814004 107.591954) + (xy 113.82804 107.562984) + (xy 113.82804 107.562983) + (xy 113.831954 107.554905) + (xy 113.855921 107.412448) + (xy 113.856073 107.4) + (xy 113.842408 107.304581) + (xy 113.836868 107.265893) + (xy 113.836867 107.26589) + (xy 113.835594 107.257) + (xy 113.830064 107.244836) + (xy 113.804438 107.188477) + (xy 113.775802 107.125496) + (xy 113.681506 107.016059) + (xy 113.560284 106.937487) + (xy 113.421882 106.896096) + (xy 113.412906 106.896041) + (xy 113.412905 106.896041) + (xy 113.351628 106.895667) + (xy 113.277426 106.895214) + (xy 113.138529 106.934911) + (xy 113.016356 107.011996) + (xy 113.012681 107.016157) + (xy 112.949414 107.044372) + (xy 112.932593 107.0455) + (xy 110.26963 107.0455) + (xy 110.201509 107.025498) + (xy 110.187199 107.014748) + (xy 110.181506 107.008141) + (xy 110.060284 106.929569) + (xy 109.921882 106.888178) + (xy 109.912906 106.888123) + (xy 109.912905 106.888123) + (xy 109.851628 106.887749) + (xy 109.777426 106.887296) + (xy 109.638529 106.926993) + (xy 109.516356 107.004078) + (xy 109.510414 107.010806) + (xy 109.499769 107.022859) + (xy 109.420729 107.112355) + (xy 109.393369 107.17063) + (xy 109.36737 107.226008) + (xy 109.359336 107.243119) + (xy 109.357955 107.251988) + (xy 109.33862 107.376167) + (xy 109.337111 107.385857) + (xy 105.02047 107.385857) + (xy 104.982329 107.31648) + (xy 104.90768 107.180695) + (xy 104.904795 107.176723) + (xy 104.721375 106.924267) + (xy 104.721374 106.924265) + (xy 104.719047 106.921063) + (xy 104.49936 106.687121) + (xy 104.282341 106.507587) + (xy 104.255134 106.485079) + (xy 104.25513 106.485076) + (xy 104.254164 106.484277) + (xy 125.767 106.484277) + (xy 125.767 106.565385) + (xy 125.771475 106.580624) + (xy 125.772865 106.581829) + (xy 125.780548 106.5835) + (xy 126.477885 106.5835) + (xy 126.493124 106.579025) + (xy 126.494329 106.577635) + (xy 126.496 106.569952) + (xy 126.496 105.810115) + (xy 126.494659 105.805548) + (xy 127.004 105.805548) + (xy 127.004 106.565385) + (xy 127.008475 106.580624) + (xy 127.009865 106.581829) + (xy 127.017548 106.5835) + (xy 127.714885 106.5835) + (xy 127.730124 106.579025) + (xy 127.731329 106.577635) + (xy 127.733 106.569952) + (xy 127.733 106.498277) + (xy 127.732575 106.490973) + (xy 127.718719 106.37212) + (xy 127.715374 106.357968) + (xy 127.66106 106.208336) + (xy 127.65455 106.195337) + (xy 127.567269 106.062212) + (xy 127.557945 106.05106) + (xy 127.442383 105.941587) + (xy 127.430736 105.932874) + (xy 127.29309 105.852922) + (xy 127.279753 105.847123) + (xy 127.126593 105.800736) + (xy 127.113961 105.798286) + (xy 127.046318 105.792249) + (xy 127.040723 105.792) + (xy 127.022115 105.792) + (xy 127.006876 105.796475) + (xy 127.005671 105.797865) + (xy 127.004 105.805548) + (xy 126.494659 105.805548) + (xy 126.491525 105.794876) + (xy 126.490135 105.793671) + (xy 126.482452 105.792) + (xy 126.473277 105.792) + (xy 126.465973 105.792425) + (xy 126.34712 105.806281) + (xy 126.332968 105.809626) + (xy 126.183336 105.86394) + (xy 126.170337 105.87045) + (xy 126.037212 105.957731) + (xy 126.02606 105.967055) + (xy 125.916587 106.082617) + (xy 125.907874 106.094264) + (xy 125.827922 106.23191) + (xy 125.822123 106.245247) + (xy 125.775736 106.398407) + (xy 125.773286 106.411039) + (xy 125.767249 106.478682) + (xy 125.767 106.484277) + (xy 104.254164 106.484277) + (xy 104.252085 106.482557) + (xy 104.024291 106.337994) + (xy 103.984469 106.312722) + (xy 103.984468 106.312721) + (xy 103.981122 106.310598) + (xy 103.977543 106.308914) + (xy 103.977536 106.30891) + (xy 103.694332 106.175645) + (xy 103.694328 106.175643) + (xy 103.690742 106.173956) + (xy 103.663382 106.165066) + (xy 103.547084 106.127279) + (xy 103.385527 106.074786) + (xy 103.070289 106.014651) + (xy 102.967913 106.00821) + (xy 102.831467 105.999625) + (xy 102.831451 105.999624) + (xy 102.829472 105.9995) + (xy 102.670528 105.9995) + (xy 102.668549 105.999624) + (xy 102.668533 105.999625) + (xy 102.532087 106.00821) + (xy 102.429711 106.014651) + (xy 102.114473 106.074786) + (xy 101.952916 106.127279) + (xy 101.836619 106.165066) + (xy 101.809258 106.173956) + (xy 101.805672 106.175643) + (xy 101.805668 106.175645) + (xy 101.522464 106.30891) + (xy 101.522457 106.308914) + (xy 101.518878 106.310598) + (xy 101.515532 106.312721) + (xy 101.515531 106.312722) + (xy 101.475709 106.337994) + (xy 101.247915 106.482557) + (xy 101.24487 106.485076) + (xy 101.244866 106.485079) + (xy 101.217659 106.507587) + (xy 101.00064 106.687121) + (xy 100.780953 106.921063) + (xy 100.778626 106.924265) + (xy 100.778625 106.924267) + (xy 100.595206 107.176723) + (xy 100.59232 107.180695) + (xy 100.517671 107.31648) + (xy 100.443185 107.45197) + (xy 100.437714 107.461921) + (xy 100.386209 107.592009) + (xy 100.323535 107.750306) + (xy 100.319575 107.760307) + (xy 100.318591 107.764141) + (xy 100.318588 107.764149) + (xy 100.282909 107.90311) + (xy 100.239765 108.071147) + (xy 100.239269 108.075075) + (xy 100.239268 108.075079) + (xy 100.228199 108.1627) + (xy 100.199543 108.389539) + (xy 99.604 108.389539) + (xy 99.604 99.59029) + (xy 130.14954 99.59029) + (xy 130.154821 99.597344) + (xy 130.278683 99.669723) + (xy 130.288801 99.674484) + (xy 130.480788 99.744742) + (xy 130.491573 99.747632) + (xy 130.692975 99.782782) + (xy 130.704109 99.783717) + (xy 130.908538 99.782646) + (xy 130.919673 99.781594) + (xy 131.12068 99.744339) + (xy 131.131451 99.741332) + (xy 131.322689 99.669069) + (xy 131.332749 99.664206) + (xy 131.440599 99.599659) + (xy 131.45017 99.5893) + (xy 131.446627 99.580837) + (xy 130.812812 98.947022) + (xy 130.798868 98.939408) + (xy 130.797035 98.939539) + (xy 130.79042 98.94379) + (xy 130.1563 99.57791) + (xy 130.14954 99.59029) + (xy 99.604 99.59029) + (xy 99.604 95.75963) + (xy 101.183573 95.75963) + (xy 101.183573 96.339825) + (xy 101.183734 96.344332) + (xy 101.188313 96.408351) + (xy 101.190699 96.421573) + (xy 101.227392 96.54654) + (xy 101.234806 96.562774) + (xy 101.303999 96.670442) + (xy 101.315685 96.683929) + (xy 101.412413 96.767744) + (xy 101.427421 96.777389) + (xy 101.543848 96.830559) + (xy 101.560961 96.835584) + (xy 101.692127 96.854443) + (xy 101.701068 96.855082) + (xy 102.274458 96.855082) + (xy 102.289697 96.850607) + (xy 102.290902 96.849217) + (xy 102.292573 96.841534) + (xy 102.292573 95.764197) + (xy 102.288098 95.748958) + (xy 102.286708 95.747753) + (xy 102.279025 95.746082) + (xy 101.201688 95.746082) + (xy 101.186449 95.750557) + (xy 101.185244 95.751947) + (xy 101.183573 95.75963) + (xy 99.604 95.75963) + (xy 99.604 94.646577) + (xy 101.183573 94.646577) + (xy 101.183573 95.219967) + (xy 101.188048 95.235206) + (xy 101.189438 95.236411) + (xy 101.197121 95.238082) + (xy 102.274458 95.238082) + (xy 102.289697 95.233607) + (xy 102.290902 95.232217) + (xy 102.292573 95.224534) + (xy 102.292573 94.147197) + (xy 102.288098 94.131958) + (xy 102.286708 94.130753) + (xy 102.279025 94.129082) + (xy 101.69883 94.129082) + (xy 101.694323 94.129243) + (xy 101.630304 94.133822) + (xy 101.617082 94.136208) + (xy 101.492115 94.172901) + (xy 101.475881 94.180315) + (xy 101.368213 94.249508) + (xy 101.354726 94.261194) + (xy 101.270911 94.357922) + (xy 101.261266 94.37293) + (xy 101.208096 94.489357) + (xy 101.203071 94.50647) + (xy 101.184212 94.637636) + (xy 101.183573 94.646577) + (xy 99.604 94.646577) + (xy 99.604 92.495993) + (xy 101.831337 92.495993) + (xy 101.836618 92.503048) + (xy 101.968041 92.579845) + (xy 101.978155 92.584605) + (xy 102.174318 92.65639) + (xy 102.185103 92.65928) + (xy 102.390884 92.695194) + (xy 102.402016 92.696129) + (xy 102.610891 92.695035) + (xy 102.622025 92.693983) + (xy 102.827403 92.655918) + (xy 102.838174 92.652911) + (xy 103.03357 92.579077) + (xy 103.043627 92.574216) + (xy 103.158755 92.505312) + (xy 103.167365 92.495993) + (xy 106.831337 92.495993) + (xy 106.836618 92.503048) + (xy 106.968041 92.579845) + (xy 106.978155 92.584605) + (xy 107.174318 92.65639) + (xy 107.185103 92.65928) + (xy 107.390884 92.695194) + (xy 107.402016 92.696129) + (xy 107.610891 92.695035) + (xy 107.622025 92.693983) + (xy 107.827403 92.655918) + (xy 107.838174 92.652911) + (xy 108.03357 92.579077) + (xy 108.043627 92.574216) + (xy 108.158755 92.505312) + (xy 108.168325 92.494954) + (xy 108.164782 92.486492) + (xy 107.512812 91.834522) + (xy 107.498868 91.826908) + (xy 107.497035 91.827039) + (xy 107.49042 91.83129) + (xy 106.838097 92.483613) + (xy 106.831337 92.495993) + (xy 103.167365 92.495993) + (xy 103.168325 92.494954) + (xy 103.164782 92.486492) + (xy 102.512812 91.834522) + (xy 102.498868 91.826908) + (xy 102.497035 91.827039) + (xy 102.49042 91.83129) + (xy 101.838097 92.483613) + (xy 101.831337 92.495993) + (xy 99.604 92.495993) + (xy 99.604 91.534629) + (xy 101.26459 91.534629) + (xy 101.265292 91.545781) + (xy 101.296887 91.752253) + (xy 101.299554 91.763114) + (xy 101.367214 91.960732) + (xy 101.371762 91.970946) + (xy 101.456127 92.122522) + (xy 101.466179 92.132413) + (xy 101.473784 92.129506) + (xy 102.127978 91.475312) + (xy 102.134356 91.463632) + (xy 102.864408 91.463632) + (xy 102.864539 91.465465) + (xy 102.86879 91.47208) + (xy 103.522972 92.126262) + (xy 103.535352 92.133022) + (xy 103.541616 92.128333) + (xy 103.633506 91.959095) + (xy 103.637939 91.948849) + (xy 103.703528 91.750528) + (xy 103.706082 91.739637) + (xy 103.735258 91.534629) + (xy 106.26459 91.534629) + (xy 106.265292 91.545781) + (xy 106.296887 91.752253) + (xy 106.299554 91.763114) + (xy 106.367214 91.960732) + (xy 106.371762 91.970946) + (xy 106.456127 92.122522) + (xy 106.466179 92.132413) + (xy 106.473784 92.129506) + (xy 107.127978 91.475312) + (xy 107.134356 91.463632) + (xy 107.864408 91.463632) + (xy 107.864539 91.465465) + (xy 107.86879 91.47208) + (xy 108.522972 92.126262) + (xy 108.535352 92.133022) + (xy 108.541616 92.128333) + (xy 108.633506 91.959095) + (xy 108.637939 91.948849) + (xy 108.703528 91.750528) + (xy 108.706082 91.739637) + (xy 108.735791 91.530887) + (xy 108.736398 91.523664) + (xy 108.737905 91.466131) + (xy 108.737677 91.458879) + (xy 108.718933 91.248864) + (xy 108.716951 91.23785) + (xy 108.661835 91.036379) + (xy 108.657941 91.025906) + (xy 108.568013 90.837369) + (xy 108.562327 90.827754) + (xy 108.544311 90.802681) + (xy 108.533521 90.794271) + (xy 108.520485 90.801225) + (xy 107.872022 91.449688) + (xy 107.864408 91.463632) + (xy 107.134356 91.463632) + (xy 107.135592 91.461368) + (xy 107.135461 91.459535) + (xy 107.13121 91.45292) + (xy 106.479833 90.801543) + (xy 106.467453 90.794783) + (xy 106.459481 90.800751) + (xy 106.399913 90.895712) + (xy 106.394842 90.905664) + (xy 106.316931 91.099473) + (xy 106.3137 91.110172) + (xy 106.271344 91.31471) + (xy 106.270058 91.325819) + (xy 106.26459 91.534629) + (xy 103.735258 91.534629) + (xy 103.735791 91.530887) + (xy 103.736398 91.523664) + (xy 103.737905 91.466131) + (xy 103.737677 91.458879) + (xy 103.718933 91.248864) + (xy 103.716951 91.23785) + (xy 103.661835 91.036379) + (xy 103.657941 91.025906) + (xy 103.568013 90.837369) + (xy 103.562327 90.827754) + (xy 103.544311 90.802681) + (xy 103.533521 90.794271) + (xy 103.520485 90.801225) + (xy 102.872022 91.449688) + (xy 102.864408 91.463632) + (xy 102.134356 91.463632) + (xy 102.135592 91.461368) + (xy 102.135461 91.459535) + (xy 102.13121 91.45292) + (xy 101.479833 90.801543) + (xy 101.467453 90.794783) + (xy 101.459481 90.800751) + (xy 101.399913 90.895712) + (xy 101.394842 90.905664) + (xy 101.316931 91.099473) + (xy 101.3137 91.110172) + (xy 101.271344 91.31471) + (xy 101.270058 91.325819) + (xy 101.26459 91.534629) + (xy 99.604 91.534629) + (xy 99.604 89.030048) + (xy 100.392 89.030048) + (xy 100.392 89.161913) + (xy 100.392285 89.16789) + (xy 100.40651 89.316986) + (xy 100.408769 89.32872) + (xy 100.464909 89.520084) + (xy 100.469339 89.53116) + (xy 100.560652 89.708456) + (xy 100.567102 89.718502) + (xy 100.690291 89.875329) + (xy 100.698528 89.883979) + (xy 100.849147 90.014679) + (xy 100.858873 90.021616) + (xy 101.031483 90.121474) + (xy 101.042347 90.126448) + (xy 101.230736 90.191868) + (xy 101.231716 90.192106) + (xy 101.242008 90.190639) + (xy 101.246 90.177072) + (xy 101.246 89.034615) + (xy 101.244659 89.030048) + (xy 101.754 89.030048) + (xy 101.754 90.172861) + (xy 101.757973 90.186392) + (xy 101.782101 90.189861) + (xy 101.846682 90.219354) + (xy 101.885065 90.27908) + (xy 101.885065 90.350077) + (xy 101.846681 90.409803) + (xy 101.838035 90.416237) + (xy 101.829702 90.427649) + (xy 101.836333 90.439623) + (xy 102.487188 91.090478) + (xy 102.501132 91.098092) + (xy 102.502965 91.097961) + (xy 102.50958 91.09371) + (xy 103.160901 90.442389) + (xy 103.167125 90.430991) + (xy 103.164488 90.427649) + (xy 106.829702 90.427649) + (xy 106.836333 90.439623) + (xy 107.487188 91.090478) + (xy 107.501132 91.098092) + (xy 107.502965 91.097961) + (xy 107.50958 91.09371) + (xy 108.160901 90.442389) + (xy 108.167125 90.430991) + (xy 108.145076 90.403049) + (xy 108.146642 90.401813) + (xy 108.119286 90.368905) + (xy 108.110623 90.298439) + (xy 108.141431 90.234476) + (xy 108.201931 90.197323) + (xy 108.217163 90.194181) + (xy 108.242008 90.190639) + (xy 108.246 90.177072) + (xy 108.246 89.034615) + (xy 108.244659 89.030048) + (xy 108.754 89.030048) + (xy 108.754 90.172861) + (xy 108.757973 90.186392) + (xy 108.767399 90.187747) + (xy 108.856524 90.166268) + (xy 108.867819 90.162379) + (xy 109.049362 90.079836) + (xy 109.059704 90.073889) + (xy 109.222371 89.958501) + (xy 109.2314 89.950708) + (xy 109.369311 89.806644) + (xy 109.376707 89.797279) + (xy 109.484889 89.629735) + (xy 109.490382 89.619136) + (xy 109.564922 89.434178) + (xy 109.568316 89.422719) + (xy 109.60682 89.225556) + (xy 109.607909 89.216588) + (xy 109.607925 89.216272) + (xy 109.608 89.213179) + (xy 109.608 89.034615) + (xy 109.603525 89.019376) + (xy 109.602135 89.018171) + (xy 109.594452 89.0165) + (xy 108.772115 89.0165) + (xy 108.756876 89.020975) + (xy 108.755671 89.022365) + (xy 108.754 89.030048) + (xy 108.244659 89.030048) + (xy 108.241525 89.019376) + (xy 108.240135 89.018171) + (xy 108.232452 89.0165) + (xy 107.410115 89.0165) + (xy 107.394876 89.020975) + (xy 107.393671 89.022365) + (xy 107.392 89.030048) + (xy 107.392 89.161913) + (xy 107.392285 89.16789) + (xy 107.40651 89.316986) + (xy 107.408769 89.32872) + (xy 107.464909 89.520084) + (xy 107.469339 89.53116) + (xy 107.560652 89.708456) + (xy 107.567102 89.718502) + (xy 107.690291 89.875329) + (xy 107.698528 89.883979) + (xy 107.849147 90.014679) + (xy 107.858877 90.021619) + (xy 107.873977 90.030355) + (xy 107.922925 90.081781) + (xy 107.9363 90.151506) + (xy 107.909855 90.217394) + (xy 107.851986 90.258524) + (xy 107.781467 90.261937) + (xy 107.686308 90.239091) + (xy 107.675246 90.237458) + (xy 107.46672 90.225434) + (xy 107.455536 90.225786) + (xy 107.248177 90.250879) + (xy 107.237243 90.253203) + (xy 107.037595 90.314623) + (xy 107.027249 90.318844) + (xy 106.84163 90.41465) + (xy 106.837089 90.417532) + (xy 106.829702 90.427649) + (xy 103.164488 90.427649) + (xy 103.157314 90.418557) + (xy 103.101071 90.380763) + (xy 103.091273 90.375377) + (xy 102.900009 90.291418) + (xy 102.889417 90.287853) + (xy 102.686308 90.239091) + (xy 102.675246 90.237458) + (xy 102.46672 90.225434) + (xy 102.455536 90.225786) + (xy 102.248177 90.250879) + (xy 102.237243 90.253202) + (xy 102.227143 90.25631) + (xy 102.156152 90.257224) + (xy 102.095937 90.219612) + (xy 102.065615 90.155417) + (xy 102.074813 90.085018) + (xy 102.117191 90.033112) + (xy 102.222364 89.958507) + (xy 102.2314 89.950708) + (xy 102.369311 89.806644) + (xy 102.376707 89.797279) + (xy 102.484889 89.629735) + (xy 102.490382 89.619136) + (xy 102.564922 89.434178) + (xy 102.568316 89.422719) + (xy 102.60682 89.225556) + (xy 102.607909 89.216588) + (xy 102.607925 89.216272) + (xy 102.608 89.213179) + (xy 102.608 89.034615) + (xy 102.603525 89.019376) + (xy 102.602135 89.018171) + (xy 102.594452 89.0165) + (xy 101.772115 89.0165) + (xy 101.756876 89.020975) + (xy 101.755671 89.022365) + (xy 101.754 89.030048) + (xy 101.244659 89.030048) + (xy 101.241525 89.019376) + (xy 101.240135 89.018171) + (xy 101.232452 89.0165) + (xy 100.410115 89.0165) + (xy 100.394876 89.020975) + (xy 100.393671 89.022365) + (xy 100.392 89.030048) + (xy 99.604 89.030048) + (xy 99.604 87.85) + (xy 99.624002 87.781879) + (xy 99.677658 87.735386) + (xy 99.73 87.724) + (xy 100.396654 87.724) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 158.781708 107.603568) + (xy 158.834126 107.640547) + (xy 158.914101 107.735689) + (xy 158.956686 107.764036) + (xy 159.02454 107.809203) + (xy 159.034354 107.815736) + (xy 159.17224 107.858815) + (xy 159.316674 107.861462) + (xy 159.336203 107.856138) + (xy 159.358291 107.850116) + (xy 159.429275 107.851496) + (xy 159.488243 107.891033) + (xy 159.516475 107.956175) + (xy 159.505008 108.026239) + (xy 159.480528 108.060774) + (xy 156.782697 110.758605) + (xy 156.720385 110.792631) + (xy 156.693602 110.79551) + (xy 155.4305 110.79551) + (xy 155.362379 110.775508) + (xy 155.315886 110.721852) + (xy 155.3045 110.66951) + (xy 155.3045 110.368431) + (xy 155.289458 110.273458) + (xy 155.231292 110.159302) + (xy 155.140698 110.068708) + (xy 155.059366 110.027267) + (xy 155.007751 109.978518) + (xy 154.990685 109.909603) + (xy 155.013586 109.842402) + (xy 155.059366 109.802733) + (xy 155.073681 109.795439) + (xy 155.140698 109.761292) + (xy 155.231292 109.670698) + (xy 155.289458 109.556542) + (xy 155.3045 109.461569) + (xy 155.3045 109.16059) + (xy 155.324502 109.092469) + (xy 155.378158 109.045976) + (xy 155.4305 109.03459) + (xy 157.047964 109.03459) + (xy 157.069051 109.036834) + (xy 157.072568 109.037) + (xy 157.082746 109.039191) + (xy 157.114244 109.035463) + (xy 157.119358 109.035161) + (xy 157.119346 109.035018) + (xy 157.124524 109.03459) + (xy 157.129721 109.03459) + (xy 157.141126 109.032692) + (xy 157.146764 109.031754) + (xy 157.152636 109.030919) + (xy 157.167816 109.029122) + (xy 157.199978 109.025315) + (xy 157.207687 109.021613) + (xy 157.216129 109.020208) + (xy 157.225296 109.015262) + (xy 157.225298 109.015261) + (xy 157.258112 108.997555) + (xy 157.263405 108.994858) + (xy 157.299251 108.977646) + (xy 157.299254 108.977644) + (xy 157.306395 108.974215) + (xy 157.309527 108.971583) + (xy 157.311472 108.969638) + (xy 157.313459 108.967816) + (xy 157.313558 108.967924) + (xy 157.314394 108.967187) + (xy 157.320021 108.964151) + (xy 157.328064 108.955451) + (xy 157.355784 108.925463) + (xy 157.359213 108.921897) + (xy 158.648581 107.632529) + (xy 158.710893 107.598503) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 165.157213 106.424502) + (xy 165.178187 106.441405) + (xy 165.418205 106.681423) + (xy 165.505579 106.746906) + (xy 165.513987 106.750058) + (xy 165.632439 106.794463) + (xy 165.632443 106.794464) + (xy 165.640846 106.797614) + (xy 165.708345 106.80263) + (xy 165.774797 106.827626) + (xy 165.788103 106.839189) + (xy 166.1723 107.223386) + (xy 166.196487 107.265278) + (xy 166.198739 107.264228) + (xy 166.217556 107.304581) + (xy 166.228217 107.374772) + (xy 166.199237 107.439585) + (xy 166.139817 107.478442) + (xy 166.068823 107.479005) + (xy 166.065181 107.477647) + (xy 166.062165 107.475535) + (xy 166.018802 107.463916) + (xy 165.962318 107.431304) + (xy 165.71927 107.188256) + (xy 165.706669 107.181375) + (xy 165.695663 107.189512) + (xy 165.664092 107.230657) + (xy 165.655905 107.244836) + (xy 165.603482 107.371395) + (xy 165.599245 107.387209) + (xy 165.581365 107.52302) + (xy 165.581365 107.539395) + (xy 165.586615 107.579272) + (xy 165.575676 107.649421) + (xy 165.561451 107.671652) + (xy 165.561691 107.67182) + (xy 165.518692 107.73323) + (xy 165.511574 107.743395) + (xy 165.508721 107.754043) + (xy 165.504061 107.764036) + (xy 165.500622 107.762432) + (xy 165.474129 107.805919) + (xy 165.442604 107.826898) + (xy 165.375385 107.858243) + (xy 165.374665 107.858847) + (xy 165.208138 108.025374) + (xy 165.188072 108.054032) + (xy 165.167138 108.083929) + (xy 165.158021 108.096949) + (xy 165.155168 108.107597) + (xy 165.150508 108.11759) + (xy 165.147072 108.115988) + (xy 165.120552 108.159493) + (xy 165.08905 108.180451) + (xy 165.065208 108.191569) + (xy 165.021831 108.211796) + (xy 165.021111 108.2124) + (xy 164.872801 108.36071) + (xy 164.810489 108.394736) + (xy 164.739674 108.389671) + (xy 164.682838 108.347124) + (xy 164.672819 108.331449) + (xy 164.658865 108.305588) + (xy 164.658507 108.304924) + (xy 164.65582 108.29965) + (xy 164.638608 108.263804) + (xy 164.638606 108.263801) + (xy 164.635177 108.25666) + (xy 164.632545 108.253528) + (xy 164.6306 108.251583) + (xy 164.628778 108.249596) + (xy 164.628886 108.249497) + (xy 164.628149 108.248661) + (xy 164.625113 108.243034) + (xy 164.608611 108.227779) + (xy 164.586425 108.207271) + (xy 164.582859 108.203842) + (xy 164.180098 107.801081) + (xy 164.166785 107.784598) + (xy 164.164409 107.781987) + (xy 164.158758 107.773235) + (xy 164.133851 107.7536) + (xy 164.13002 107.750196) + (xy 164.129927 107.750306) + (xy 164.12597 107.746953) + (xy 164.122289 107.743272) + (xy 164.108237 107.73323) + (xy 164.103491 107.729666) + (xy 164.074231 107.706599) + (xy 164.074229 107.706598) + (xy 164.066051 107.700151) + (xy 164.057982 107.697317) + (xy 164.05102 107.692342) + (xy 164.005322 107.678675) + (xy 163.999697 107.676848) + (xy 163.95467 107.661036) + (xy 163.950594 107.660683) + (xy 163.947885 107.660683) + (xy 163.945152 107.660565) + (xy 163.945158 107.66042) + (xy 163.944044 107.66035) + (xy 163.937919 107.658518) + (xy 163.889503 107.66042) + (xy 163.885277 107.660586) + (xy 163.880331 107.660683) + (xy 162.907602 107.660683) + (xy 162.886515 107.658439) + (xy 162.882998 107.658273) + (xy 162.87282 107.656082) + (xy 162.841322 107.65981) + (xy 162.836208 107.660112) + (xy 162.83622 107.660255) + (xy 162.831042 107.660683) + (xy 162.825845 107.660683) + (xy 162.81602 107.662318) + (xy 162.808802 107.663519) + (xy 162.80293 107.664354) + (xy 162.794747 107.665323) + (xy 162.755588 107.669958) + (xy 162.747879 107.67366) + (xy 162.739437 107.675065) + (xy 162.735176 107.677364) + (xy 162.665225 107.677173) + (xy 162.611958 107.645469) + (xy 162.492141 107.525652) + (xy 162.448669 107.495213) + (xy 162.429598 107.481859) + (xy 162.429597 107.481859) + (xy 162.420566 107.475535) + (xy 162.409918 107.472682) + (xy 162.399925 107.468022) + (xy 162.401529 107.464583) + (xy 162.358042 107.43809) + (xy 162.337062 107.406564) + (xy 162.332759 107.397335) + (xy 162.305718 107.339346) + (xy 162.305114 107.338626) + (xy 162.138587 107.172099) + (xy 162.083813 107.133746) + (xy 162.076044 107.128306) + (xy 162.076043 107.128306) + (xy 162.067012 107.121982) + (xy 162.056364 107.119129) + (xy 162.046371 107.114469) + (xy 162.047973 107.111033) + (xy 162.004468 107.084513) + (xy 161.98351 107.053011) + (xy 161.960692 107.004078) + (xy 161.952165 106.985792) + (xy 161.951561 106.985072) + (xy 161.785034 106.818545) + (xy 161.728266 106.778796) + (xy 161.683939 106.72334) + (xy 161.67663 106.652721) + (xy 161.708661 106.58936) + (xy 161.769862 106.553375) + (xy 161.808449 106.549833) + (xy 161.94141 106.558199) + (xy 161.949194 106.556714) + (xy 161.949196 106.556714) + (xy 162.096013 106.528707) + (xy 162.096014 106.528707) + (xy 162.103797 106.527222) + (xy 162.253378 106.456834) + (xy 162.270907 106.442333) + (xy 162.281687 106.433415) + (xy 162.346925 106.405405) + (xy 162.362002 106.4045) + (xy 165.089092 106.4045) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 157.185071 96.8721) + (xy 157.188087 96.874212) + (xy 157.19748 96.876729) + (xy 157.197483 96.87673) + (xy 157.222757 96.883502) + (xy 157.27924 96.916113) + (xy 157.377229 97.014102) + (xy 157.390542 97.030585) + (xy 157.392918 97.033196) + (xy 157.398569 97.041948) + (xy 157.423476 97.061583) + (xy 157.427307 97.064987) + (xy 157.4274 97.064877) + (xy 157.431357 97.06823) + (xy 157.435038 97.071911) + (xy 157.43927 97.074935) + (xy 157.448869 97.081795) + (xy 157.464705 97.095214) + (xy 157.530983 97.161492) + (xy 157.544927 97.169106) + (xy 157.559084 97.168094) + (xy 157.595788 97.154653) + (xy 157.606733 97.154531) + (xy 157.606733 97.1545) + (xy 157.607985 97.1545) + (xy 157.608221 97.154515) + (xy 157.60947 97.154501) + (xy 157.612176 97.154618) + (xy 157.61217 97.154763) + (xy 157.613283 97.154833) + (xy 157.619408 97.156665) + (xy 157.67205 97.154597) + (xy 157.676996 97.1545) + (xy 157.841817 97.1545) + (xy 157.909938 97.174502) + (xy 157.925224 97.186058) + (xy 157.970273 97.225844) + (xy 157.989042 97.234656) + (xy 158.089706 97.281917) + (xy 158.101037 97.287237) + (xy 158.109906 97.288618) + (xy 158.120163 97.290215) + (xy 158.211907 97.3045) + (xy 161.930734 97.3045) + (xy 161.998855 97.324502) + (xy 162.045348 97.378158) + (xy 162.056734 97.4305) + (xy 162.056734 102.8195) + (xy 162.036732 102.887621) + (xy 161.983076 102.934114) + (xy 161.930734 102.9455) + (xy 161.369782 102.9455) + (xy 161.35861 102.944252) + (xy 161.358563 102.944842) + (xy 161.349617 102.944122) + (xy 161.340861 102.942141) + (xy 161.291328 102.945214) + (xy 161.290619 102.945258) + (xy 161.282817 102.9455) + (xy 161.265086 102.9455) + (xy 161.253546 102.947153) + (xy 161.243503 102.948181) + (xy 161.205637 102.95053) + (xy 161.205635 102.95053) + (xy 161.196679 102.951086) + (xy 161.188238 102.954133) + (xy 161.184946 102.954815) + (xy 161.169146 102.958754) + (xy 161.165892 102.959706) + (xy 161.157 102.960979) + (xy 161.148825 102.964696) + (xy 161.148822 102.964697) + (xy 161.114294 102.980397) + (xy 161.104928 102.98421) + (xy 161.092531 102.988685) + (xy 161.069243 102.997092) + (xy 161.06924 102.997093) + (xy 161.060804 103.000139) + (xy 161.053561 103.00543) + (xy 161.05059 103.00701) + (xy 161.036518 103.015233) + (xy 161.03367 103.017055) + (xy 161.025496 103.020771) + (xy 161.018694 103.026632) + (xy 160.989961 103.051389) + (xy 160.982045 103.057675) + (xy 160.9702 103.066329) + (xy 160.958425 103.078104) + (xy 160.951577 103.084463) + (xy 160.922857 103.109209) + (xy 160.922855 103.109211) + (xy 160.916059 103.115067) + (xy 160.911179 103.122596) + (xy 160.905275 103.129364) + (xy 160.905212 103.129309) + (xy 160.896702 103.139827) + (xy 160.442612 103.593918) + (xy 160.433828 103.600936) + (xy 160.434212 103.601387) + (xy 160.427374 103.607207) + (xy 160.419783 103.611996) + (xy 160.413841 103.618724) + (xy 160.386446 103.649743) + (xy 160.3811 103.65543) + (xy 160.368577 103.667953) + (xy 160.362046 103.676667) + (xy 160.361601 103.677261) + (xy 160.35522 103.685099) + (xy 160.333346 103.709867) + (xy 160.324156 103.720273) + (xy 160.320342 103.728396) + (xy 160.318483 103.731227) + (xy 160.310105 103.745172) + (xy 160.30848 103.748139) + (xy 160.303094 103.755326) + (xy 160.291129 103.787245) + (xy 160.28663 103.799246) + (xy 160.282702 103.808568) + (xy 160.268606 103.838591) + (xy 160.262763 103.851037) + (xy 160.261382 103.85991) + (xy 160.260392 103.863147) + (xy 160.256262 103.878892) + (xy 160.255537 103.882187) + (xy 160.252386 103.890593) + (xy 160.248907 103.937402) + (xy 160.247759 103.947399) + (xy 160.240538 103.993775) + (xy 160.241702 104.002679) + (xy 160.241661 104.006078) + (xy 160.242115 104.022335) + (xy 160.242344 104.025703) + (xy 160.241679 104.034654) + (xy 160.243551 104.043426) + (xy 160.243552 104.043433) + (xy 160.251477 104.080553) + (xy 160.253185 104.090489) + (xy 160.259269 104.137015) + (xy 160.262884 104.14523) + (xy 160.263798 104.148505) + (xy 160.268798 104.163986) + (xy 160.269964 104.167155) + (xy 160.271837 104.17593) + (xy 160.276098 104.183826) + (xy 160.276099 104.18383) + (xy 160.294117 104.217222) + (xy 160.298559 104.226309) + (xy 160.313832 104.26102) + (xy 160.313834 104.261023) + (xy 160.317449 104.269239) + (xy 160.323225 104.27611) + (xy 160.325018 104.278991) + (xy 160.334161 104.292444) + (xy 160.336173 104.295162) + (xy 160.340435 104.303062) + (xy 160.373402 104.336434) + (xy 160.380215 104.343909) + (xy 160.404623 104.372946) + (xy 160.404626 104.372949) + (xy 160.410402 104.37982) + (xy 160.417877 104.384795) + (xy 160.420394 104.387046) + (xy 160.432962 104.397407) + (xy 160.43565 104.399447) + (xy 160.441957 104.405832) + (xy 160.461 104.416409) + (xy 160.482966 104.42861) + (xy 160.491591 104.433864) + (xy 160.530655 104.459867) + (xy 160.539222 104.462543) + (xy 160.542288 104.464006) + (xy 160.557227 104.470408) + (xy 160.560038 104.471482) + (xy 160.560434 104.471783) + (xy 160.561565 104.472268) + (xy 160.568247 104.47598) + (xy 160.567565 104.477208) + (xy 160.616542 104.514468) + (xy 160.640836 104.581179) + (xy 160.625207 104.650434) + (xy 160.620036 104.658539) + (xy 160.616453 104.662354) + (xy 160.612636 104.669298) + (xy 160.612634 104.6693) + (xy 160.590311 104.709906) + (xy 160.536812 104.80722) + (xy 160.53484 104.814901) + (xy 160.496435 104.964477) + (xy 160.460121 105.025483) + (xy 160.396588 105.057172) + (xy 160.374471 105.058474) + (xy 160.359681 105.066664) + (xy 160.12084 105.305505) + (xy 160.071242 105.33414) + (xy 160.071304 105.334273) + (xy 160.069786 105.334981) + (xy 160.069783 105.334983) + (xy 160.061315 105.338932) + (xy 160.061313 105.338932) + (xy 160.030952 105.353089) + (xy 159.96076 105.36375) + (xy 159.895948 105.334769) + (xy 159.857092 105.275349) + (xy 159.856529 105.204355) + (xy 159.857886 105.200716) + (xy 159.859999 105.197699) + (xy 159.871618 105.154336) + (xy 159.90423 105.097851) + (xy 160.147278 104.854803) + (xy 160.154159 104.842202) + (xy 160.146022 104.831196) + (xy 160.104877 104.799625) + (xy 160.090698 104.791438) + (xy 159.964139 104.739015) + (xy 159.948325 104.734778) + (xy 159.888659 104.726923) + (xy 159.823732 104.698201) + (xy 159.78464 104.638936) + (xy 159.780183 104.618447) + (xy 159.772328 104.558782) + (xy 159.768091 104.542968) + (xy 159.715668 104.416409) + (xy 159.707481 104.40223) + (xy 159.676143 104.361389) + (xy 159.664551 104.352925) + (xy 159.652574 104.359558) + (xy 159.413734 104.598398) + (xy 159.364137 104.627033) + (xy 159.364199 104.627166) + (xy 159.258912 104.676262) + (xy 159.258031 104.674373) + (xy 159.200529 104.69099) + (xy 159.132495 104.670696) + (xy 159.086232 104.616842) + (xy 159.07643 104.546525) + (xy 159.106199 104.482071) + (xy 159.111973 104.475896) + (xy 159.440175 104.147693) + (xy 159.447053 104.135097) + (xy 159.438916 104.124091) + (xy 159.391219 104.087492) + (xy 159.392145 104.086285) + (xy 159.360162 104.054301) + (xy 159.358955 104.055227) + (xy 159.32259 104.007835) + (xy 159.310998 103.999371) + (xy 159.299021 104.006004) + (xy 158.553185 104.75184) + (xy 158.545571 104.765784) + (xy 158.545702 104.767617) + (xy 158.549953 104.774232) + (xy 158.668983 104.893262) + (xy 158.682927 104.900876) + (xy 158.696887 104.899878) + (xy 158.745164 104.885702) + (xy 158.813285 104.905704) + (xy 158.859778 104.959359) + (xy 158.869883 105.029633) + (xy 158.84039 105.094214) + (xy 158.83426 105.100797) + (xy 158.658908 105.276149) + (xy 158.596596 105.310175) + (xy 158.525781 105.30511) + (xy 158.468945 105.262563) + (xy 158.444134 105.196043) + (xy 158.459226 105.126667) + (xy 158.464464 105.117074) + (xy 158.464333 105.115241) + (xy 158.460082 105.108626) + (xy 158.341053 104.989597) + (xy 158.327109 104.981983) + (xy 158.325274 104.982114) + (xy 158.318664 104.986362) + (xy 157.569863 105.735162) + (xy 157.562983 105.747762) + (xy 157.57112 105.758768) + (xy 157.618816 105.795366) + (xy 157.61789 105.796573) + (xy 157.649873 105.828557) + (xy 157.65108 105.827631) + (xy 157.687445 105.875023) + (xy 157.699037 105.883487) + (xy 157.711014 105.876854) + (xy 158.053359 105.534509) + (xy 158.115671 105.500483) + (xy 158.186486 105.505548) + (xy 158.243322 105.548095) + (xy 158.268133 105.614615) + (xy 158.253042 105.683989) + (xy 158.245668 105.695873) + (xy 158.21702 105.736788) + (xy 158.210697 105.745819) + (xy 158.205105 105.766688) + (xy 158.199078 105.789182) + (xy 158.166466 105.845666) + (xy 157.923417 106.088715) + (xy 157.916536 106.101316) + (xy 157.924673 106.112322) + (xy 157.965818 106.143893) + (xy 157.979997 106.15208) + (xy 158.106556 106.204503) + (xy 158.12237 106.20874) + (xy 158.182036 106.216595) + (xy 158.246963 106.245317) + (xy 158.286055 106.304582) + (xy 158.290512 106.325071) + (xy 158.298367 106.384736) + (xy 158.302604 106.40055) + (xy 158.355027 106.527109) + (xy 158.363214 106.541288) + (xy 158.394552 106.582129) + (xy 158.420296 106.600927) + (xy 158.458225 106.614562) + (xy 158.501444 106.670887) + (xy 158.507351 106.741638) + (xy 158.473016 106.805416) + (xy 156.989747 108.288685) + (xy 156.927435 108.322711) + (xy 156.900652 108.32559) + (xy 155.639939 108.32559) + (xy 155.571818 108.305588) + (xy 155.525325 108.251932) + (xy 155.515221 108.181658) + (xy 155.544715 108.117078) + (xy 155.550844 108.110495) + (xy 156.414102 107.247237) + (xy 156.430585 107.233924) + (xy 156.433196 107.231548) + (xy 156.441948 107.225897) + (xy 156.461583 107.20099) + (xy 156.464987 107.197159) + (xy 156.464877 107.197066) + (xy 156.46823 107.193109) + (xy 156.471911 107.189428) + (xy 156.481953 107.175376) + (xy 156.485517 107.17063) + (xy 156.508584 107.14137) + (xy 156.508585 107.141368) + (xy 156.515032 107.13319) + (xy 156.517866 107.125121) + (xy 156.522841 107.118159) + (xy 156.536508 107.072461) + (xy 156.538335 107.066836) + (xy 156.554147 107.021809) + (xy 156.5545 107.017733) + (xy 156.5545 107.015024) + (xy 156.554618 107.012291) + (xy 156.554763 107.012297) + (xy 156.554833 107.011183) + (xy 156.556665 107.005058) + (xy 156.554597 106.952416) + (xy 156.5545 106.94747) + (xy 156.5545 105.677709) + (xy 156.574502 105.609588) + (xy 156.591405 105.588614) + (xy 157.251441 104.928578) + (xy 157.293333 104.904391) + (xy 157.292283 104.902139) + (xy 157.39757 104.853043) + (xy 157.398449 104.854929) + (xy 157.455968 104.838313) + (xy 157.524 104.858613) + (xy 157.570258 104.912471) + (xy 157.580055 104.982789) + (xy 157.55028 105.04724) + (xy 157.544512 105.053407) + (xy 157.216311 105.381608) + (xy 157.20943 105.394209) + (xy 157.217567 105.405215) + (xy 157.265263 105.441813) + (xy 157.264336 105.443021) + (xy 157.296319 105.475004) + (xy 157.297527 105.474077) + (xy 157.333892 105.521469) + (xy 157.345484 105.529933) + (xy 157.357459 105.523302) + (xy 158.103298 104.777464) + (xy 158.110911 104.763521) + (xy 158.11078 104.761687) + (xy 158.106529 104.755073) + (xy 158.082182 104.730726) + (xy 158.082168 104.730711) + (xy 157.9875 104.636043) + (xy 157.973556 104.628429) + (xy 157.959601 104.629427) + (xy 157.911316 104.643605) + (xy 157.843195 104.623604) + (xy 157.796702 104.569949) + (xy 157.786597 104.499675) + (xy 157.81609 104.435094) + (xy 157.82222 104.42851) + (xy 157.997574 104.253156) + (xy 158.059886 104.21913) + (xy 158.130701 104.224195) + (xy 158.187537 104.266742) + (xy 158.212348 104.333262) + (xy 158.197256 104.402638) + (xy 158.192018 104.412231) + (xy 158.192149 104.414064) + (xy 158.1964 104.420679) + (xy 158.315429 104.539708) + (xy 158.329373 104.547322) + (xy 158.331206 104.547191) + (xy 158.337821 104.54294) + (xy 159.086618 103.794143) + (xy 159.093499 103.781542) + (xy 159.085362 103.770536) + (xy 159.037666 103.733938) + (xy 159.038593 103.73273) + (xy 159.00661 103.700747) + (xy 159.005402 103.701674) + (xy 158.969037 103.654282) + (xy 158.957445 103.645818) + (xy 158.945468 103.652451) + (xy 158.603123 103.994796) + (xy 158.540811 104.028822) + (xy 158.469996 104.023757) + (xy 158.41316 103.98121) + (xy 158.388349 103.91469) + (xy 158.40344 103.845316) + (xy 158.410814 103.833432) + (xy 158.439462 103.792517) + (xy 158.439463 103.792515) + (xy 158.445785 103.783486) + (xy 158.457404 103.740123) + (xy 158.490016 103.683639) + (xy 158.733065 103.44059) + (xy 158.739946 103.427989) + (xy 158.731809 103.416983) + (xy 158.690664 103.385412) + (xy 158.676485 103.377225) + (xy 158.549926 103.324802) + (xy 158.534112 103.320565) + (xy 158.398301 103.302685) + (xy 158.381925 103.302685) + (xy 158.342046 103.307935) + (xy 158.271897 103.296996) + (xy 158.249668 103.282772) + (xy 158.2495 103.283012) + (xy 158.186957 103.239219) + (xy 158.186956 103.239219) + (xy 158.177925 103.232895) + (xy 158.167277 103.230042) + (xy 158.157284 103.225382) + (xy 158.158886 103.221946) + (xy 158.115381 103.195426) + (xy 158.094423 103.163924) + (xy 158.066564 103.104181) + (xy 158.063078 103.096705) + (xy 158.062474 103.095985) + (xy 157.955242 102.988753) + (xy 157.921216 102.926441) + (xy 157.926281 102.855626) + (xy 157.955242 102.810563) + (xy 157.981218 102.784587) + (xy 158.04353 102.750561) + (xy 158.078222 102.747931) + (xy 158.24141 102.758199) + (xy 158.249194 102.756714) + (xy 158.249196 102.756714) + (xy 158.396013 102.728707) + (xy 158.396014 102.728707) + (xy 158.403797 102.727222) + (xy 158.553378 102.656834) + (xy 158.559483 102.651784) + (xy 158.559487 102.651781) + (xy 158.674647 102.556512) + (xy 158.680755 102.551459) + (xy 158.72085 102.496273) + (xy 158.773265 102.42413) + (xy 158.773266 102.424128) + (xy 158.777924 102.417717) + (xy 158.784254 102.401731) + (xy 158.835864 102.271379) + (xy 158.835864 102.271377) + (xy 158.838781 102.264011) + (xy 158.840104 102.253544) + (xy 158.858506 102.107868) + (xy 158.8595 102.1) + (xy 158.854066 102.056985) + (xy 158.839775 101.943854) + (xy 158.839774 101.943851) + (xy 158.838781 101.935989) + (xy 158.832485 101.920086) + (xy 158.780842 101.789652) + (xy 158.780841 101.78965) + (xy 158.777924 101.782283) + (xy 158.769406 101.770558) + (xy 158.685415 101.654955) + (xy 158.680755 101.648541) + (xy 158.666486 101.636737) + (xy 158.559487 101.548219) + (xy 158.559483 101.548216) + (xy 158.553378 101.543166) + (xy 158.403797 101.472778) + (xy 158.396014 101.471293) + (xy 158.396013 101.471293) + (xy 158.249196 101.443286) + (xy 158.249194 101.443286) + (xy 158.24141 101.441801) + (xy 158.172529 101.446135) + (xy 158.084333 101.451684) + (xy 158.084331 101.451684) + (xy 158.076422 101.452182) + (xy 158.068886 101.454631) + (xy 158.068884 101.454631) + (xy 157.926741 101.500816) + (xy 157.926738 101.500817) + (xy 157.919199 101.503267) + (xy 157.779619 101.591847) + (xy 157.774192 101.597626) + (xy 157.774191 101.597627) + (xy 157.722006 101.653198) + (xy 157.666453 101.712356) + (xy 157.662636 101.719299) + (xy 157.659955 101.724176) + (xy 157.586812 101.857222) + (xy 157.583299 101.870906) + (xy 157.558714 101.966656) + (xy 157.5224 102.027662) + (xy 157.458867 102.059351) + (xy 157.388289 102.051661) + (xy 157.359969 102.035284) + (xy 157.27645 101.971198) + (xy 157.262271 101.963011) + (xy 157.135712 101.910588) + (xy 157.119898 101.906351) + (xy 156.984087 101.888471) + (xy 156.967711 101.888471) + (xy 156.927833 101.893721) + (xy 156.857685 101.882782) + (xy 156.835455 101.868558) + (xy 156.835287 101.868798) + (xy 156.772744 101.825005) + (xy 156.772743 101.825005) + (xy 156.763712 101.818681) + (xy 156.753064 101.815828) + (xy 156.743071 101.811168) + (xy 156.744675 101.807729) + (xy 156.701188 101.781236) + (xy 156.680208 101.74971) + (xy 156.676341 101.741416) + (xy 156.648864 101.682492) + (xy 156.64826 101.681772) + (xy 156.481733 101.515245) + (xy 156.432399 101.480701) + (xy 156.41919 101.471452) + (xy 156.419189 101.471452) + (xy 156.410158 101.465128) + (xy 156.39951 101.462275) + (xy 156.389517 101.457615) + (xy 156.391119 101.454179) + (xy 156.347614 101.427659) + (xy 156.326656 101.396157) + (xy 156.300597 101.340274) + (xy 156.295311 101.328938) + (xy 156.294707 101.328218) + (xy 156.12818 101.161691) + (xy 156.056605 101.111574) + (xy 156.045957 101.108721) + (xy 156.035964 101.104061) + (xy 156.037568 101.100622) + (xy 155.994081 101.074129) + (xy 155.973101 101.042603) + (xy 155.957742 101.009664) + (xy 155.941757 100.975385) + (xy 155.941153 100.974665) + (xy 155.774626 100.808138) + (xy 155.728542 100.77587) + (xy 155.712083 100.764345) + (xy 155.712082 100.764345) + (xy 155.703051 100.758021) + (xy 155.692403 100.755168) + (xy 155.68241 100.750508) + (xy 155.684012 100.747072) + (xy 155.640507 100.720552) + (xy 155.619549 100.68905) + (xy 155.59169 100.629307) + (xy 155.588204 100.621831) + (xy 155.5876 100.621111) + (xy 155.421073 100.454584) + (xy 155.349498 100.404467) + (xy 155.33885 100.401614) + (xy 155.328857 100.396954) + (xy 155.33046 100.393517) + (xy 155.286964 100.36701) + (xy 155.265995 100.335496) + (xy 155.245895 100.29239) + (xy 155.234651 100.268278) + (xy 155.234047 100.267558) + (xy 155.06752 100.101031) + (xy 154.995945 100.050914) + (xy 154.9389 100.035629) + (xy 154.894382 100.0237) + (xy 154.89438 100.0237) + (xy 154.883733 100.020847) + (xy 154.768005 100.030972) + (xy 154.662718 100.080068) + (xy 154.661997 100.080672) + (xy 154.258509 100.48416) + (xy 154.196197 100.518186) + (xy 154.169414 100.521065) + (xy 153.5396 100.521065) + (xy 153.471479 100.501063) + (xy 153.450505 100.48416) + (xy 151.524616 98.558271) + (xy 151.49059 98.495959) + (xy 151.495655 98.425144) + (xy 151.538202 98.368308) + (xy 151.604722 98.343497) + (xy 151.613711 98.343176) + (xy 153.633655 98.343176) + (xy 153.701776 98.363178) + (xy 153.72275 98.380081) + (xy 154.641638 99.298969) + (xy 154.646141 99.302122) + (xy 154.697863 99.338337) + (xy 154.713214 99.349086) + (xy 154.750329 99.359031) + (xy 154.814776 99.3763) + (xy 154.814778 99.3763) + (xy 154.825425 99.379153) + (xy 154.941154 99.369028) + (xy 155.046441 99.319932) + (xy 155.047161 99.319328) + (xy 155.213688 99.152801) + (xy 155.263805 99.081226) + (xy 155.266658 99.070578) + (xy 155.271318 99.060585) + (xy 155.274755 99.062188) + (xy 155.301262 99.018692) + (xy 155.332776 98.997723) + (xy 155.337473 98.995533) + (xy 155.399994 98.966379) + (xy 155.400714 98.965775) + (xy 155.567241 98.799248) + (xy 155.603827 98.746997) + (xy 155.611034 98.736705) + (xy 155.611034 98.736704) + (xy 155.617358 98.727673) + (xy 155.620211 98.717025) + (xy 155.624871 98.707032) + (xy 155.62831 98.708636) + (xy 155.654803 98.665149) + (xy 155.686329 98.644169) + (xy 155.691026 98.641979) + (xy 155.753547 98.612825) + (xy 155.754267 98.612221) + (xy 155.920794 98.445694) + (xy 155.962032 98.3868) + (xy 155.964587 98.383151) + (xy 155.964587 98.38315) + (xy 155.970911 98.374119) + (xy 155.973764 98.363471) + (xy 155.978424 98.353478) + (xy 155.98186 98.35508) + (xy 156.00838 98.311575) + (xy 156.039882 98.290617) + (xy 156.099625 98.262758) + (xy 156.099624 98.262758) + (xy 156.107101 98.259272) + (xy 156.107821 98.258668) + (xy 156.117034 98.249455) + (xy 156.179346 98.215429) + (xy 156.222576 98.213628) + (xy 156.260605 98.218635) + (xy 156.27698 98.218635) + (xy 156.412791 98.200755) + (xy 156.428605 98.196518) + (xy 156.555165 98.144094) + (xy 156.558453 98.142196) + (xy 156.561578 98.141438) + (xy 156.562792 98.140935) + (xy 156.56287 98.141124) + (xy 156.627448 98.125459) + (xy 156.69454 98.14868) + (xy 156.714685 98.168016) + (xy 156.715939 98.17034) + (xy 156.723588 98.177411) + (xy 156.723589 98.177412) + (xy 156.754627 98.206103) + (xy 156.758193 98.209532) + (xy 156.860701 98.31204) + (xy 156.894727 98.374352) + (xy 156.897364 98.393332) + (xy 156.900406 98.442354) + (xy 156.901086 98.45332) + (xy 156.904131 98.461755) + (xy 156.904132 98.461759) + (xy 156.925755 98.521654) + (xy 156.950139 98.589196) + (xy 156.955434 98.596444) + (xy 157.012733 98.674877) + (xy 157.016329 98.6798) + (xy 158.709466 100.372937) + (xy 158.743492 100.435249) + (xy 158.744913 100.467343) + (xy 158.7457 100.467343) + (xy 158.7457 100.632657) + (xy 158.786812 100.792778) + (xy 158.866453 100.937644) + (xy 158.871877 100.94342) + (xy 158.965017 101.042603) + (xy 158.979619 101.058153) + (xy 159.119199 101.146733) + (xy 159.126738 101.149183) + (xy 159.126741 101.149184) + (xy 159.268884 101.195369) + (xy 159.268886 101.195369) + (xy 159.276422 101.197818) + (xy 159.284331 101.198316) + (xy 159.284333 101.198316) + (xy 159.358916 101.203009) + (xy 159.44141 101.208199) + (xy 159.449194 101.206714) + (xy 159.449196 101.206714) + (xy 159.596013 101.178707) + (xy 159.596014 101.178707) + (xy 159.603797 101.177222) + (xy 159.753378 101.106834) + (xy 159.759483 101.101784) + (xy 159.759487 101.101781) + (xy 159.874647 101.006512) + (xy 159.880755 101.001459) + (xy 159.977924 100.867717) + (xy 159.98208 100.857222) + (xy 160.035864 100.721379) + (xy 160.035864 100.721377) + (xy 160.038781 100.714011) + (xy 160.041636 100.691416) + (xy 160.058506 100.557868) + (xy 160.0595 100.55) + (xy 160.049058 100.467343) + (xy 160.039775 100.393854) + (xy 160.039774 100.393851) + (xy 160.038781 100.385989) + (xy 160.033862 100.373565) + (xy 159.980842 100.239652) + (xy 159.980841 100.23965) + (xy 159.977924 100.232283) + (xy 159.950178 100.194093) + (xy 159.891412 100.113209) + (xy 159.880755 100.098541) + (xy 159.778677 100.014095) + (xy 159.763538 99.999257) + (xy 159.740791 99.972857) + (xy 159.740789 99.972855) + (xy 159.734933 99.966059) + (xy 159.727404 99.961179) + (xy 159.720636 99.955275) + (xy 159.720691 99.955212) + (xy 159.71017 99.946699) + (xy 157.735217 97.971747) + (xy 157.732047 97.968577) + (xy 157.728309 97.965775) + (xy 157.651858 97.908478) + (xy 157.651857 97.908478) + (xy 157.644674 97.903094) + (xy 157.509407 97.852386) + (xy 157.471159 97.849543) + (xy 157.404712 97.824549) + (xy 157.362323 97.767596) + (xy 157.3545 97.723891) + (xy 157.3545 97.601463) + (xy 157.356744 97.580376) + (xy 157.35691 97.576859) + (xy 157.359101 97.566681) + (xy 157.355373 97.535185) + (xy 157.355072 97.53007) + (xy 157.354928 97.530082) + (xy 157.3545 97.524902) + (xy 157.3545 97.519707) + (xy 157.353349 97.512786) + (xy 157.351667 97.502682) + (xy 157.35083 97.496805) + (xy 157.349648 97.486814) + (xy 157.347052 97.464888) + (xy 157.346449 97.45979) + (xy 157.346449 97.459789) + (xy 157.345225 97.44945) + (xy 157.341523 97.441741) + (xy 157.340118 97.433299) + (xy 157.335173 97.424135) + (xy 157.333964 97.420603) + (xy 157.330375 97.394216) + (xy 157.319121 97.373894) + (xy 157.310962 97.365735) + (xy 157.29632 97.347604) + (xy 157.294125 97.343033) + (xy 157.291493 97.339901) + (xy 157.289548 97.337956) + (xy 157.287726 97.335969) + (xy 157.287834 97.33587) + (xy 157.287097 97.335034) + (xy 157.284061 97.329407) + (xy 157.25366 97.301304) + (xy 157.245373 97.293644) + (xy 157.241807 97.290215) + (xy 157.077953 97.126361) + (xy 157.053766 97.084469) + (xy 157.051514 97.085519) + (xy 157.046579 97.074935) + (xy 157.032697 97.045166) + (xy 157.022036 96.974975) + (xy 157.051016 96.910162) + (xy 157.110436 96.871305) + (xy 157.18143 96.870742) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 167.697244 93.879834) + (xy 167.742307 93.908795) + (xy 167.82918 93.995668) + (xy 167.859993 94.017243) + (xy 167.886034 94.035477) + (xy 167.900755 94.045785) + (xy 167.911403 94.048638) + (xy 167.921396 94.053298) + (xy 167.919794 94.056734) + (xy 167.963299 94.083254) + (xy 167.984257 94.114756) + (xy 167.995086 94.137979) + (xy 168.015602 94.181975) + (xy 168.016206 94.182695) + (xy 168.182733 94.349222) + (xy 168.210551 94.3687) + (xy 168.24384 94.392009) + (xy 168.254308 94.399339) + (xy 168.264956 94.402192) + (xy 168.274949 94.406852) + (xy 168.273346 94.410289) + (xy 168.316842 94.436796) + (xy 168.33781 94.468309) + (xy 168.369155 94.535528) + (xy 168.369759 94.536248) + (xy 168.536286 94.702775) + (xy 168.607861 94.752892) + (xy 168.618509 94.755745) + (xy 168.628502 94.760405) + (xy 168.626898 94.763844) + (xy 168.670385 94.790337) + (xy 168.691364 94.821862) + (xy 168.722709 94.889081) + (xy 168.723313 94.889801) + (xy 168.88984 95.056328) + (xy 168.919633 95.077189) + (xy 168.951134 95.099246) + (xy 168.961415 95.106445) + (xy 168.972063 95.109298) + (xy 168.982056 95.113958) + (xy 168.980454 95.117394) + (xy 169.023959 95.143914) + (xy 169.044917 95.175416) + (xy 169.056834 95.200972) + (xy 169.076262 95.242635) + (xy 169.076866 95.243355) + (xy 169.243393 95.409882) + (xy 169.27831 95.434331) + (xy 169.30396 95.452291) + (xy 169.314968 95.459999) + (xy 169.325616 95.462852) + (xy 169.335609 95.467512) + (xy 169.334006 95.470949) + (xy 169.377502 95.497456) + (xy 169.39847 95.528969) + (xy 169.429815 95.596188) + (xy 169.430419 95.596908) + (xy 169.596946 95.763435) + (xy 169.629204 95.786022) + (xy 169.651485 95.801623) + (xy 169.668521 95.813552) + (xy 169.679169 95.816405) + (xy 169.689162 95.821065) + (xy 169.687558 95.824504) + (xy 169.731045 95.850997) + (xy 169.752024 95.882522) + (xy 169.783369 95.949741) + (xy 169.783973 95.950461) + (xy 169.9505 96.116988) + (xy 169.985234 96.141309) + (xy 170.003515 96.154109) + (xy 170.022075 96.167105) + (xy 170.032723 96.169958) + (xy 170.042716 96.174618) + (xy 170.041114 96.178054) + (xy 170.084619 96.204574) + (xy 170.105577 96.236076) + (xy 170.122593 96.272567) + (xy 170.136922 96.303295) + (xy 170.137526 96.304015) + (xy 170.304053 96.470542) + (xy 170.338707 96.494807) + (xy 170.36023 96.509877) + (xy 170.375628 96.520659) + (xy 170.386276 96.523512) + (xy 170.396269 96.528172) + (xy 170.394665 96.531611) + (xy 170.438152 96.558104) + (xy 170.459131 96.589629) + (xy 170.490476 96.656848) + (xy 170.49108 96.657568) + (xy 170.657607 96.824095) + (xy 170.729182 96.874212) + (xy 170.73983 96.877065) + (xy 170.749823 96.881725) + (xy 170.748221 96.885161) + (xy 170.791726 96.911681) + (xy 170.812684 96.943183) + (xy 170.833404 96.987616) + (xy 170.844029 97.010402) + (xy 170.844633 97.011122) + (xy 171.01116 97.177649) + (xy 171.023171 97.186059) + (xy 171.056683 97.209524) + (xy 171.082735 97.227766) + (xy 171.093383 97.230619) + (xy 171.103376 97.235279) + (xy 171.101773 97.238716) + (xy 171.145269 97.265223) + (xy 171.166237 97.296736) + (xy 171.197582 97.363955) + (xy 171.198186 97.364675) + (xy 171.364713 97.531202) + (xy 171.398378 97.554774) + (xy 171.427111 97.574893) + (xy 171.436288 97.581319) + (xy 171.446936 97.584172) + (xy 171.456929 97.588832) + (xy 171.455325 97.592271) + (xy 171.498812 97.618764) + (xy 171.519791 97.650289) + (xy 171.551136 97.717508) + (xy 171.55174 97.718228) + (xy 171.718267 97.884755) + (xy 171.789842 97.934872) + (xy 171.80049 97.937725) + (xy 171.810483 97.942385) + (xy 171.808881 97.945821) + (xy 171.852386 97.972341) + (xy 171.873344 98.003843) + (xy 171.904689 98.071062) + (xy 171.905293 98.071782) + (xy 172.07182 98.238309) + (xy 172.106737 98.262758) + (xy 172.129955 98.279015) + (xy 172.143395 98.288426) + (xy 172.154043 98.291279) + (xy 172.164036 98.295939) + (xy 172.162432 98.299378) + (xy 172.205919 98.325871) + (xy 172.226898 98.357396) + (xy 172.258243 98.424615) + (xy 172.258847 98.425335) + (xy 172.425374 98.591862) + (xy 172.455997 98.613304) + (xy 172.486781 98.634859) + (xy 172.496949 98.641979) + (xy 172.507597 98.644832) + (xy 172.51759 98.649492) + (xy 172.515988 98.652928) + (xy 172.559493 98.679448) + (xy 172.580451 98.71095) + (xy 172.594416 98.740898) + (xy 172.611796 98.778169) + (xy 172.6124 98.778889) + (xy 172.778927 98.945416) + (xy 172.850502 98.995533) + (xy 172.86115 98.998386) + (xy 172.871143 99.003046) + (xy 172.86954 99.006483) + (xy 172.913036 99.03299) + (xy 172.934004 99.064503) + (xy 172.965349 99.131722) + (xy 172.965953 99.132442) + (xy 173.13248 99.298969) + (xy 173.14858 99.310242) + (xy 173.192907 99.365698) + (xy 173.200216 99.436318) + (xy 173.165403 99.502549) + (xy 172.60099 100.066962) + (xy 172.584507 100.080275) + (xy 172.581896 100.082651) + (xy 172.573144 100.088302) + (xy 172.560039 100.104926) + (xy 172.553509 100.113209) + (xy 172.550105 100.11704) + (xy 172.550215 100.117133) + (xy 172.546862 100.12109) + (xy 172.543181 100.124771) + (xy 172.540157 100.129003) + (xy 172.533139 100.138823) + (xy 172.529575 100.143569) + (xy 172.506508 100.172829) + (xy 172.506507 100.172831) + (xy 172.50006 100.181009) + (xy 172.497226 100.189078) + (xy 172.492251 100.19604) + (xy 172.48333 100.22587) + (xy 172.478584 100.241738) + (xy 172.476757 100.247363) + (xy 172.460945 100.29239) + (xy 172.460592 100.296466) + (xy 172.460592 100.299175) + (xy 172.460474 100.301908) + (xy 172.460329 100.301902) + (xy 172.460259 100.303016) + (xy 172.458427 100.309141) + (xy 172.459483 100.336025) + (xy 172.460495 100.361783) + (xy 172.460592 100.366729) + (xy 172.460592 100.5852) + (xy 172.458348 100.606287) + (xy 172.458182 100.609804) + (xy 172.455991 100.619982) + (xy 172.459446 100.649171) + (xy 172.459719 100.651477) + (xy 172.460021 100.656594) + (xy 172.460164 100.656582) + (xy 172.460592 100.66176) + (xy 172.460592 100.666957) + (xy 172.462227 100.676782) + (xy 172.463428 100.684) + (xy 172.464264 100.689877) + (xy 172.465481 100.700161) + (xy 172.453623 100.77016) + (xy 172.429449 100.804063) + (xy 172.391077 100.842435) + (xy 172.328765 100.876461) + (xy 172.25795 100.871396) + (xy 172.201114 100.828849) + (xy 172.184832 100.799727) + (xy 172.180845 100.789659) + (xy 172.180843 100.789655) + (xy 172.177924 100.782283) + (xy 172.164892 100.764345) + (xy 172.085415 100.654955) + (xy 172.080755 100.648541) + (xy 172.063182 100.634003) + (xy 171.959487 100.548219) + (xy 171.959483 100.548216) + (xy 171.953378 100.543166) + (xy 171.803797 100.472778) + (xy 171.796014 100.471293) + (xy 171.796013 100.471293) + (xy 171.649196 100.443286) + (xy 171.649194 100.443286) + (xy 171.64141 100.441801) + (xy 171.560561 100.446888) + (xy 171.484333 100.451684) + (xy 171.484331 100.451684) + (xy 171.476422 100.452182) + (xy 171.468886 100.454631) + (xy 171.468884 100.454631) + (xy 171.326741 100.500816) + (xy 171.326738 100.500817) + (xy 171.319199 100.503267) + (xy 171.179619 100.591847) + (xy 171.174192 100.597626) + (xy 171.174191 100.597627) + (xy 171.075765 100.70244) + (xy 171.066453 100.712356) + (xy 170.986812 100.857222) + (xy 170.9457 101.017343) + (xy 170.9457 101.182657) + (xy 170.986812 101.342778) + (xy 171.066453 101.487644) + (xy 171.071877 101.49342) + (xy 171.164307 101.591847) + (xy 171.179619 101.608153) + (xy 171.186315 101.612402) + (xy 171.192419 101.617452) + (xy 171.190981 101.61919) + (xy 171.224712 101.655005) + (xy 171.234429 101.67255) + (xy 171.289039 101.72716) + (xy 171.30368 101.745289) + (xy 171.305875 101.74986) + (xy 171.308507 101.752992) + (xy 171.310452 101.754937) + (xy 171.312274 101.756924) + (xy 171.312166 101.757023) + (xy 171.312903 101.757859) + (xy 171.315939 101.763486) + (xy 171.323588 101.770557) + (xy 171.323589 101.770558) + (xy 171.354627 101.799249) + (xy 171.358193 101.802678) + (xy 171.475601 101.920086) + (xy 171.499788 101.961977) + (xy 171.502039 101.960927) + (xy 171.520856 102.001279) + (xy 171.531518 102.07147) + (xy 171.502539 102.136283) + (xy 171.44312 102.17514) + (xy 171.372125 102.175704) + (xy 171.368481 102.174345) + (xy 171.365466 102.172234) + (xy 171.330796 102.162944) + (xy 171.274313 102.130333) + (xy 170.979878 101.835898) + (xy 170.966565 101.819415) + (xy 170.964189 101.816804) + (xy 170.958538 101.808052) + (xy 170.933631 101.788417) + (xy 170.9298 101.785013) + (xy 170.929707 101.785123) + (xy 170.92575 101.78177) + (xy 170.922069 101.778089) + (xy 170.908017 101.768047) + (xy 170.903271 101.764483) + (xy 170.874011 101.741416) + (xy 170.874009 101.741415) + (xy 170.865831 101.734968) + (xy 170.857762 101.732134) + (xy 170.8508 101.727159) + (xy 170.805102 101.713492) + (xy 170.799477 101.711665) + (xy 170.75445 101.695853) + (xy 170.750374 101.6955) + (xy 170.747665 101.6955) + (xy 170.744932 101.695382) + (xy 170.744938 101.695237) + (xy 170.743824 101.695167) + (xy 170.737699 101.693335) + (xy 170.689283 101.695237) + (xy 170.685057 101.695403) + (xy 170.680111 101.6955) + (xy 170.555263 101.6955) + (xy 170.487142 101.675498) + (xy 170.448703 101.636737) + (xy 170.442801 101.627383) + (xy 170.442794 101.627375) + (xy 170.438004 101.619783) + (xy 170.426032 101.609209) + (xy 170.336455 101.530098) + (xy 170.329727 101.524156) + (xy 170.246054 101.484872) + (xy 170.207089 101.466578) + (xy 170.207088 101.466578) + (xy 170.198963 101.462763) + (xy 170.187506 101.460979) + (xy 170.143833 101.454179) + (xy 170.088093 101.4455) + (xy 169.269785 101.4455) + (xy 169.258614 101.444252) + (xy 169.258567 101.444842) + (xy 169.249616 101.444122) + (xy 169.240862 101.442141) + (xy 169.19062 101.445258) + (xy 169.182818 101.4455) + (xy 169.165086 101.4455) + (xy 169.153541 101.447153) + (xy 169.143504 101.448181) + (xy 169.112251 101.45012) + (xy 169.09668 101.451086) + (xy 169.088236 101.454134) + (xy 169.084911 101.454823) + (xy 169.069126 101.458759) + (xy 169.065885 101.459707) + (xy 169.057 101.460979) + (xy 169.048829 101.464694) + (xy 169.048826 101.464695) + (xy 169.027927 101.474198) + (xy 169.014284 101.480401) + (xy 169.004948 101.484202) + (xy 168.960804 101.500139) + (xy 168.953557 101.505433) + (xy 168.95058 101.507016) + (xy 168.936518 101.515233) + (xy 168.93367 101.517055) + (xy 168.925496 101.520771) + (xy 168.918694 101.526632) + (xy 168.889961 101.551389) + (xy 168.882045 101.557675) + (xy 168.8702 101.566329) + (xy 168.858425 101.578104) + (xy 168.851577 101.584463) + (xy 168.822857 101.609209) + (xy 168.822855 101.609211) + (xy 168.816059 101.615067) + (xy 168.811179 101.622596) + (xy 168.805275 101.629364) + (xy 168.805212 101.629309) + (xy 168.796699 101.63983) + (xy 167.557189 102.879341) + (xy 166.323352 104.113178) + (xy 166.291906 104.134831) + (xy 166.291956 104.134911) + (xy 166.284367 104.139699) + (xy 166.284365 104.1397) + (xy 166.26721 104.150524) + (xy 166.252133 104.15866) + (xy 166.225496 104.170771) + (xy 166.218699 104.176628) + (xy 166.218697 104.176629) + (xy 166.206264 104.187342) + (xy 166.191258 104.198447) + (xy 166.169783 104.211996) + (xy 166.16384 104.218725) + (xy 166.150415 104.233925) + (xy 166.138225 104.245967) + (xy 166.122858 104.259208) + (xy 166.122856 104.25921) + (xy 166.116059 104.265067) + (xy 166.111178 104.272598) + (xy 166.102249 104.286373) + (xy 166.090959 104.301247) + (xy 166.074156 104.320273) + (xy 166.061725 104.346752) + (xy 166.053403 104.361735) + (xy 166.037487 104.386289) + (xy 166.030208 104.410628) + (xy 166.023551 104.42806) + (xy 166.012763 104.451037) + (xy 166.011382 104.459903) + (xy 166.011381 104.459908) + (xy 166.008262 104.479944) + (xy 166.004482 104.496649) + (xy 165.996096 104.524691) + (xy 165.996041 104.533667) + (xy 165.996041 104.533669) + (xy 165.995941 104.550079) + (xy 165.994443 104.568696) + (xy 165.992039 104.584137) + (xy 165.990538 104.593775) + (xy 165.993367 104.615406) + (xy 165.994186 104.623922) + (xy 165.995107 104.638776) + (xy 165.995347 104.647339) + (xy 165.995214 104.669147) + (xy 165.996479 104.673572) + (xy 165.996838 104.676081) + (xy 165.996838 104.676083) + (xy 165.997152 104.678278) + (xy 165.997654 104.683176) + (xy 165.997852 104.683164) + (xy 165.997868 104.683272) + (xy 165.99788 104.683465) + (xy 165.997745 104.684063) + (xy 165.998181 104.688324) + (xy 165.99952 104.709906) + (xy 166.001086 104.735144) + (xy 166.004134 104.743588) + (xy 166.004823 104.746913) + (xy 166.008759 104.762698) + (xy 166.009707 104.765939) + (xy 166.010979 104.774824) + (xy 166.014694 104.782995) + (xy 166.014695 104.782998) + (xy 166.024084 104.803647) + (xy 166.026008 104.807877) + (xy 166.030399 104.817535) + (xy 166.034202 104.826876) + (xy 166.050139 104.87102) + (xy 166.055433 104.878267) + (xy 166.057016 104.881244) + (xy 166.065233 104.895306) + (xy 166.067055 104.898154) + (xy 166.070771 104.906328) + (xy 166.082982 104.9205) + (xy 166.101389 104.941863) + (xy 166.107675 104.949779) + (xy 166.113457 104.957693) + (xy 166.116329 104.961624) + (xy 166.128104 104.973399) + (xy 166.134463 104.980247) + (xy 166.159209 105.008967) + (xy 166.159211 105.008969) + (xy 166.165067 105.015765) + (xy 166.172596 105.020645) + (xy 166.179364 105.026549) + (xy 166.179309 105.026612) + (xy 166.18983 105.035125) + (xy 166.808595 105.65389) + (xy 166.842621 105.716202) + (xy 166.8455 105.742985) + (xy 166.8455 106.059158) + (xy 166.825498 106.127279) + (xy 166.767719 106.175566) + (xy 166.695095 106.205649) + (xy 166.680909 106.213839) + (xy 166.640068 106.245177) + (xy 166.631604 106.256769) + (xy 166.638237 106.268746) + (xy 166.877078 106.507587) + (xy 166.905712 106.557183) + (xy 166.905845 106.557121) + (xy 166.905874 106.557183) + (xy 166.910505 106.567113) + (xy 166.924662 106.597473) + (xy 166.935324 106.667664) + (xy 166.906345 106.732477) + (xy 166.846926 106.771334) + (xy 166.775931 106.771898) + (xy 166.772287 106.770539) + (xy 166.769272 106.768428) + (xy 166.734602 106.759138) + (xy 166.678119 106.726527) + (xy 166.289552 106.33796) + (xy 166.255526 106.275648) + (xy 166.252889 106.256668) + (xy 166.249783 106.206613) + (xy 166.249167 106.196679) + (xy 166.242626 106.178561) + (xy 166.203164 106.069252) + (xy 166.203164 106.069251) + (xy 166.200114 106.060804) + (xy 166.133924 105.9702) + (xy 165.756335 105.592612) + (xy 165.749317 105.583828) + (xy 165.748866 105.584212) + (xy 165.743046 105.577374) + (xy 165.738257 105.569783) + (xy 165.70051 105.536446) + (xy 165.694823 105.5311) + (xy 165.6823 105.518577) + (xy 165.672987 105.511597) + (xy 165.665154 105.50522) + (xy 165.636706 105.480096) + (xy 165.636705 105.480096) + (xy 165.62998 105.474156) + (xy 165.621857 105.470342) + (xy 165.619026 105.468483) + (xy 165.605081 105.460105) + (xy 165.602114 105.45848) + (xy 165.594927 105.453094) + (xy 165.556923 105.438848) + (xy 165.551007 105.43663) + (xy 165.541685 105.432702) + (xy 165.507346 105.41658) + (xy 165.507345 105.41658) + (xy 165.499216 105.412763) + (xy 165.490343 105.411382) + (xy 165.487106 105.410392) + (xy 165.471361 105.406262) + (xy 165.468066 105.405537) + (xy 165.45966 105.402386) + (xy 165.412857 105.398908) + (xy 165.402837 105.397756) + (xy 165.393167 105.39625) + (xy 165.393158 105.396249) + (xy 165.388346 105.3955) + (xy 165.37168 105.3955) + (xy 165.362341 105.395153) + (xy 165.32455 105.392344) + (xy 165.324548 105.392344) + (xy 165.315599 105.391679) + (xy 165.306824 105.393552) + (xy 165.297862 105.394163) + (xy 165.297856 105.394079) + (xy 165.2844 105.3955) + (xy 162.362002 105.3955) + (xy 162.293881 105.375498) + (xy 162.281687 105.366585) + (xy 162.266092 105.353684) + (xy 162.253378 105.343166) + (xy 162.103797 105.272778) + (xy 162.096014 105.271293) + (xy 162.096013 105.271293) + (xy 161.949196 105.243286) + (xy 161.949194 105.243286) + (xy 161.94141 105.241801) + (xy 161.9335 105.242299) + (xy 161.925587 105.241801) + (xy 161.925713 105.239803) + (xy 161.866789 105.226458) + (xy 161.817016 105.17583) + (xy 161.803108 105.100597) + (xy 161.808506 105.057866) + (xy 161.8095 105.049998) + (xy 161.802726 104.996377) + (xy 161.789775 104.893852) + (xy 161.789774 104.893849) + (xy 161.788781 104.885987) + (xy 161.780263 104.864472) + (xy 161.730842 104.73965) + (xy 161.730841 104.739648) + (xy 161.727924 104.732281) + (xy 161.707737 104.704495) + (xy 161.635415 104.604953) + (xy 161.630755 104.598539) + (xy 161.624647 104.593486) + (xy 161.509487 104.498217) + (xy 161.509483 104.498214) + (xy 161.503378 104.493164) + (xy 161.353797 104.422776) + (xy 161.324609 104.417208) + (xy 161.261443 104.384795) + (xy 161.225829 104.323377) + (xy 161.229073 104.252454) + (xy 161.259126 104.204345) + (xy 161.472066 103.991405) + (xy 161.534378 103.957379) + (xy 161.561161 103.9545) + (xy 163.762348 103.9545) + (xy 163.764657 103.954521) + (xy 163.823151 103.955593) + (xy 163.831813 103.953232) + (xy 163.831817 103.953231) + (xy 163.855101 103.946883) + (xy 163.870379 103.943719) + (xy 163.894288 103.940295) + (xy 163.894296 103.940293) + (xy 163.903176 103.939021) + (xy 163.911347 103.935306) + (xy 163.92276 103.930117) + (xy 163.941771 103.923254) + (xy 163.95386 103.919958) + (xy 163.953861 103.919958) + (xy 163.962523 103.917596) + (xy 163.990762 103.900257) + (xy 164.004523 103.892941) + (xy 164.03468 103.879229) + (xy 164.043827 103.871348) + (xy 164.050974 103.865189) + (xy 164.067297 103.853265) + (xy 164.077976 103.846708) + (xy 164.077979 103.846705) + (xy 164.085628 103.842009) + (xy 164.107865 103.817442) + (xy 164.11902 103.806558) + (xy 164.137315 103.790794) + (xy 164.144117 103.784933) + (xy 164.155819 103.766879) + (xy 164.168131 103.750861) + (xy 164.182571 103.734909) + (xy 164.197017 103.705094) + (xy 164.204668 103.691514) + (xy 164.222689 103.663711) + (xy 164.228855 103.643094) + (xy 164.236175 103.62427) + (xy 164.245557 103.604905) + (xy 164.251054 103.572236) + (xy 164.25459 103.557042) + (xy 164.261507 103.533913) + (xy 164.26408 103.525309) + (xy 164.264211 103.503796) + (xy 164.265955 103.483659) + (xy 164.268718 103.467239) + (xy 164.268718 103.467238) + (xy 164.269524 103.462448) + (xy 164.269676 103.45) + (xy 164.268987 103.44519) + (xy 164.268986 103.445172) + (xy 164.266078 103.424869) + (xy 164.264807 103.406238) + (xy 164.264907 103.389827) + (xy 164.264962 103.380853) + (xy 164.256924 103.35273) + (xy 164.253344 103.335961) + (xy 164.25047 103.315888) + (xy 164.250469 103.315886) + (xy 164.249197 103.307) + (xy 164.244649 103.296996) + (xy 164.239368 103.285383) + (xy 164.238686 103.283883) + (xy 164.232241 103.266363) + (xy 164.227731 103.250584) + (xy 164.225265 103.241956) + (xy 164.21264 103.221946) + (xy 164.209652 103.21721) + (xy 164.201515 103.20213) + (xy 164.198467 103.195426) + (xy 164.189405 103.175496) + (xy 164.172834 103.156264) + (xy 164.161729 103.141258) + (xy 164.14818 103.119783) + (xy 164.141452 103.113841) + (xy 164.135635 103.107006) + (xy 164.137499 103.10542) + (xy 164.106667 103.056435) + (xy 164.107336 102.985441) + (xy 164.146281 102.92608) + (xy 164.174244 102.90807) + (xy 164.292775 102.852293) + (xy 164.292776 102.852292) + (xy 164.299951 102.848916) + (xy 164.306056 102.843866) + (xy 164.30606 102.843863) + (xy 164.42122 102.748594) + (xy 164.427328 102.743541) + (xy 164.456645 102.70319) + (xy 164.519838 102.616212) + (xy 164.519839 102.61621) + (xy 164.524497 102.609799) + (xy 164.545978 102.555546) + (xy 164.582438 102.463458) + (xy 164.585354 102.456093) + (xy 164.586348 102.448228) + (xy 164.592383 102.400461) + (xy 164.620765 102.335385) + (xy 164.628084 102.328005) + (xy 164.630217 102.326659) + (xy 164.663546 102.28892) + (xy 164.668892 102.283234) + (xy 164.681423 102.270703) + (xy 164.688405 102.261387) + (xy 164.69479 102.253544) + (xy 164.719902 102.22511) + (xy 164.725844 102.218382) + (xy 164.729659 102.210257) + (xy 164.731515 102.207431) + (xy 164.7399 102.193476) + (xy 164.741523 102.190512) + (xy 164.746906 102.183329) + (xy 164.757823 102.154206) + (xy 164.76337 102.139409) + (xy 164.767298 102.130087) + (xy 164.78342 102.095748) + (xy 164.78342 102.095747) + (xy 164.787237 102.087618) + (xy 164.788618 102.078745) + (xy 164.789608 102.075508) + (xy 164.793736 102.059771) + (xy 164.794462 102.05647) + (xy 164.797614 102.048062) + (xy 164.80109 102.001285) + (xy 164.802244 101.991237) + (xy 164.80375 101.981563) + (xy 164.8045 101.976748) + (xy 164.8045 101.960072) + (xy 164.804847 101.950734) + (xy 164.807655 101.912952) + (xy 164.807655 101.912951) + (xy 164.80832 101.904001) + (xy 164.806447 101.895226) + (xy 164.805836 101.886264) + (xy 164.80592 101.886258) + (xy 164.8045 101.872806) + (xy 164.8045 98.778313) + (xy 164.824502 98.710192) + (xy 164.878158 98.663699) + (xy 164.9305 98.652313) + (xy 167.137257 98.652313) + (xy 167.204771 98.671928) + (xy 167.319199 98.744546) + (xy 167.326738 98.746996) + (xy 167.326741 98.746997) + (xy 167.468884 98.793182) + (xy 167.468886 98.793182) + (xy 167.476422 98.795631) + (xy 167.484331 98.796129) + (xy 167.484333 98.796129) + (xy 167.558916 98.800822) + (xy 167.64141 98.806012) + (xy 167.649194 98.804527) + (xy 167.649196 98.804527) + (xy 167.796013 98.77652) + (xy 167.796014 98.77652) + (xy 167.803797 98.775035) + (xy 167.953378 98.704647) + (xy 167.959483 98.699597) + (xy 167.959487 98.699594) + (xy 168.074647 98.604325) + (xy 168.080755 98.599272) + (xy 168.110544 98.558271) + (xy 168.173265 98.471943) + (xy 168.173266 98.471941) + (xy 168.177924 98.46553) + (xy 168.182494 98.453989) + (xy 168.235864 98.319192) + (xy 168.235864 98.31919) + (xy 168.238781 98.311824) + (xy 168.240354 98.299378) + (xy 168.25798 98.159846) + (xy 168.2595 98.147813) + (xy 168.254645 98.10938) + (xy 168.239775 97.991667) + (xy 168.239774 97.991664) + (xy 168.238781 97.983802) + (xy 168.234243 97.972341) + (xy 168.180842 97.837465) + (xy 168.180841 97.837463) + (xy 168.177924 97.830096) + (xy 168.16681 97.814798) + (xy 168.085415 97.702768) + (xy 168.080755 97.696354) + (xy 168.04666 97.668148) + (xy 167.959487 97.596032) + (xy 167.959483 97.596029) + (xy 167.953378 97.590979) + (xy 167.803797 97.520591) + (xy 167.796014 97.519106) + (xy 167.796013 97.519106) + (xy 167.649196 97.491099) + (xy 167.649194 97.491099) + (xy 167.64141 97.489614) + (xy 167.558916 97.494805) + (xy 167.484333 97.499497) + (xy 167.484331 97.499497) + (xy 167.476422 97.499995) + (xy 167.468886 97.502444) + (xy 167.468884 97.502444) + (xy 167.326741 97.548629) + (xy 167.326738 97.54863) + (xy 167.319199 97.55108) + (xy 167.2494 97.595376) + (xy 167.204771 97.623698) + (xy 167.137257 97.643313) + (xy 164.9305 97.643313) + (xy 164.862379 97.623311) + (xy 164.815886 97.569655) + (xy 164.8045 97.517313) + (xy 164.8045 95.0805) + (xy 164.824502 95.012379) + (xy 164.878158 94.965886) + (xy 164.9305 94.9545) + (xy 166.137257 94.9545) + (xy 166.204771 94.974115) + (xy 166.319199 95.046733) + (xy 166.326738 95.049183) + (xy 166.326741 95.049184) + (xy 166.468884 95.095369) + (xy 166.468886 95.095369) + (xy 166.476422 95.097818) + (xy 166.484331 95.098316) + (xy 166.484333 95.098316) + (xy 166.558916 95.103008) + (xy 166.64141 95.108199) + (xy 166.649194 95.106714) + (xy 166.649196 95.106714) + (xy 166.796013 95.078707) + (xy 166.796014 95.078707) + (xy 166.803797 95.077222) + (xy 166.953378 95.006834) + (xy 166.959483 95.001784) + (xy 166.959487 95.001781) + (xy 167.074647 94.906512) + (xy 167.080755 94.901459) + (xy 167.128912 94.835177) + (xy 167.173265 94.77413) + (xy 167.173266 94.774128) + (xy 167.177924 94.767717) + (xy 167.186071 94.747142) + (xy 167.235864 94.621379) + (xy 167.235864 94.621377) + (xy 167.238781 94.614011) + (xy 167.239938 94.604859) + (xy 167.256015 94.477587) + (xy 167.2595 94.45) + (xy 167.258116 94.439039) + (xy 167.24923 94.3687) + (xy 167.260537 94.29861) + (xy 167.272612 94.279931) + (xy 167.27191 94.279429) + (xy 167.281952 94.265377) + (xy 167.285516 94.260631) + (xy 167.308583 94.231371) + (xy 167.308584 94.231369) + (xy 167.315031 94.223191) + (xy 167.317865 94.215122) + (xy 167.32284 94.20816) + (xy 167.33651 94.162452) + (xy 167.338346 94.156802) + (xy 167.340883 94.14958) + (xy 167.370666 94.102246) + (xy 167.564117 93.908795) + (xy 167.626429 93.874769) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 170.203047 102.457787) + (xy 170.256677 102.50431) + (xy 170.276641 102.572442) + (xy 170.259761 102.635371) + (xy 170.2521 102.648641) + (xy 170.199676 102.775201) + (xy 170.195439 102.791015) + (xy 170.177559 102.926826) + (xy 170.177559 102.943201) + (xy 170.182809 102.983078) + (xy 170.17187 103.053227) + (xy 170.157645 103.075458) + (xy 170.157885 103.075626) + (xy 170.114353 103.137797) + (xy 170.107768 103.147201) + (xy 170.104915 103.157849) + (xy 170.100255 103.167842) + (xy 170.096816 103.166238) + (xy 170.070323 103.209725) + (xy 170.038798 103.230704) + (xy 169.971579 103.262049) + (xy 169.970859 103.262653) + (xy 169.804332 103.42918) + (xy 169.781038 103.462448) + (xy 169.763199 103.487925) + (xy 169.754215 103.500755) + (xy 169.751362 103.511403) + (xy 169.746702 103.521396) + (xy 169.743266 103.519794) + (xy 169.716746 103.563299) + (xy 169.685244 103.584257) + (xy 169.659948 103.596053) + (xy 169.618025 103.615602) + (xy 169.617305 103.616206) + (xy 169.450778 103.782733) + (xy 169.434117 103.806528) + (xy 169.409645 103.841478) + (xy 169.400661 103.854308) + (xy 169.397808 103.864956) + (xy 169.393148 103.874949) + (xy 169.389711 103.873346) + (xy 169.363204 103.916842) + (xy 169.331691 103.93781) + (xy 169.264472 103.969155) + (xy 169.263752 103.969759) + (xy 169.097225 104.136286) + (xy 169.094066 104.140798) + (xy 169.053698 104.19845) + (xy 169.047108 104.207861) + (xy 169.044255 104.218509) + (xy 169.039595 104.228502) + (xy 169.036156 104.226898) + (xy 169.009663 104.270385) + (xy 168.978138 104.291364) + (xy 168.910919 104.322709) + (xy 168.910199 104.323313) + (xy 168.743672 104.48984) + (xy 168.719269 104.524691) + (xy 168.700276 104.551817) + (xy 168.693555 104.561415) + (xy 168.690702 104.572063) + (xy 168.686042 104.582056) + (xy 168.682606 104.580454) + (xy 168.656086 104.623959) + (xy 168.624584 104.644917) + (xy 168.587191 104.662354) + (xy 168.557365 104.676262) + (xy 168.556645 104.676866) + (xy 168.390118 104.843393) + (xy 168.370774 104.87102) + (xy 168.346488 104.905704) + (xy 168.340001 104.914968) + (xy 168.337148 104.925616) + (xy 168.332488 104.935609) + (xy 168.329051 104.934006) + (xy 168.302544 104.977502) + (xy 168.271031 104.99847) + (xy 168.203812 105.029815) + (xy 168.203092 105.030419) + (xy 168.036565 105.196946) + (xy 168.02428 105.214491) + (xy 167.99717 105.253209) + (xy 167.986448 105.268521) + (xy 167.983595 105.279169) + (xy 167.978935 105.289162) + (xy 167.975496 105.287558) + (xy 167.949003 105.331045) + (xy 167.917475 105.352025) + (xy 167.880065 105.369469) + (xy 167.809874 105.38013) + (xy 167.745061 105.351149) + (xy 167.706206 105.291729) + (xy 167.701059 105.263076) + (xy 167.69947 105.237465) + (xy 167.698914 105.228503) + (xy 167.695633 105.219415) + (xy 167.652911 105.101076) + (xy 167.652911 105.101075) + (xy 167.649861 105.092628) + (xy 167.583671 105.002024) + (xy 167.311654 104.730007) + (xy 167.277628 104.667695) + (xy 167.282693 104.59688) + (xy 167.311654 104.551817) + (xy 169.372065 102.491405) + (xy 169.434377 102.45738) + (xy 169.46116 102.4545) + (xy 170.084914 102.4545) + (xy 170.132779 102.447645) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 173.269239 102.926227) + (xy 173.276935 102.92893) + (xy 173.281011 102.929283) + (xy 173.283723 102.929283) + (xy 173.286453 102.929401) + (xy 173.286447 102.929547) + (xy 173.287562 102.929617) + (xy 173.293687 102.931449) + (xy 173.346341 102.92938) + (xy 173.351287 102.929283) + (xy 173.353823 102.929283) + (xy 173.665188 102.929284) + (xy 173.733309 102.949286) + (xy 173.779802 103.002942) + (xy 173.789905 103.073216) + (xy 173.760412 103.137797) + (xy 173.754299 103.144362) + (xy 172.990064 103.908597) + (xy 172.927754 103.942621) + (xy 172.900971 103.9455) + (xy 172.680603 103.9455) + (xy 172.612482 103.925498) + (xy 172.565989 103.871842) + (xy 172.555885 103.801568) + (xy 172.585379 103.736988) + (xy 172.632384 103.703091) + (xy 172.694876 103.677206) + (xy 172.709055 103.669019) + (xy 172.749896 103.637681) + (xy 172.75836 103.626089) + (xy 172.751727 103.614112) + (xy 172.512888 103.375273) + (xy 172.484251 103.325674) + (xy 172.484119 103.325735) + (xy 172.483417 103.324229) + (xy 172.483413 103.324222) + (xy 172.479462 103.315749) + (xy 172.479461 103.315745) + (xy 172.465303 103.285383) + (xy 172.454642 103.215191) + (xy 172.483623 103.150379) + (xy 172.543043 103.111523) + (xy 172.614037 103.11096) + (xy 172.617675 103.112317) + (xy 172.620693 103.11443) + (xy 172.664056 103.126049) + (xy 172.72054 103.158661) + (xy 172.963588 103.401709) + (xy 172.976189 103.40859) + (xy 172.987195 103.400453) + (xy 173.018766 103.359308) + (xy 173.026953 103.345129) + (xy 173.079376 103.21857) + (xy 173.083613 103.202756) + (xy 173.101493 103.066945) + (xy 173.101493 103.045111) + (xy 173.121495 102.97699) + (xy 173.175151 102.930497) + (xy 173.245425 102.920393) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 180.447472 100.174235) + (xy 180.468446 100.191138) + (xy 180.551021 100.273713) + (xy 180.585047 100.336025) + (xy 180.587926 100.362808) + (xy 180.587926 100.634003) + (xy 180.567924 100.702124) + (xy 180.554457 100.719525) + (xy 180.521984 100.754653) + (xy 180.518579 100.758193) + (xy 179.434816 101.841956) + (xy 179.365432 101.87731) + (xy 179.32325 101.883991) + (xy 179.323249 101.883991) + (xy 179.313458 101.885542) + (xy 179.199302 101.943708) + (xy 179.196393 101.946617) + (xy 179.132563 101.969394) + (xy 179.063411 101.953317) + (xy 179.023429 101.917661) + (xy 178.957966 101.827559) + (xy 178.947198 101.816092) + (xy 178.831361 101.720264) + (xy 178.818079 101.711835) + (xy 178.682055 101.647827) + (xy 178.667087 101.642964) + (xy 178.591794 101.6286) + (xy 178.578755 101.629871) + (xy 178.574 101.644793) + (xy 178.574 103.278) + (xy 178.553998 103.346121) + (xy 178.500342 103.392614) + (xy 178.448 103.404) + (xy 177.530115 103.404) + (xy 177.514876 103.408475) + (xy 177.513671 103.409865) + (xy 177.512 103.417548) + (xy 177.512 103.765288) + (xy 177.491998 103.833409) + (xy 177.438342 103.879902) + (xy 177.368068 103.890006) + (xy 177.320959 103.8698) + (xy 177.320007 103.871531) + (xy 177.310884 103.866515) + (xy 177.302704 103.860067) + (xy 177.294635 103.857233) + (xy 177.287673 103.852258) + (xy 177.241975 103.838591) + (xy 177.23635 103.836764) + (xy 177.191323 103.820952) + (xy 177.187247 103.820599) + (xy 177.184538 103.820599) + (xy 177.181805 103.820481) + (xy 177.181811 103.820336) + (xy 177.180697 103.820266) + (xy 177.174572 103.818434) + (xy 177.126156 103.820336) + (xy 177.12193 103.820502) + (xy 177.116984 103.820599) + (xy 176.647801 103.820599) + (xy 176.57968 103.800597) + (xy 176.533187 103.746941) + (xy 176.523083 103.676667) + (xy 176.554386 103.610043) + (xy 176.562222 103.601387) + (xy 176.622395 103.534909) + (xy 176.665872 103.445172) + (xy 176.681467 103.412984) + (xy 176.681467 103.412983) + (xy 176.685381 103.404905) + (xy 176.709348 103.262448) + (xy 176.7095 103.25) + (xy 176.702625 103.201992) + (xy 176.690295 103.115893) + (xy 176.690294 103.11589) + (xy 176.689021 103.107) + (xy 176.682597 103.09287) + (xy 176.652479 103.026632) + (xy 176.629229 102.975496) + (xy 176.623368 102.968694) + (xy 176.618533 102.961133) + (xy 176.621624 102.959156) + (xy 176.599498 102.910344) + (xy 176.609801 102.840099) + (xy 176.617877 102.825738) + (xy 176.622395 102.820747) + (xy 176.667707 102.727222) + (xy 176.681467 102.698822) + (xy 176.681467 102.698821) + (xy 176.685381 102.690743) + (xy 176.709348 102.548286) + (xy 176.7095 102.535838) + (xy 176.70291 102.489819) + (xy 176.690295 102.401731) + (xy 176.690294 102.401728) + (xy 176.689021 102.392838) + (xy 176.672615 102.356754) + (xy 176.633796 102.271379) + (xy 176.629229 102.261334) + (xy 176.623366 102.254529) + (xy 176.621627 102.25251) + (xy 176.620536 102.250103) + (xy 176.618533 102.246971) + (xy 176.618985 102.246682) + (xy 176.606873 102.219961) + (xy 177.512 102.219961) + (xy 177.512 102.877885) + (xy 177.516475 102.893124) + (xy 177.517865 102.894329) + (xy 177.525548 102.896) + (xy 178.047885 102.896) + (xy 178.063124 102.891525) + (xy 178.064329 102.890135) + (xy 178.066 102.882452) + (xy 178.066 101.643253) + (xy 178.061925 101.629376) + (xy 178.048574 101.627339) + (xy 178.012984 101.631835) + (xy 177.997751 101.635746) + (xy 177.857966 101.691091) + (xy 177.844184 101.698668) + (xy 177.722559 101.787034) + (xy 177.711092 101.797802) + (xy 177.615264 101.913639) + (xy 177.606835 101.926921) + (xy 177.542827 102.062945) + (xy 177.537964 102.077913) + (xy 177.513114 102.208176) + (xy 177.512 102.219961) + (xy 176.606873 102.219961) + (xy 176.592316 102.187846) + (xy 176.603692 102.115329) + (xy 176.660104 101.998894) + (xy 176.660104 101.998893) + (xy 176.664018 101.990815) + (xy 176.687985 101.848358) + (xy 176.688137 101.83591) + (xy 176.681244 101.787779) + (xy 176.668932 101.701803) + (xy 176.668931 101.7018) + (xy 176.667658 101.69291) + (xy 176.662922 101.682492) + (xy 176.641667 101.635746) + (xy 176.607866 101.561406) + (xy 176.596504 101.548219) + (xy 176.569043 101.516349) + (xy 176.51357 101.451969) + (xy 176.392348 101.373397) + (xy 176.253946 101.332006) + (xy 176.24497 101.331951) + (xy 176.244969 101.331951) + (xy 176.207152 101.33172) + (xy 176.14252 101.331326) + (xy 176.074524 101.310908) + (xy 176.028359 101.25697) + (xy 176.018684 101.186636) + (xy 176.048571 101.122236) + (xy 176.054195 101.116233) + (xy 176.97929 100.191138) + (xy 177.041602 100.157112) + (xy 177.068385 100.154233) + (xy 180.379351 100.154233) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 162.285586 92.555978) + (xy 162.313639 92.57711) + (xy 163.758595 94.022066) + (xy 163.792621 94.084378) + (xy 163.7955 94.111161) + (xy 163.7955 94.471864) + (xy 163.795498 94.472632) + (xy 163.795214 94.519147) + (xy 163.795473 94.520053) + (xy 163.7955 94.520452) + (xy 163.7955 98.030215) + (xy 163.794252 98.041386) + (xy 163.794842 98.041433) + (xy 163.794122 98.050384) + (xy 163.792141 98.059138) + (xy 163.794254 98.093197) + (xy 163.795258 98.10938) + (xy 163.7955 98.117182) + (xy 163.7955 101.561654) + (xy 163.775498 101.629775) + (xy 163.721842 101.676268) + (xy 163.708435 101.681487) + (xy 163.665772 101.695349) + (xy 163.526192 101.783929) + (xy 163.520765 101.789708) + (xy 163.520764 101.789709) + (xy 163.438501 101.87731) + (xy 163.413026 101.904438) + (xy 163.333385 102.049304) + (xy 163.321795 102.094443) + (xy 163.313775 102.12568) + (xy 163.277461 102.186686) + (xy 163.213929 102.218375) + (xy 163.14335 102.210685) + (xy 163.088132 102.166058) + (xy 163.065734 102.094345) + (xy 163.065734 96.760193) + (xy 163.066081 96.750854) + (xy 163.06889 96.713063) + (xy 163.06889 96.713061) + (xy 163.069555 96.704112) + (xy 163.067682 96.695337) + (xy 163.067071 96.686375) + (xy 163.067155 96.686369) + (xy 163.065734 96.672913) + (xy 163.065734 94.09887) + (xy 163.066982 94.087699) + (xy 163.066392 94.087652) + (xy 163.067112 94.078701) + (xy 163.069093 94.069947) + (xy 163.065976 94.019704) + (xy 163.065734 94.011903) + (xy 163.065734 93.994171) + (xy 163.064081 93.982626) + (xy 163.063053 93.972589) + (xy 163.060704 93.934727) + (xy 163.060148 93.925765) + (xy 163.0571 93.917321) + (xy 163.056411 93.913996) + (xy 163.052475 93.898211) + (xy 163.051527 93.89497) + (xy 163.050255 93.886085) + (xy 163.04654 93.877914) + (xy 163.046539 93.877911) + (xy 163.035238 93.853058) + (xy 163.030833 93.843369) + (xy 163.027032 93.834033) + (xy 163.011095 93.789889) + (xy 163.005798 93.782639) + (xy 163.004203 93.779639) + (xy 162.996 93.765601) + (xy 162.994178 93.762751) + (xy 162.990463 93.754581) + (xy 162.984563 93.747733) + (xy 162.959839 93.71904) + (xy 162.953549 93.711118) + (xy 162.947781 93.703222) + (xy 162.947777 93.703218) + (xy 162.944905 93.699286) + (xy 162.933136 93.687517) + (xy 162.926777 93.680669) + (xy 162.902025 93.651942) + (xy 162.902023 93.65194) + (xy 162.896167 93.645144) + (xy 162.888637 93.640264) + (xy 162.88187 93.63436) + (xy 162.881925 93.634297) + (xy 162.871401 93.625782) + (xy 162.170537 92.924918) + (xy 162.144932 92.887975) + (xy 162.132946 92.861613) + (xy 162.132944 92.861611) + (xy 162.129229 92.853439) + (xy 162.065976 92.780029) + (xy 162.036662 92.715367) + (xy 162.046961 92.645122) + (xy 162.093603 92.591595) + (xy 162.129684 92.579642) + (xy 162.149636 92.56489) + (xy 162.216302 92.540475) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 195.663532 91.539058) + (xy 195.720368 91.581605) + (xy 195.745179 91.648125) + (xy 195.7455 91.657114) + (xy 195.7455 95.200972) + (xy 195.725498 95.269093) + (xy 195.708595 95.290067) + (xy 194.188647 96.810014) + (xy 192.675908 98.322753) + (xy 192.659425 98.336066) + (xy 192.656814 98.338442) + (xy 192.648062 98.344093) + (xy 192.633995 98.361937) + (xy 192.628427 98.369) + (xy 192.625023 98.372831) + (xy 192.625133 98.372924) + (xy 192.62178 98.376881) + (xy 192.618099 98.380562) + (xy 192.615075 98.384794) + (xy 192.608057 98.394614) + (xy 192.604493 98.39936) + (xy 192.581426 98.42862) + (xy 192.581425 98.428622) + (xy 192.574978 98.4368) + (xy 192.572144 98.444869) + (xy 192.567169 98.451831) + (xy 192.564186 98.461806) + (xy 192.553502 98.497529) + (xy 192.551675 98.503154) + (xy 192.535863 98.548181) + (xy 192.53551 98.552257) + (xy 192.53551 98.554966) + (xy 192.535392 98.557699) + (xy 192.535247 98.557693) + (xy 192.535177 98.558807) + (xy 192.533345 98.564932) + (xy 192.534694 98.599272) + (xy 192.535413 98.617574) + (xy 192.53551 98.62252) + (xy 192.53551 101.7445) + (xy 192.515508 101.812621) + (xy 192.461852 101.859114) + (xy 192.40951 101.8705) + (xy 192.108431 101.8705) + (xy 192.013458 101.885542) + (xy 191.899302 101.943708) + (xy 191.808708 102.034302) + (xy 191.775233 102.1) + (xy 191.767267 102.115634) + (xy 191.718518 102.167249) + (xy 191.649603 102.184315) + (xy 191.582402 102.161414) + (xy 191.542733 102.115634) + (xy 191.534767 102.1) + (xy 191.501292 102.034302) + (xy 191.410698 101.943708) + (xy 191.296542 101.885542) + (xy 191.201569 101.8705) + (xy 190.838431 101.8705) + (xy 190.743458 101.885542) + (xy 190.629302 101.943708) + (xy 190.538708 102.034302) + (xy 190.505233 102.1) + (xy 190.497267 102.115634) + (xy 190.448518 102.167249) + (xy 190.379603 102.184315) + (xy 190.312402 102.161414) + (xy 190.272733 102.115634) + (xy 190.264767 102.1) + (xy 190.231292 102.034302) + (xy 190.140698 101.943708) + (xy 190.026542 101.885542) + (xy 189.931569 101.8705) + (xy 189.568431 101.8705) + (xy 189.504928 101.880558) + (xy 189.434519 101.871459) + (xy 189.392545 101.841406) + (xy 189.391493 101.840154) + (xy 189.389547 101.838208) + (xy 189.387723 101.836219) + (xy 189.387831 101.83612) + (xy 189.387098 101.835288) + (xy 189.384061 101.82966) + (xy 189.369384 101.816092) + (xy 189.345373 101.793897) + (xy 189.341807 101.790468) + (xy 189.137259 101.58592) + (xy 189.123946 101.569437) + (xy 189.12157 101.566826) + (xy 189.115919 101.558074) + (xy 189.091012 101.538439) + (xy 189.087181 101.535035) + (xy 189.087088 101.535145) + (xy 189.083131 101.531792) + (xy 189.07945 101.528111) + (xy 189.065398 101.518069) + (xy 189.060652 101.514505) + (xy 189.031392 101.491438) + (xy 189.03139 101.491437) + (xy 189.023212 101.48499) + (xy 189.015143 101.482156) + (xy 189.008181 101.477181) + (xy 188.962483 101.463514) + (xy 188.956858 101.461687) + (xy 188.911831 101.445875) + (xy 188.91183 101.445875) + (xy 188.912917 101.44278) + (xy 188.863769 101.416044) + (xy 188.829644 101.353786) + (xy 188.834596 101.282963) + (xy 188.863627 101.237712) + (xy 194.484091 95.617248) + (xy 194.546403 95.583222) + (xy 194.575495 95.580364) + (xy 194.587975 95.580593) + (xy 194.663121 95.560106) + (xy 194.718688 95.544957) + (xy 194.71869 95.544956) + (xy 194.727347 95.542596) + (xy 194.850452 95.467009) + (xy 194.857902 95.458779) + (xy 194.94137 95.366565) + (xy 194.947395 95.359909) + (xy 194.987144 95.277866) + (xy 195.006467 95.237984) + (xy 195.006467 95.237983) + (xy 195.010381 95.229905) + (xy 195.034348 95.087448) + (xy 195.034433 95.0805) + (xy 195.034441 95.079859) + (xy 195.034441 95.079855) + (xy 195.0345 95.075) + (xy 195.022422 94.990661) + (xy 195.015295 94.940893) + (xy 195.015294 94.94089) + (xy 195.014021 94.932) + (xy 195.008439 94.919722) + (xy 194.971087 94.837573) + (xy 194.954229 94.800496) + (xy 194.859933 94.691059) + (xy 194.738711 94.612487) + (xy 194.600309 94.571096) + (xy 194.59134 94.571041) + (xy 194.585358 94.570147) + (xy 194.520942 94.540295) + (xy 194.482893 94.480355) + (xy 194.479258 94.463395) + (xy 194.473242 94.42139) + (xy 194.464021 94.357) + (xy 194.460126 94.348432) + (xy 194.422362 94.265377) + (xy 194.404229 94.225496) + (xy 194.389292 94.20816) + (xy 194.345039 94.156802) + (xy 194.309933 94.116059) + (xy 194.188711 94.037487) + (xy 194.050309 93.996096) + (xy 194.041332 93.996041) + (xy 194.041331 93.996041) + (xy 194.03152 93.995981) + (xy 193.963523 93.975562) + (xy 193.917359 93.921623) + (xy 193.907564 93.887846) + (xy 193.899853 93.834007) + (xy 193.893006 93.786193) + (xy 193.883644 93.765601) + (xy 193.845814 93.682402) + (xy 193.833214 93.654689) + (xy 193.810294 93.628089) + (xy 193.744775 93.552049) + (xy 193.744773 93.552048) + (xy 193.738918 93.545252) + (xy 193.738242 93.544814) + (xy 193.702268 93.488424) + (xy 193.702507 93.417427) + (xy 193.734188 93.364236) + (xy 195.530405 91.568019) + (xy 195.592717 91.533993) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 186.050418 97.7045) + (xy 187.69194 97.7045) + (xy 187.760061 97.724502) + (xy 187.806554 97.778158) + (xy 187.808998 97.784847) + (xy 187.809269 97.786916) + (xy 187.867449 97.91914) + (xy 187.873226 97.926013) + (xy 187.873227 97.926014) + (xy 187.918641 97.98004) + (xy 187.960402 98.029721) + (xy 188.080655 98.109768) + (xy 188.218541 98.152847) + (xy 188.292033 98.154194) + (xy 188.354002 98.15533) + (xy 188.354005 98.15533) + (xy 188.362417 98.155484) + (xy 188.362418 98.155484) + (xy 188.362975 98.155494) + (xy 188.362974 98.155574) + (xy 188.428308 98.166229) + (xy 188.481078 98.213725) + (xy 188.499792 98.282211) + (xy 188.478509 98.349942) + (xy 188.462909 98.36893) + (xy 187.207167 99.624672) + (xy 187.144855 99.658698) + (xy 187.07404 99.653633) + (xy 187.022618 99.617824) + (xy 187.006967 99.599659) + (xy 186.934933 99.516059) + (xy 186.813711 99.437487) + (xy 186.675309 99.396096) + (xy 186.666335 99.396041) + (xy 186.666333 99.396041) + (xy 186.659092 99.395997) + (xy 186.648697 99.395934) + (xy 186.5807 99.375517) + (xy 186.56037 99.359031) + (xy 185.937049 98.73571) + (xy 185.923736 98.719227) + (xy 185.92136 98.716616) + (xy 185.915709 98.707864) + (xy 185.890802 98.688229) + (xy 185.886971 98.684825) + (xy 185.886878 98.684935) + (xy 185.882921 98.681582) + (xy 185.87924 98.677901) + (xy 185.865188 98.667859) + (xy 185.860442 98.664295) + (xy 185.831182 98.641228) + (xy 185.83118 98.641227) + (xy 185.823002 98.63478) + (xy 185.814933 98.631946) + (xy 185.807971 98.626971) + (xy 185.762273 98.613304) + (xy 185.756648 98.611477) + (xy 185.711621 98.595665) + (xy 185.707545 98.595312) + (xy 185.704836 98.595312) + (xy 185.702103 98.595194) + (xy 185.702109 98.595049) + (xy 185.700995 98.594979) + (xy 185.69487 98.593147) + (xy 185.647167 98.595021) + (xy 185.642228 98.595215) + (xy 185.637282 98.595312) + (xy 183.058919 98.595312) + (xy 182.990798 98.57531) + (xy 182.944305 98.521654) + (xy 182.934201 98.45138) + (xy 182.963695 98.3868) + (xy 182.99299 98.361937) + (xy 183.006766 98.353478) + (xy 183.025452 98.342005) + (xy 183.122395 98.234905) + (xy 183.160278 98.156714) + (xy 183.181467 98.11298) + (xy 183.181467 98.112979) + (xy 183.185381 98.104901) + (xy 183.209348 97.962444) + (xy 183.2095 97.949996) + (xy 183.195267 97.85061) + (xy 183.194945 97.848361) + (xy 183.205088 97.778093) + (xy 183.25161 97.724463) + (xy 183.319672 97.704499) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 141.838012 90.889437) + (xy 141.844595 90.895566) + (xy 141.858595 90.909566) + (xy 141.892621 90.971878) + (xy 141.8955 90.998661) + (xy 141.8955 91.347413) + (xy 141.902349 91.395235) + (xy 141.907977 91.434532) + (xy 141.910477 91.451991) + (xy 141.914192 91.460161) + (xy 141.914192 91.460162) + (xy 141.916498 91.465233) + (xy 141.968801 91.580269) + (xy 141.974659 91.587068) + (xy 141.974662 91.587072) + (xy 142.054927 91.680223) + (xy 142.060785 91.687021) + (xy 142.068314 91.691901) + (xy 142.075083 91.697806) + (xy 142.073051 91.700135) + (xy 142.109309 91.742298) + (xy 142.1205 91.79421) + (xy 142.1205 92.210385) + (xy 142.100498 92.278506) + (xy 142.076749 92.305837) + (xy 142.012979 92.360785) + (xy 141.936335 92.479032) + (xy 141.933763 92.487634) + (xy 141.933761 92.487637) + (xy 141.899676 92.601611) + (xy 141.895959 92.614039) + (xy 141.8955 92.620216) + (xy 141.8955 93.222413) + (xy 141.90182 93.266543) + (xy 141.908166 93.310851) + (xy 141.910477 93.326991) + (xy 141.968801 93.455269) + (xy 141.974659 93.462068) + (xy 141.974662 93.462072) + (xy 142.054925 93.555221) + (xy 142.054928 93.555223) + (xy 142.060785 93.562021) + (xy 142.179032 93.638665) + (xy 142.187634 93.641237) + (xy 142.187637 93.641239) + (xy 142.307498 93.677085) + (xy 142.3075 93.677085) + (xy 142.314039 93.679041) + (xy 142.320216 93.6795) + (xy 142.897413 93.6795) + (xy 142.958091 93.67081) + (xy 142.993104 93.665796) + (xy 142.993106 93.665795) + (xy 143.001991 93.664523) + (xy 143.130269 93.606199) + (xy 143.137068 93.600341) + (xy 143.137072 93.600338) + (xy 143.230221 93.520075) + (xy 143.230223 93.520072) + (xy 143.237021 93.514215) + (xy 143.313665 93.395968) + (xy 143.316237 93.387366) + (xy 143.316239 93.387363) + (xy 143.347729 93.282066) + (xy 143.354041 93.260961) + (xy 143.354138 93.259661) + (xy 143.385211 93.198186) + (xy 143.446411 93.162198) + (xy 143.517352 93.16501) + (xy 143.575511 93.205729) + (xy 143.60182 93.266543) + (xy 143.608166 93.310851) + (xy 143.610477 93.326991) + (xy 143.668801 93.455269) + (xy 143.674659 93.462068) + (xy 143.674662 93.462072) + (xy 143.754925 93.555221) + (xy 143.754928 93.555223) + (xy 143.760785 93.562021) + (xy 143.879032 93.638665) + (xy 143.887634 93.641237) + (xy 143.887637 93.641239) + (xy 144.007498 93.677085) + (xy 144.0075 93.677085) + (xy 144.014039 93.679041) + (xy 144.020216 93.6795) + (xy 144.597413 93.6795) + (xy 144.658091 93.67081) + (xy 144.693104 93.665796) + (xy 144.693106 93.665795) + (xy 144.701991 93.664523) + (xy 144.830269 93.606199) + (xy 144.837068 93.600341) + (xy 144.837072 93.600338) + (xy 144.930221 93.520075) + (xy 144.930223 93.520072) + (xy 144.937021 93.514215) + (xy 145.013665 93.395968) + (xy 145.016237 93.387366) + (xy 145.016239 93.387363) + (xy 145.047729 93.282066) + (xy 145.054041 93.260961) + (xy 145.054138 93.259661) + (xy 145.085211 93.198186) + (xy 145.146411 93.162198) + (xy 145.217352 93.16501) + (xy 145.275511 93.205729) + (xy 145.30182 93.266543) + (xy 145.308166 93.310851) + (xy 145.310477 93.326991) + (xy 145.368801 93.455269) + (xy 145.374659 93.462068) + (xy 145.374662 93.462072) + (xy 145.454925 93.555221) + (xy 145.454928 93.555223) + (xy 145.460785 93.562021) + (xy 145.579032 93.638665) + (xy 145.587634 93.641237) + (xy 145.587637 93.641239) + (xy 145.707498 93.677085) + (xy 145.7075 93.677085) + (xy 145.714039 93.679041) + (xy 145.720216 93.6795) + (xy 146.297413 93.6795) + (xy 146.358091 93.67081) + (xy 146.393104 93.665796) + (xy 146.393106 93.665795) + (xy 146.401991 93.664523) + (xy 146.530269 93.606199) + (xy 146.537068 93.600341) + (xy 146.537072 93.600338) + (xy 146.630221 93.520075) + (xy 146.630223 93.520072) + (xy 146.637021 93.514215) + (xy 146.713665 93.395968) + (xy 146.716237 93.387366) + (xy 146.716239 93.387363) + (xy 146.752085 93.267502) + (xy 146.752085 93.2675) + (xy 146.754041 93.260961) + (xy 146.7545 93.254784) + (xy 146.7545 92.652587) + (xy 146.739523 92.548009) + (xy 146.681199 92.419731) + (xy 146.675341 92.412932) + (xy 146.675338 92.412928) + (xy 146.595073 92.319777) + (xy 146.595071 92.319775) + (xy 146.589215 92.312979) + (xy 146.581686 92.308099) + (xy 146.574917 92.302194) + (xy 146.576949 92.299865) + (xy 146.540691 92.257702) + (xy 146.5295 92.20579) + (xy 146.5295 91.789615) + (xy 146.549502 91.721494) + (xy 146.573252 91.694162) + (xy 146.574164 91.693376) + (xy 146.637021 91.639215) + (xy 146.691723 91.55482) + (xy 146.745559 91.508536) + (xy 146.815871 91.498705) + (xy 146.880337 91.528449) + (xy 146.919496 91.592017) + (xy 146.943588 91.685851) + (xy 146.943591 91.685859) + (xy 146.944575 91.689693) + (xy 146.946032 91.693373) + (xy 146.946033 91.693376) + (xy 146.979578 91.778101) + (xy 147.062714 91.988079) + (xy 147.064615 91.991538) + (xy 147.064617 91.991541) + (xy 147.117198 92.087185) + (xy 147.21732 92.269305) + (xy 147.219648 92.272509) + (xy 147.219651 92.272514) + (xy 147.397023 92.516646) + (xy 147.405953 92.528937) + (xy 147.62564 92.762879) + (xy 147.776855 92.887975) + (xy 147.859048 92.955971) + (xy 147.872915 92.967443) + (xy 147.977532 93.033835) + (xy 148.117811 93.122859) + (xy 148.143878 93.139402) + (xy 148.147457 93.141086) + (xy 148.147464 93.14109) + (xy 148.430668 93.274355) + (xy 148.430672 93.274357) + (xy 148.434258 93.276044) + (xy 148.43803 93.27727) + (xy 148.438031 93.27727) + (xy 148.448477 93.280664) + (xy 148.739473 93.375214) + (xy 149.054711 93.435349) + (xy 149.150707 93.441389) + (xy 149.293533 93.450375) + (xy 149.293549 93.450376) + (xy 149.295528 93.4505) + (xy 149.454472 93.4505) + (xy 149.456451 93.450376) + (xy 149.456467 93.450375) + (xy 149.599293 93.441389) + (xy 149.695289 93.435349) + (xy 149.715089 93.431572) + (xy 149.843052 93.407162) + (xy 149.913714 93.414045) + (xy 149.969438 93.458039) + (xy 149.99253 93.525175) + (xy 149.975661 93.594138) + (xy 149.959192 93.616451) + (xy 149.923879 93.654653) + (xy 149.920474 93.658193) + (xy 148.777999 94.800668) + (xy 148.715687 94.834694) + (xy 148.688904 94.837573) + (xy 146.8805 94.837573) + (xy 146.812379 94.817571) + (xy 146.765886 94.763915) + (xy 146.7545 94.711573) + (xy 146.7545 94.477587) + (xy 146.743914 94.403666) + (xy 146.740796 94.381896) + (xy 146.740795 94.381894) + (xy 146.739523 94.373009) + (xy 146.681199 94.244731) + (xy 146.675341 94.237932) + (xy 146.675338 94.237928) + (xy 146.595075 94.144779) + (xy 146.595072 94.144777) + (xy 146.589215 94.137979) + (xy 146.470968 94.061335) + (xy 146.462366 94.058763) + (xy 146.462363 94.058761) + (xy 146.342502 94.022915) + (xy 146.3425 94.022915) + (xy 146.335961 94.020959) + (xy 146.329784 94.0205) + (xy 145.752587 94.0205) + (xy 145.691909 94.02919) + (xy 145.656896 94.034204) + (xy 145.656894 94.034205) + (xy 145.648009 94.035477) + (xy 145.639839 94.039192) + (xy 145.639838 94.039192) + (xy 145.620186 94.048127) + (xy 145.519731 94.093801) + (xy 145.512932 94.099659) + (xy 145.512928 94.099662) + (xy 145.419779 94.179925) + (xy 145.419777 94.179928) + (xy 145.412979 94.185785) + (xy 145.336335 94.304032) + (xy 145.333763 94.312634) + (xy 145.333761 94.312637) + (xy 145.31219 94.384768) + (xy 145.295959 94.439039) + (xy 145.295862 94.440339) + (xy 145.264789 94.501814) + (xy 145.203589 94.537802) + (xy 145.132648 94.53499) + (xy 145.074489 94.494271) + (xy 145.04818 94.433457) + (xy 145.040796 94.381896) + (xy 145.040795 94.381894) + (xy 145.039523 94.373009) + (xy 144.981199 94.244731) + (xy 144.975341 94.237932) + (xy 144.975338 94.237928) + (xy 144.895075 94.144779) + (xy 144.895072 94.144777) + (xy 144.889215 94.137979) + (xy 144.770968 94.061335) + (xy 144.762366 94.058763) + (xy 144.762363 94.058761) + (xy 144.642502 94.022915) + (xy 144.6425 94.022915) + (xy 144.635961 94.020959) + (xy 144.629784 94.0205) + (xy 144.052587 94.0205) + (xy 143.991909 94.02919) + (xy 143.956896 94.034204) + (xy 143.956894 94.034205) + (xy 143.948009 94.035477) + (xy 143.939839 94.039192) + (xy 143.939838 94.039192) + (xy 143.920186 94.048127) + (xy 143.819731 94.093801) + (xy 143.812932 94.099659) + (xy 143.812928 94.099662) + (xy 143.719779 94.179925) + (xy 143.719777 94.179928) + (xy 143.712979 94.185785) + (xy 143.636335 94.304032) + (xy 143.633763 94.312634) + (xy 143.633761 94.312637) + (xy 143.61219 94.384768) + (xy 143.595959 94.439039) + (xy 143.595862 94.440339) + (xy 143.564789 94.501814) + (xy 143.503589 94.537802) + (xy 143.432648 94.53499) + (xy 143.374489 94.494271) + (xy 143.34818 94.433457) + (xy 143.340796 94.381896) + (xy 143.340795 94.381894) + (xy 143.339523 94.373009) + (xy 143.281199 94.244731) + (xy 143.275341 94.237932) + (xy 143.275338 94.237928) + (xy 143.195075 94.144779) + (xy 143.195072 94.144777) + (xy 143.189215 94.137979) + (xy 143.070968 94.061335) + (xy 143.062366 94.058763) + (xy 143.062363 94.058761) + (xy 142.942502 94.022915) + (xy 142.9425 94.022915) + (xy 142.935961 94.020959) + (xy 142.929784 94.0205) + (xy 142.352587 94.0205) + (xy 142.291909 94.02919) + (xy 142.256896 94.034204) + (xy 142.256894 94.034205) + (xy 142.248009 94.035477) + (xy 142.239839 94.039192) + (xy 142.239838 94.039192) + (xy 142.220186 94.048127) + (xy 142.119731 94.093801) + (xy 142.112932 94.099659) + (xy 142.112928 94.099662) + (xy 142.019779 94.179925) + (xy 142.019777 94.179928) + (xy 142.012979 94.185785) + (xy 141.936335 94.304032) + (xy 141.933763 94.312634) + (xy 141.933761 94.312637) + (xy 141.897915 94.432498) + (xy 141.895959 94.439039) + (xy 141.8955 94.445216) + (xy 141.8955 94.952471) + (xy 141.875498 95.020592) + (xy 141.821842 95.067085) + (xy 141.751568 95.077189) + (xy 141.686988 95.047695) + (xy 141.680405 95.041566) + (xy 141.666405 95.027566) + (xy 141.632379 94.965254) + (xy 141.6295 94.938471) + (xy 141.6295 94.477587) + (xy 141.618914 94.403666) + (xy 141.615796 94.381896) + (xy 141.615795 94.381894) + (xy 141.614523 94.373009) + (xy 141.556199 94.244731) + (xy 141.550341 94.237932) + (xy 141.550338 94.237928) + (xy 141.470075 94.144779) + (xy 141.470072 94.144777) + (xy 141.464215 94.137979) + (xy 141.345968 94.061335) + (xy 141.337366 94.058763) + (xy 141.337363 94.058761) + (xy 141.217502 94.022915) + (xy 141.2175 94.022915) + (xy 141.210961 94.020959) + (xy 141.204784 94.0205) + (xy 140.627587 94.0205) + (xy 140.566909 94.02919) + (xy 140.531896 94.034204) + (xy 140.531894 94.034205) + (xy 140.523009 94.035477) + (xy 140.514839 94.039192) + (xy 140.514838 94.039192) + (xy 140.495186 94.048127) + (xy 140.394731 94.093801) + (xy 140.387932 94.099659) + (xy 140.387928 94.099662) + (xy 140.294779 94.179925) + (xy 140.294777 94.179928) + (xy 140.287979 94.185785) + (xy 140.211335 94.304032) + (xy 140.208763 94.312634) + (xy 140.208761 94.312637) + (xy 140.18719 94.384768) + (xy 140.170959 94.439039) + (xy 140.170862 94.440339) + (xy 140.139789 94.501814) + (xy 140.078589 94.537802) + (xy 140.007648 94.53499) + (xy 139.949489 94.494271) + (xy 139.92318 94.433457) + (xy 139.915796 94.381896) + (xy 139.915795 94.381894) + (xy 139.914523 94.373009) + (xy 139.856199 94.244731) + (xy 139.850341 94.237932) + (xy 139.850338 94.237928) + (xy 139.770075 94.144779) + (xy 139.770072 94.144777) + (xy 139.764215 94.137979) + (xy 139.645968 94.061335) + (xy 139.637366 94.058763) + (xy 139.637363 94.058761) + (xy 139.517502 94.022915) + (xy 139.5175 94.022915) + (xy 139.510961 94.020959) + (xy 139.504784 94.0205) + (xy 138.927587 94.0205) + (xy 138.866909 94.02919) + (xy 138.831896 94.034204) + (xy 138.831894 94.034205) + (xy 138.823009 94.035477) + (xy 138.814839 94.039192) + (xy 138.814838 94.039192) + (xy 138.795186 94.048127) + (xy 138.694731 94.093801) + (xy 138.687932 94.099659) + (xy 138.687928 94.099662) + (xy 138.594779 94.179925) + (xy 138.594777 94.179928) + (xy 138.587979 94.185785) + (xy 138.511335 94.304032) + (xy 138.508763 94.312634) + (xy 138.508761 94.312637) + (xy 138.48719 94.384768) + (xy 138.470959 94.439039) + (xy 138.470862 94.440339) + (xy 138.439789 94.501814) + (xy 138.378589 94.537802) + (xy 138.307648 94.53499) + (xy 138.249489 94.494271) + (xy 138.22318 94.433457) + (xy 138.215796 94.381896) + (xy 138.215795 94.381894) + (xy 138.214523 94.373009) + (xy 138.156199 94.244731) + (xy 138.150341 94.237932) + (xy 138.150338 94.237928) + (xy 138.070075 94.144779) + (xy 138.070072 94.144777) + (xy 138.064215 94.137979) + (xy 137.945968 94.061335) + (xy 137.937366 94.058763) + (xy 137.937363 94.058761) + (xy 137.817502 94.022915) + (xy 137.8175 94.022915) + (xy 137.810961 94.020959) + (xy 137.804784 94.0205) + (xy 137.227587 94.0205) + (xy 137.166909 94.02919) + (xy 137.131896 94.034204) + (xy 137.131894 94.034205) + (xy 137.123009 94.035477) + (xy 137.114839 94.039192) + (xy 137.114838 94.039192) + (xy 137.095186 94.048127) + (xy 136.994731 94.093801) + (xy 136.987932 94.099659) + (xy 136.987928 94.099662) + (xy 136.894779 94.179925) + (xy 136.894777 94.179928) + (xy 136.887979 94.185785) + (xy 136.811335 94.304032) + (xy 136.808763 94.312634) + (xy 136.808761 94.312637) + (xy 136.772915 94.432498) + (xy 136.770959 94.439039) + (xy 136.7705 94.445216) + (xy 136.7705 95.047413) + (xy 136.785477 95.151991) + (xy 136.843801 95.280269) + (xy 136.849659 95.287068) + (xy 136.849662 95.287072) + (xy 136.929925 95.380221) + (xy 136.929928 95.380223) + (xy 136.935785 95.387021) + (xy 137.054032 95.463665) + (xy 137.062634 95.466237) + (xy 137.062637 95.466239) + (xy 137.182498 95.502085) + (xy 137.1825 95.502085) + (xy 137.189039 95.504041) + (xy 137.195216 95.5045) + (xy 137.688471 95.5045) + (xy 137.756592 95.524502) + (xy 137.777566 95.541405) + (xy 139.255722 97.019561) + (xy 139.289748 97.081873) + (xy 139.284683 97.152688) + (xy 139.242136 97.209524) + (xy 139.175616 97.234335) + (xy 139.166627 97.234656) + (xy 138.188185 97.234656) + (xy 138.120064 97.214654) + (xy 138.09909 97.197751) + (xy 135.541218 94.639879) + (xy 135.507192 94.577567) + (xy 135.512257 94.506752) + (xy 135.554804 94.449916) + (xy 135.624598 94.424914) + (xy 135.633792 94.424497) + (xy 135.633797 94.424496) + (xy 135.640167 94.424207) + (xy 135.662523 94.41855) + (xy 135.82198 94.378201) + (xy 135.821982 94.3782) + (xy 135.828168 94.376635) + (xy 135.835669 94.373009) + (xy 135.997016 94.295011) + (xy 135.997018 94.29501) + (xy 136.002764 94.292232) + (xy 136.012013 94.285161) + (xy 136.069243 94.241405) + (xy 136.156823 94.174445) + (xy 136.238725 94.080228) + (xy 136.279863 94.032905) + (xy 136.279864 94.032903) + (xy 136.284051 94.028087) + (xy 136.37925 93.859135) + (xy 136.438533 93.674491) + (xy 136.459477 93.481699) + (xy 136.4595 93.475) + (xy 136.457012 93.4505) + (xy 136.440547 93.288415) + (xy 136.440547 93.288414) + (xy 136.439902 93.282066) + (xy 136.38191 93.097013) + (xy 136.287893 92.9274) + (xy 136.16169 92.780157) + (xy 136.097105 92.730059) + (xy 136.055538 92.672503) + (xy 136.051687 92.601611) + (xy 136.086775 92.539891) + (xy 136.149661 92.506939) + (xy 136.174331 92.5045) + (xy 136.6445 92.5045) + (xy 136.712621 92.524502) + (xy 136.759114 92.578158) + (xy 136.7705 92.6305) + (xy 136.7705 93.222413) + (xy 136.77682 93.266543) + (xy 136.783166 93.310851) + (xy 136.785477 93.326991) + (xy 136.843801 93.455269) + (xy 136.849659 93.462068) + (xy 136.849662 93.462072) + (xy 136.929925 93.555221) + (xy 136.929928 93.555223) + (xy 136.935785 93.562021) + (xy 137.054032 93.638665) + (xy 137.062634 93.641237) + (xy 137.062637 93.641239) + (xy 137.182498 93.677085) + (xy 137.1825 93.677085) + (xy 137.189039 93.679041) + (xy 137.195216 93.6795) + (xy 137.772413 93.6795) + (xy 137.833091 93.67081) + (xy 137.868104 93.665796) + (xy 137.868106 93.665795) + (xy 137.876991 93.664523) + (xy 138.005269 93.606199) + (xy 138.012068 93.600341) + (xy 138.012072 93.600338) + (xy 138.105221 93.520075) + (xy 138.105223 93.520072) + (xy 138.112021 93.514215) + (xy 138.188665 93.395968) + (xy 138.191237 93.387366) + (xy 138.191239 93.387363) + (xy 138.222729 93.282066) + (xy 138.229041 93.260961) + (xy 138.229138 93.259661) + (xy 138.260211 93.198186) + (xy 138.321411 93.162198) + (xy 138.392352 93.16501) + (xy 138.450511 93.205729) + (xy 138.47682 93.266543) + (xy 138.483166 93.310851) + (xy 138.485477 93.326991) + (xy 138.543801 93.455269) + (xy 138.549659 93.462068) + (xy 138.549662 93.462072) + (xy 138.629925 93.555221) + (xy 138.629928 93.555223) + (xy 138.635785 93.562021) + (xy 138.754032 93.638665) + (xy 138.762634 93.641237) + (xy 138.762637 93.641239) + (xy 138.882498 93.677085) + (xy 138.8825 93.677085) + (xy 138.889039 93.679041) + (xy 138.895216 93.6795) + (xy 139.472413 93.6795) + (xy 139.533091 93.67081) + (xy 139.568104 93.665796) + (xy 139.568106 93.665795) + (xy 139.576991 93.664523) + (xy 139.705269 93.606199) + (xy 139.712068 93.600341) + (xy 139.712072 93.600338) + (xy 139.805221 93.520075) + (xy 139.805223 93.520072) + (xy 139.812021 93.514215) + (xy 139.888665 93.395968) + (xy 139.891237 93.387366) + (xy 139.891239 93.387363) + (xy 139.922729 93.282066) + (xy 139.929041 93.260961) + (xy 139.929138 93.259661) + (xy 139.960211 93.198186) + (xy 140.021411 93.162198) + (xy 140.092352 93.16501) + (xy 140.150511 93.205729) + (xy 140.17682 93.266543) + (xy 140.183166 93.310851) + (xy 140.185477 93.326991) + (xy 140.243801 93.455269) + (xy 140.249659 93.462068) + (xy 140.249662 93.462072) + (xy 140.329925 93.555221) + (xy 140.329928 93.555223) + (xy 140.335785 93.562021) + (xy 140.454032 93.638665) + (xy 140.462634 93.641237) + (xy 140.462637 93.641239) + (xy 140.582498 93.677085) + (xy 140.5825 93.677085) + (xy 140.589039 93.679041) + (xy 140.595216 93.6795) + (xy 141.172413 93.6795) + (xy 141.233091 93.67081) + (xy 141.268104 93.665796) + (xy 141.268106 93.665795) + (xy 141.276991 93.664523) + (xy 141.405269 93.606199) + (xy 141.412068 93.600341) + (xy 141.412072 93.600338) + (xy 141.505221 93.520075) + (xy 141.505223 93.520072) + (xy 141.512021 93.514215) + (xy 141.588665 93.395968) + (xy 141.591237 93.387366) + (xy 141.591239 93.387363) + (xy 141.627085 93.267502) + (xy 141.627085 93.2675) + (xy 141.629041 93.260961) + (xy 141.6295 93.254784) + (xy 141.6295 92.652587) + (xy 141.614523 92.548009) + (xy 141.556199 92.419731) + (xy 141.550341 92.412932) + (xy 141.550338 92.412928) + (xy 141.470073 92.319777) + (xy 141.470071 92.319775) + (xy 141.464215 92.312979) + (xy 141.456686 92.308099) + (xy 141.449917 92.302194) + (xy 141.451949 92.299865) + (xy 141.415691 92.257702) + (xy 141.4045 92.20579) + (xy 141.4045 91.789615) + (xy 141.424502 91.721494) + (xy 141.448252 91.694162) + (xy 141.449164 91.693376) + (xy 141.512021 91.639215) + (xy 141.588665 91.520968) + (xy 141.591237 91.512366) + (xy 141.591239 91.512363) + (xy 141.627085 91.392502) + (xy 141.627085 91.3925) + (xy 141.629041 91.385961) + (xy 141.6295 91.379784) + (xy 141.6295 90.984661) + (xy 141.649502 90.91654) + (xy 141.703158 90.870047) + (xy 141.773432 90.859943) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 151.049048 95.478309) + (xy 151.095541 95.531965) + (xy 151.105645 95.602239) + (xy 151.101516 95.620836) + (xy 151.100734 95.623418) + (xy 151.098286 95.636039) + (xy 151.092249 95.703682) + (xy 151.092 95.709277) + (xy 151.092 95.727885) + (xy 151.096475 95.743124) + (xy 151.097865 95.744329) + (xy 151.105548 95.746) + (xy 152.2655 95.746) + (xy 152.333621 95.766002) + (xy 152.380114 95.819658) + (xy 152.3915 95.872) + (xy 152.3915 96.128) + (xy 152.371498 96.196121) + (xy 152.317842 96.242614) + (xy 152.2655 96.254) + (xy 151.110115 96.254) + (xy 151.094876 96.258475) + (xy 151.093671 96.259865) + (xy 151.092 96.267548) + (xy 151.092 96.276723) + (xy 151.092424 96.284025) + (xy 151.093708 96.295034) + (xy 151.08173 96.365013) + (xy 151.03382 96.417407) + (xy 150.968556 96.435626) + (xy 150.144903 96.435626) + (xy 150.076782 96.415624) + (xy 150.030289 96.361968) + (xy 150.020185 96.291694) + (xy 150.049679 96.227114) + (xy 150.055808 96.220531) + (xy 150.781127 95.495212) + (xy 150.843439 95.461186) + (xy 150.870222 95.458307) + (xy 150.980927 95.458307) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 161.320707 93.51349) + (xy 161.357097 93.542542) + (xy 161.365067 93.551792) + (xy 161.372596 93.556672) + (xy 161.379364 93.562576) + (xy 161.379308 93.56264) + (xy 161.389827 93.57115) + (xy 161.938657 94.11998) + (xy 161.972683 94.182292) + (xy 161.967618 94.253107) + (xy 161.925071 94.309943) + (xy 161.858551 94.334754) + (xy 161.848802 94.335073) + (xy 161.792186 94.334727) + (xy 161.653289 94.374424) + (xy 161.531116 94.451509) + (xy 161.527441 94.45567) + (xy 161.464174 94.483885) + (xy 161.447353 94.485013) + (xy 161.02286 94.485013) + (xy 160.954739 94.465011) + (xy 160.908246 94.411355) + (xy 160.898142 94.341081) + (xy 160.917885 94.289891) + (xy 160.922395 94.284909) + (xy 160.958299 94.210803) + (xy 160.981467 94.162984) + (xy 160.981467 94.162983) + (xy 160.985381 94.154905) + (xy 161.009348 94.012448) + (xy 161.0095 94) + (xy 161.001172 93.941847) + (xy 160.990295 93.865893) + (xy 160.990294 93.86589) + (xy 160.989021 93.857) + (xy 160.950425 93.772113) + (xy 160.940439 93.701824) + (xy 160.970039 93.637292) + (xy 161.018607 93.606947) + (xy 161.017172 93.603483) + (xy 161.151358 93.547901) + (xy 161.165543 93.539711) + (xy 161.184938 93.524828) + (xy 161.251158 93.499226) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 166.309372 92.479113) + (xy 166.327944 92.494433) + (xy 166.414966 92.581455) + (xy 166.448486 92.604926) + (xy 166.475783 92.624039) + (xy 166.486541 92.631572) + (xy 166.497189 92.634425) + (xy 166.507182 92.639085) + (xy 166.505579 92.642522) + (xy 166.549075 92.669029) + (xy 166.570043 92.700542) + (xy 166.601388 92.767761) + (xy 166.601992 92.768481) + (xy 166.611205 92.777694) + (xy 166.645231 92.840006) + (xy 166.647032 92.883236) + (xy 166.642025 92.921265) + (xy 166.642025 92.93764) + (xy 166.659905 93.073451) + (xy 166.664142 93.089265) + (xy 166.716565 93.215824) + (xy 166.724752 93.230003) + (xy 166.75609 93.270844) + (xy 166.767682 93.279308) + (xy 166.779659 93.272675) + (xy 167.018499 93.033835) + (xy 167.068097 93.0052) + (xy 167.068035 93.005067) + (xy 167.069553 93.004359) + (xy 167.069556 93.004357) + (xy 167.078024 93.000408) + (xy 167.078026 93.000408) + (xy 167.108389 92.98625) + (xy 167.178581 92.975589) + (xy 167.243393 93.00457) + (xy 167.282249 93.06399) + (xy 167.282812 93.134984) + (xy 167.281455 93.138622) + (xy 167.279341 93.141641) + (xy 167.276822 93.151044) + (xy 167.276821 93.151045) + (xy 167.270051 93.176311) + (xy 167.23744 93.232794) + (xy 166.785897 93.684337) + (xy 166.769414 93.69765) + (xy 166.766803 93.700026) + (xy 166.758051 93.705677) + (xy 166.750541 93.715204) + (xy 166.738416 93.730584) + (xy 166.735012 93.734415) + (xy 166.735122 93.734508) + (xy 166.731769 93.738465) + (xy 166.728088 93.742146) + (xy 166.725064 93.746378) + (xy 166.723796 93.747874) + (xy 166.664497 93.786914) + (xy 166.63558 93.792168) + (xy 166.589217 93.795085) + (xy 166.484333 93.801684) + (xy 166.484331 93.801684) + (xy 166.476422 93.802182) + (xy 166.468886 93.804631) + (xy 166.468884 93.804631) + (xy 166.326741 93.850816) + (xy 166.326738 93.850817) + (xy 166.319199 93.853267) + (xy 166.235421 93.906434) + (xy 166.204771 93.925885) + (xy 166.137257 93.9455) + (xy 165.495645 93.9455) + (xy 165.427524 93.925498) + (xy 165.381031 93.871842) + (xy 165.370927 93.801568) + (xy 165.382253 93.764562) + (xy 165.431467 93.662984) + (xy 165.431467 93.662983) + (xy 165.435381 93.654905) + (xy 165.459348 93.512448) + (xy 165.4595 93.5) + (xy 165.452021 93.447778) + (xy 165.440295 93.365893) + (xy 165.440294 93.36589) + (xy 165.439021 93.357) + (xy 165.434495 93.347044) + (xy 165.403696 93.279308) + (xy 165.379229 93.225496) + (xy 165.366721 93.210979) + (xy 165.336849 93.176311) + (xy 165.284933 93.116059) + (xy 165.163711 93.037487) + (xy 165.025309 92.996096) + (xy 165.016333 92.996041) + (xy 165.016332 92.996041) + (xy 164.955055 92.995667) + (xy 164.880853 92.995214) + (xy 164.741956 93.034911) + (xy 164.619783 93.111996) + (xy 164.616108 93.116157) + (xy 164.552841 93.144372) + (xy 164.53602 93.1455) + (xy 164.361161 93.1455) + (xy 164.29304 93.125498) + (xy 164.272071 93.1086) + (xy 163.983392 92.819921) + (xy 163.949369 92.757612) + (xy 163.954433 92.686797) + (xy 163.99698 92.629961) + (xy 164.067538 92.604926) + (xy 164.070754 92.6048) + (xy 164.075915 92.604597) + (xy 164.080856 92.6045) + (xy 165.285878 92.6045) + (xy 165.353999 92.624502) + (xy 165.35936 92.628581) + (xy 165.360402 92.62982) + (xy 165.421569 92.670536) + (xy 165.468484 92.701765) + (xy 165.480655 92.709867) + (xy 165.618541 92.752946) + (xy 165.762975 92.755593) + (xy 165.825048 92.73867) + (xy 165.893688 92.719957) + (xy 165.89369 92.719956) + (xy 165.902347 92.717596) + (xy 166.025452 92.642009) + (xy 166.122395 92.534909) + (xy 166.12631 92.526827) + (xy 166.131327 92.51939) + (xy 166.132862 92.520425) + (xy 166.173166 92.476003) + (xy 166.241725 92.457561) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 158.223023 89.049718) + (xy 158.269516 89.103374) + (xy 158.277355 89.134089) + (xy 158.284219 89.144769) + (xy 159.651999 90.512549) + (xy 159.679113 90.527355) + (xy 159.710576 90.551689) + (xy 159.722326 90.564201) + (xy 159.728962 90.571268) + (xy 159.868542 90.659848) + (xy 159.876081 90.662298) + (xy 159.876084 90.662299) + (xy 160.025765 90.710933) + (xy 160.024872 90.713682) + (xy 160.078737 90.742208) + (xy 160.181575 90.845046) + (xy 160.215601 90.907358) + (xy 160.210536 90.978173) + (xy 160.192443 91.010845) + (xy 160.127084 91.096023) + (xy 160.069746 91.13789) + (xy 160.027121 91.145319) + (xy 159.667815 91.145319) + (xy 159.599694 91.125317) + (xy 159.561253 91.086554) + (xy 159.559873 91.084366) + (xy 159.533448 91.042485) + (xy 159.425171 90.946858) + (xy 159.417048 90.943044) + (xy 159.417046 90.943043) + (xy 159.360595 90.91654) + (xy 159.337148 90.905532) + (xy 159.301603 90.880573) + (xy 157.928241 89.507211) + (xy 157.914297 89.499597) + (xy 157.912464 89.499728) + (xy 157.905849 89.503979) + (xy 157.855175 89.554653) + (xy 157.8503 89.560129) + (xy 157.776059 89.653965) + (xy 157.768415 89.666341) + (xy 157.701018 89.810547) + (xy 157.696429 89.824342) + (xy 157.664012 89.980196) + (xy 157.66272 89.994673) + (xy 157.667025 90.153801) + (xy 157.669098 90.16819) + (xy 157.709897 90.322061) + (xy 157.715225 90.335588) + (xy 157.768797 90.435708) + (xy 157.783299 90.505208) + (xy 157.757925 90.571515) + (xy 157.70073 90.613578) + (xy 157.629874 90.618042) + (xy 157.613476 90.613137) + (xy 157.567367 90.595851) + (xy 157.519199 90.577793) + (xy 157.519196 90.577792) + (xy 157.510798 90.574644) + (xy 157.501853 90.573979) + (xy 157.501852 90.573979) + (xy 157.387602 90.565489) + (xy 157.37027 90.564201) + (xy 157.361496 90.566074) + (xy 157.361495 90.566074) + (xy 157.241239 90.591745) + (xy 157.241238 90.591745) + (xy 157.232461 90.593619) + (xy 157.224564 90.59788) + (xy 157.224561 90.597881) + (xy 157.114453 90.657292) + (xy 157.114451 90.657294) + (xy 157.108447 90.660533) + (xy 157.103755 90.664577) + (xy 156.607226 91.161105) + (xy 156.591555 91.182015) + (xy 156.552865 91.23364) + (xy 156.543869 91.245643) + (xy 156.540717 91.254051) + (xy 156.497554 91.369187) + (xy 156.497553 91.36919) + (xy 156.494404 91.377591) + (xy 156.493739 91.386542) + (xy 156.493738 91.386546) + (xy 156.490025 91.436499) + (xy 156.46503 91.50295) + (xy 156.408076 91.545338) + (xy 156.338714 91.55052) + (xy 156.258194 91.533772) + (xy 156.243715 91.53248) + (xy 156.084587 91.536785) + (xy 156.070198 91.538858) + (xy 155.916327 91.579657) + (xy 155.902801 91.584986) + (xy 155.761696 91.660486) + (xy 155.751034 91.667685) + (xy 155.698929 91.711251) + (xy 155.694795 91.715033) + (xy 155.637451 91.772377) + (xy 155.629837 91.786321) + (xy 155.629968 91.788154) + (xy 155.634219 91.794769) + (xy 157.001999 93.162549) + (xy 157.015943 93.170163) + (xy 157.017776 93.170032) + (xy 157.024391 93.165781) + (xy 157.075065 93.115107) + (xy 157.07994 93.109631) + (xy 157.154181 93.015795) + (xy 157.161825 93.003419) + (xy 157.229222 92.859213) + (xy 157.233811 92.845418) + (xy 157.266228 92.689564) + (xy 157.26752 92.675087) + (xy 157.263215 92.515959) + (xy 157.261141 92.501562) + (xy 157.253199 92.471611) + (xy 157.255074 92.400639) + (xy 157.295021 92.341948) + (xy 157.350333 92.319988) + (xy 157.34949 92.316039) + (xy 157.478521 92.288495) + (xy 157.478522 92.288495) + (xy 157.487299 92.286621) + (xy 157.495196 92.28236) + (xy 157.495199 92.282359) + (xy 157.605307 92.222948) + (xy 157.605309 92.222946) + (xy 157.611313 92.219707) + (xy 157.616005 92.215663) + (xy 157.766804 92.064864) + (xy 157.917563 91.914106) + (xy 157.979875 91.880081) + (xy 158.006658 91.877202) + (xy 158.259944 91.877202) + (xy 158.328065 91.897204) + (xy 158.374558 91.95086) + (xy 158.384662 92.021134) + (xy 158.355168 92.085714) + (xy 158.300803 92.120376) + (xy 158.301148 92.121147) + (xy 158.295938 92.123478) + (xy 158.294568 92.124351) + (xy 158.292954 92.124812) + (xy 158.292951 92.124813) + (xy 158.284324 92.127279) + (xy 158.162151 92.204364) + (xy 158.156209 92.211092) + (xy 158.135517 92.234521) + (xy 158.066524 92.312641) + (xy 158.046671 92.354926) + (xy 158.018198 92.415574) + (xy 158.005131 92.443405) + (xy 157.998871 92.483613) + (xy 157.998442 92.486366) + (xy 157.968198 92.550599) + (xy 157.908567 92.588131) + (xy 157.845461 92.606167) + (xy 157.789419 92.622184) + (xy 157.667246 92.699269) + (xy 157.661304 92.705997) + (xy 157.655109 92.713012) + (xy 157.571619 92.807546) + (xy 157.547362 92.859213) + (xy 157.518229 92.921265) + (xy 157.510226 92.93831) + (xy 157.508845 92.947179) + (xy 157.489679 93.070273) + (xy 157.488001 93.081048) + (xy 157.489165 93.08995) + (xy 157.489165 93.089953) + (xy 157.505567 93.215383) + (xy 157.505568 93.215387) + (xy 157.506732 93.224288) + (xy 157.511737 93.235663) + (xy 157.559308 93.343775) + (xy 157.564912 93.356512) + (xy 157.565301 93.356975) + (xy 157.58319 93.421319) + (xy 157.562206 93.489144) + (xy 157.507885 93.534857) + (xy 157.457203 93.5455) + (xy 156.71872 93.5455) + (xy 156.650599 93.525498) + (xy 156.629625 93.508595) + (xy 156.152932 93.031902) + (xy 156.138988 93.024288) + (xy 156.137155 93.024419) + (xy 156.13054 93.02867) + (xy 155.650616 93.508595) + (xy 155.588303 93.54262) + (xy 155.56152 93.5455) + (xy 155.127437 93.5455) + (xy 155.059316 93.525498) + (xy 155.012823 93.471842) + (xy 155.003183 93.398595) + (xy 155.004658 93.389833) + (xy 155.007203 93.374705) + (xy 155.008442 93.36734) + (xy 155.008442 93.367337) + (xy 155.009248 93.362548) + (xy 155.009325 93.356275) + (xy 155.009341 93.354959) + (xy 155.009341 93.354955) + (xy 155.0094 93.3501) + (xy 155.005789 93.324887) + (xy 155.015933 93.25462) + (xy 155.062457 93.200991) + (xy 155.130589 93.181028) + (xy 155.191966 93.199088) + (xy 155.192526 93.198063) + (xy 155.197251 93.200643) + (xy 155.198698 93.201069) + (xy 155.200097 93.202197) + (xy 155.222366 93.214357) + (xy 155.224201 93.214226) + (xy 155.230811 93.209978) + (xy 155.768099 92.672691) + (xy 155.775712 92.658748) + (xy 155.775581 92.656914) + (xy 155.77133 92.6503) + (xy 155.278241 92.157211) + (xy 155.264297 92.149597) + (xy 155.262464 92.149728) + (xy 155.255849 92.153979) + (xy 155.205175 92.204653) + (xy 155.2003 92.210129) + (xy 155.126059 92.303965) + (xy 155.118419 92.316335) + (xy 155.116333 92.320799) + (xy 155.069369 92.374043) + (xy 155.001075 92.393445) + (xy 154.933133 92.372844) + (xy 154.906731 92.349697) + (xy 154.840697 92.27306) + (xy 154.840692 92.273055) + (xy 154.834833 92.266256) + (xy 154.713611 92.187684) + (xy 154.575209 92.146293) + (xy 154.566233 92.146238) + (xy 154.566232 92.146238) + (xy 154.504955 92.145864) + (xy 154.430753 92.145411) + (xy 154.291856 92.185108) + (xy 154.169683 92.262193) + (xy 154.166008 92.266354) + (xy 154.102741 92.294569) + (xy 154.08592 92.295697) + (xy 152.629342 92.295697) + (xy 152.561221 92.275695) + (xy 152.514728 92.222039) + (xy 152.504624 92.151765) + (xy 152.534118 92.087185) + (xy 152.593844 92.048801) + (xy 152.605732 92.045929) + (xy 152.696013 92.028707) + (xy 152.696014 92.028707) + (xy 152.703797 92.027222) + (xy 152.853378 91.956834) + (xy 152.859483 91.951784) + (xy 152.859487 91.951781) + (xy 152.974647 91.856512) + (xy 152.980755 91.851459) + (xy 153.027119 91.787644) + (xy 153.073265 91.72413) + (xy 153.073266 91.724128) + (xy 153.077924 91.717717) + (xy 153.081843 91.707821) + (xy 153.135864 91.571379) + (xy 153.135864 91.571377) + (xy 153.138781 91.564011) + (xy 153.139943 91.55482) + (xy 153.157837 91.413161) + (xy 153.1595 91.4) + (xy 153.149497 91.320817) + (xy 153.160803 91.250727) + (xy 153.185408 91.215931) + (xy 153.493651 90.907688) + (xy 153.510134 90.894375) + (xy 153.512745 90.891999) + (xy 153.521497 90.886348) + (xy 153.541132 90.861441) + (xy 153.544539 90.857607) + (xy 153.544429 90.857514) + (xy 153.547783 90.853556) + (xy 153.55146 90.849879) + (xy 153.554914 90.845046) + (xy 153.561499 90.835832) + (xy 153.565061 90.831089) + (xy 153.588135 90.801818) + (xy 153.594581 90.793641) + (xy 153.597415 90.785571) + (xy 153.60239 90.778609) + (xy 153.614319 90.738721) + (xy 153.645939 90.68573) + (xy 153.77998 90.551689) + (xy 153.919812 90.411856) + (xy 153.982125 90.377831) + (xy 154.008908 90.374951) + (xy 154.666487 90.374951) + (xy 154.687574 90.377195) + (xy 154.691091 90.377361) + (xy 154.701269 90.379552) + (xy 154.732767 90.375824) + (xy 154.737881 90.375522) + (xy 154.737869 90.375379) + (xy 154.743047 90.374951) + (xy 154.748244 90.374951) + (xy 154.758069 90.373316) + (xy 154.765287 90.372115) + (xy 154.771159 90.37128) + (xy 154.779342 90.370311) + (xy 154.818501 90.365676) + (xy 154.82621 90.361974) + (xy 154.834652 90.360569) + (xy 154.843819 90.355623) + (xy 154.843821 90.355622) + (xy 154.87427 90.339192) + (xy 154.876637 90.337915) + (xy 154.881928 90.335219) + (xy 154.917774 90.318007) + (xy 154.917777 90.318005) + (xy 154.924918 90.314576) + (xy 154.92805 90.311944) + (xy 154.929995 90.309999) + (xy 154.931982 90.308177) + (xy 154.932081 90.308285) + (xy 154.932917 90.307548) + (xy 154.938544 90.304512) + (xy 154.946255 90.296171) + (xy 154.974307 90.265824) + (xy 154.977736 90.262258) + (xy 156.173373 89.066621) + (xy 156.235685 89.032595) + (xy 156.262468 89.029716) + (xy 158.154902 89.029716) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 161.781265 92.275906) + (xy 161.784281 92.278018) + (xy 161.793674 92.280535) + (xy 161.793677 92.280536) + (xy 161.818951 92.287308) + (xy 161.875434 92.319919) + (xy 161.919617 92.364102) + (xy 161.93293 92.380585) + (xy 161.935306 92.383196) + (xy 161.940957 92.391948) + (xy 161.965864 92.411583) + (xy 161.969698 92.41499) + (xy 161.969791 92.41488) + (xy 161.973751 92.418236) + (xy 161.977426 92.421911) + (xy 161.981647 92.424927) + (xy 161.981657 92.424936) + (xy 161.991261 92.431799) + (xy 162.007096 92.445217) + (xy 162.008362 92.446483) + (xy 162.042388 92.508795) + (xy 162.037323 92.57961) + (xy 161.994776 92.636446) + (xy 161.928256 92.661257) + (xy 161.883166 92.656295) + (xy 161.795398 92.630047) + (xy 161.742405 92.598425) + (xy 161.674147 92.530167) + (xy 161.64996 92.488275) + (xy 161.647708 92.489325) + (xy 161.639393 92.471494) + (xy 161.628891 92.448972) + (xy 161.61823 92.378781) + (xy 161.64721 92.313968) + (xy 161.70663 92.275111) + (xy 161.777624 92.274548) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 191.727598 91.038586) + (xy 191.767266 91.084365) + (xy 191.808708 91.165698) + (xy 191.898595 91.255585) + (xy 191.932621 91.317897) + (xy 191.9355 91.34468) + (xy 191.9355 91.945273) + (xy 191.915498 92.013394) + (xy 191.898595 92.034368) + (xy 191.589595 92.343368) + (xy 191.527283 92.377394) + (xy 191.456468 92.372329) + (xy 191.399632 92.329782) + (xy 191.374821 92.263262) + (xy 191.3745 92.254273) + (xy 191.3745 91.34468) + (xy 191.394502 91.276559) + (xy 191.411405 91.255585) + (xy 191.501292 91.165698) + (xy 191.542734 91.084365) + (xy 191.591482 91.032751) + (xy 191.660397 91.015685) + ) + ) + ) +) diff --git a/pcb/rev.B/sizif-xxs.kicad_pro b/pcb/rev.B/sizif-xxs.kicad_pro new file mode 100644 index 0000000..449d9fa --- /dev/null +++ b/pcb/rev.B/sizif-xxs.kicad_pro @@ -0,0 +1,473 @@ +{ + "board": { + "design_settings": { + "defaults": { + "board_outline_line_width": 0.15, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 3.0, + "height": 3.0, + "width": 3.0 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 0.7999999999999999, + "silk_text_size_v": 0.7999999999999999, + "silk_text_thickness": 0.12, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.254 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "warning", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" + }, + "rule_severitieslegacy_courtyards_overlap": true, + "rule_severitieslegacy_no_courtyard_defined": false, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.19999999999999998, + "min_copper_edge_clearance": 0.19999999999999998, + "min_hole_clearance": 0.19999999999999998, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.44999999999999996 + }, + "track_widths": [ + 0.0, + 0.2, + 0.5, + 1.0, + 2.0, + 3.0 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "sizif-xxs.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12.0, + "clearance": 0.1999, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.5, + "via_drill": 0.3, + "wire_width": 6.0 + }, + { + "bus_width": 12.0, + "clearance": 0.1999, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Hipower", + "nets": [], + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 1.0, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + }, + { + "bus_width": 12.0, + "clearance": 0.1999, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "power", + "nets": [ + "+3V3", + "+5V", + "GND" + ], + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.5, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6.0 + } + ], + "meta": { + "version": 0 + }, + "net_colors": null + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "drawing": { + "default_bus_thickness": 12.0, + "default_junction_size": 40.0, + "default_line_thickness": 6.0, + "default_text_size": 39.0, + "default_wire_thickness": 6.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.3 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 0 + }, + "net_format_name": "Pcbnew", + "ngspice": { + "meta": { + "version": 0 + }, + "model_mode": 0 + }, + "page_layout_descr_file": "${MYLIBPATH}/mykicadws2.kicad_wks", + "plot_directory": "out/", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "1dc075ee-445f-4b49-a326-dd943e04c837", + "" + ] + ], + "text_variables": {} +} diff --git a/pcb/rev.B/sizif-xxs.kicad_sch b/pcb/rev.B/sizif-xxs.kicad_sch new file mode 100644 index 0000000..cc78e66 --- /dev/null +++ b/pcb/rev.B/sizif-xxs.kicad_sch @@ -0,0 +1,9545 @@ +(kicad_sch (version 20210406) (generator eeschema) + + (uuid 1dc075ee-445f-4b49-a326-dd943e04c837) + + (paper "User" 319.938 226.974) + + (title_block + (title "ZX-SIZIF-XXS") + (date "2021-07-01") + (rev "B") + (company "Eugene Lozovoy") + (comment 4 "Very small ZX Spectrum clone") + ) + + (lib_symbols + (symbol "Connector:AudioJack4" (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AudioJack4" (id 1) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "audio jack receptacle stereo headphones TRRS connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Audio Jack, 4 Poles (TRRS)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Jack*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AudioJack4_0_1" + (rectangle (start -6.35 -5.08) (end -7.62 -7.62) + (stroke (width 0.254)) (fill (type outline)) + ) + (rectangle (start 2.54 3.81) (end -6.35 -7.62) + (stroke (width 0.254)) (fill (type background)) + ) + (polyline + (pts + (xy 0 -5.08) + (xy 0.635 -5.715) + (xy 1.27 -5.08) + (xy 2.54 -5.08) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy -5.715 -5.08) + (xy -5.08 -5.715) + (xy -4.445 -5.08) + (xy -4.445 2.54) + (xy 2.54 2.54) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy -1.905 -5.08) + (xy -1.27 -5.715) + (xy -0.635 -5.08) + (xy -0.635 -2.54) + (xy 2.54 -2.54) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0) + (xy -2.54 0) + (xy -2.54 -5.08) + (xy -3.175 -5.715) + (xy -3.81 -5.08) + ) + (stroke (width 0.254)) (fill (type none)) + ) + ) + (symbol "AudioJack4_1_1" + (pin passive line (at 5.08 -2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "R1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "R2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 2.54 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "S" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -5.08 180) (length 2.54) + (name "~" (effects (font (size 1.27 1.27)))) + (number "T" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) (stroke (width 0)) (fill (type none))) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:USB_B_Micro" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at -5.08 11.43 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USB_B_Micro" (id 1) (at -5.08 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector USB micro" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB Micro Type B connector" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "USB*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USB_B_Micro_0_1" + (circle (center -3.81 2.159) (radius 0.635) (stroke (width 0.254)) (fill (type outline))) + (circle (center -0.635 3.429) (radius 0.381) (stroke (width 0.254)) (fill (type outline))) + (rectangle (start -0.127 -7.62) (end 0.127 -6.858) + (stroke (width 0)) (fill (type none)) + ) + (rectangle (start 5.08 -5.207) (end 4.318 -4.953) + (stroke (width 0)) (fill (type none)) + ) + (rectangle (start 5.08 -2.667) (end 4.318 -2.413) + (stroke (width 0)) (fill (type none)) + ) + (rectangle (start 5.08 -0.127) (end 4.318 0.127) + (stroke (width 0)) (fill (type none)) + ) + (rectangle (start 5.08 4.953) (end 4.318 5.207) + (stroke (width 0)) (fill (type none)) + ) + (rectangle (start 0.254 1.27) (end -0.508 0.508) + (stroke (width 0.254)) (fill (type outline)) + ) + (rectangle (start -5.08 -7.62) (end 5.08 7.62) + (stroke (width 0.254)) (fill (type background)) + ) + (polyline + (pts + (xy -1.905 2.159) + (xy 0.635 2.159) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy -3.175 2.159) + (xy -2.54 2.159) + (xy -1.27 3.429) + (xy -0.635 3.429) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.159) + (xy -1.905 2.159) + (xy -1.27 0.889) + (xy 0 0.889) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy -4.699 5.842) + (xy -4.699 5.588) + (xy -4.445 4.826) + (xy -4.445 4.572) + (xy -1.651 4.572) + (xy -1.651 4.826) + (xy -1.397 5.588) + (xy -1.397 5.842) + (xy -4.699 5.842) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.635 2.794) + (xy 0.635 1.524) + (xy 1.905 2.159) + (xy 0.635 2.794) + ) + (stroke (width 0.254)) (fill (type outline)) + ) + (polyline + (pts + (xy -4.318 5.588) + (xy -1.778 5.588) + (xy -2.032 4.826) + (xy -4.064 4.826) + (xy -4.318 5.588) + ) + (stroke (width 0)) (fill (type outline)) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line (at 7.62 5.08 180) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 2.54) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 2.54) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 2.54) + (name "ID" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -10.16 90) (length 2.54) + (name "Shield" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x03" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x03" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x03_1_1" + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 3.81) (end 1.27 -3.81) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x04" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x04" (id 1) (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x04, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x04_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 3.81) (end 1.27 -6.35) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x06" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06" (id 1) (at 0 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x06_1_1" + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524)) (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 1.27 -8.89) + (stroke (width 0.254)) (fill (type background)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508)) (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508)) (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:CP" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "CP" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Polarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "CP_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "CP_0_1" + (rectangle (start -2.286 0.508) (end 2.286 1.016) + (stroke (width 0)) (fill (type none)) + ) + (rectangle (start 2.286 -0.508) (end -2.286 -1.016) + (stroke (width 0)) (fill (type outline)) + ) + (polyline + (pts + (xy -1.778 2.286) + (xy -0.762 2.286) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy -1.27 2.794) + (xy -1.27 1.778) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "CP_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:D" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "D" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Diode" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "D_0_1" + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0.2032)) (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy -1.27 0) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 1.27 1.27) + (xy 1.27 -1.27) + (xy -1.27 0) + (xy 1.27 1.27) + ) + (stroke (width 0.2032)) (fill (type none)) + ) + ) + (symbol "D_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:L" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "L" (id 0) (at -1.27 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "L" (id 1) (at 1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "inductor choke coil reactor magnetic" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Inductor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "L_0_1" + (arc (start 0 -2.54) (end 0 -1.27) (radius (at 0 -1.905) (length 0.635) (angles -89.9 89.9)) + (stroke (width 0)) (fill (type none)) + ) + (arc (start 0 -1.27) (end 0 0) (radius (at 0 -0.635) (length 0.635) (angles -89.9 89.9)) + (stroke (width 0)) (fill (type none)) + ) + (arc (start 0 0) (end 0 1.27) (radius (at 0 0.635) (length 0.635) (angles -89.9 89.9)) + (stroke (width 0)) (fill (type none)) + ) + (arc (start 0 1.27) (end 0 2.54) (radius (at 0 1.905) (length 0.635) (angles -89.9 89.9)) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "L_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "LED diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Light emitting diode" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_0_1" + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 1.27) + ) + (stroke (width 0.2032)) (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy 1.27 0) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.27) + (xy 1.27 1.27) + (xy -1.27 0) + (xy 1.27 -1.27) + ) + (stroke (width 0.2032)) (fill (type none)) + ) + (polyline + (pts + (xy -3.048 -0.762) + (xy -4.572 -2.286) + (xy -3.81 -2.286) + (xy -4.572 -2.286) + (xy -4.572 -1.524) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy -1.778 -0.762) + (xy -3.302 -2.286) + (xy -2.54 -2.286) + (xy -3.302 -2.286) + (xy -3.302 -1.524) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "LED_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254)) (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Pack04" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "RN" (id 0) (at -7.62 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R_Pack04" (id 1) (at 5.08 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 6.985 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R network parallel topology isolated" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "4 resistor network, parallel topology, DIP package" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP* SOIC*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Pack04_0_1" + (rectangle (start -5.715 1.905) (end -4.445 -1.905) + (stroke (width 0.254)) (fill (type none)) + ) + (rectangle (start -3.175 1.905) (end -1.905 -1.905) + (stroke (width 0.254)) (fill (type none)) + ) + (rectangle (start -0.635 1.905) (end 0.635 -1.905) + (stroke (width 0.254)) (fill (type none)) + ) + (rectangle (start 1.905 1.905) (end 3.175 -1.905) + (stroke (width 0.254)) (fill (type none)) + ) + (rectangle (start -6.35 -2.413) (end 3.81 2.413) + (stroke (width 0.254)) (fill (type background)) + ) + (polyline + (pts + (xy -5.08 -2.54) + (xy -5.08 -1.905) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy -5.08 1.905) + (xy -5.08 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy -2.54 -2.54) + (xy -2.54 -1.905) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy -2.54 1.905) + (xy -2.54 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 0 -1.905) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 1.905) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -2.54) + (xy 2.54 -1.905) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.905) + (xy 2.54 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "R_Pack04_1_1" + (pin passive line (at -5.08 -5.08 90) (length 2.54) + (name "R1.1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -5.08 90) (length 2.54) + (name "R2.1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "R3.1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "R4.1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "R4.2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 5.08 270) (length 2.54) + (name "R3.2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 5.08 270) (length 2.54) + (name "R2.2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 270) (length 2.54) + (name "R1.2" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "H" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole without connection" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_0_1" + (circle (center 0 0) (radius 1.27) (stroke (width 1.27)) (fill (type none))) + ) + ) + (symbol "Oscillator:XO91" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "X" (id 0) (at -5.08 6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "XO91" (id 1) (at 1.27 -6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Oscillator:Oscillator_SMD_EuroQuartz_XO91-4Pin_7.0x5.0mm" (id 2) (at 17.78 -8.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/XO91.pdf" (id 3) (at -2.54 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Crystal Clock Oscillator" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "HCMOS Clock Oscillator" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Oscillator*SMD*EuroQuartz*XO91*7.0x5.0mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "XO91_0_1" + (rectangle (start -5.08 5.08) (end 5.08 -5.08) + (stroke (width 0.254)) (fill (type background)) + ) + (polyline + (pts + (xy 0.762 -0.762) + (xy 0.508 -0.762) + (xy 0.508 0.762) + (xy 0 0.762) + (xy 0 -0.762) + (xy -0.508 -0.762) + (xy -0.508 0.762) + (xy -1.016 0.762) + (xy -1.016 -0.762) + (xy -1.27 -0.762) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "XO91_1_1" + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 7.62 0 180) (length 2.54) + (name "OUT" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 7.62 270) (length 2.54) + (name "V+" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:AMS1117-3.3" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AMS1117-3.3" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 2.54 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223*TabPin2*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AMS1117-3.3_0_1" + (rectangle (start -5.08 -5.08) (end 5.08 1.905) + (stroke (width 0.254)) (fill (type background)) + ) + ) + (symbol "AMS1117-3.3_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:AZ1117-1.5" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AZ1117-1.5" (id 1) (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 6.35 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AZ1117.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Fixed Voltage Regulator 1A Positive LDO" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "1A 20V Fixed LDO Linear Regulator, 1.5V, SOT-89/SOT-223/TO-220/TO-252/TO-263" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?223* SOT?89* TO?220* TO?252* TO?263*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AZ1117-1.5_0_1" + (rectangle (start -5.08 1.905) (end 5.08 -5.08) + (stroke (width 0.254)) (fill (type background)) + ) + ) + (symbol "AZ1117-1.5_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Transistor_BJT:BC817" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.905 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "BC817" (id 1) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 5.08 -1.905 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "http://www.fairchildsemi.com/ds/BC/BC817.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "ki_keywords" "NPN Transistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "0.8A Ic, 45V Vce, NPN Transistor, SOT-23" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "BC817_0_1" + (circle (center 1.27 0) (radius 2.8194) (stroke (width 0.254)) (fill (type none))) + (polyline + (pts + (xy 0.635 0.635) + (xy 2.54 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.635 -0.635) + (xy 2.54 -2.54) + (xy 2.54 -2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.635 1.905) + (xy 0.635 -1.905) + (xy 0.635 -1.905) + ) + (stroke (width 0.508)) (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -1.778) + (xy 1.778 -1.27) + (xy 2.286 -2.286) + (xy 1.27 -1.778) + (xy 1.27 -1.778) + ) + (stroke (width 0)) (fill (type outline)) + ) + ) + (symbol "BC817_1_1" + (pin input line (at -5.08 0 0) (length 5.715) + (name "B" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "E" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "C" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Transistor_FET:BSS138" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "Q" (id 0) (at 5.08 1.905 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "BSS138" (id 1) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 5.08 -1.905 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "https://www.fairchildsemi.com/datasheets/BS/BSS138.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "ki_keywords" "N-Channel MOSFET" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "50V Vds, 0.22A Id, N-Channel MOSFET, SOT-23" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "BSS138_0_1" + (circle (center 1.651 0) (radius 2.8194) (stroke (width 0.254)) (fill (type none))) + (circle (center 2.54 -1.778) (radius 0.2794) (stroke (width 0)) (fill (type outline))) + (circle (center 2.54 1.778) (radius 0.2794) (stroke (width 0)) (fill (type outline))) + (polyline + (pts + (xy 0.762 -1.778) + (xy 2.54 -1.778) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.27) + (xy 0.762 -2.286) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0) + (xy 2.54 0) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 0.508) + (xy 0.762 -0.508) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 1.778) + (xy 2.54 1.778) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.762 2.286) + (xy 0.762 1.27) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 2.54 -2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 2.54 0) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 2.54) + (xy 2.54 1.778) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0.254 1.905) + (xy 0.254 -1.905) + (xy 0.254 -1.905) + ) + (stroke (width 0.254)) (fill (type none)) + ) + (polyline + (pts + (xy 2.54 -1.778) + (xy 3.302 -1.778) + (xy 3.302 1.778) + (xy 2.54 1.778) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 2.794 0.508) + (xy 2.921 0.381) + (xy 3.683 0.381) + (xy 3.81 0.254) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 3.302 0.381) + (xy 2.921 -0.254) + (xy 3.683 -0.254) + (xy 3.302 0.381) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 1.016 0) + (xy 2.032 0.381) + (xy 2.032 -0.381) + (xy 1.016 0) + ) + (stroke (width 0)) (fill (type outline)) + ) + ) + (symbol "BSS138_1_1" + (pin input line (at -5.08 0 0) (length 5.334) + (name "G" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 -5.08 90) (length 2.54) + (name "S" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 5.08 270) (length 2.54) + (name "D" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "my:AS6C4008" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -10.16 26.035 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "AS6C4008" (id 1) (at 2.54 26.035 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Footprint" "Package_DIP:DIP-32_W15.24mm" (id 2) (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C4008.pdf" (id 3) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "RAM SRAM CMOS MEMORY" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "512K x 8 Low Power CMOS RAM, DIP-32" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP*W15.24mm*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AS6C4008_0_0" + (pin power_in line (at 0 -27.94 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 27.94 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "AS6C4008_0_1" + (rectangle (start -10.16 25.4) (end 10.16 -25.4) + (stroke (width 0.254)) (fill (type background)) + ) + ) + (symbol "AS6C4008_1_1" + (pin input line (at -15.24 -22.86 0) (length 5.08) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 17.78 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 20.32 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 22.86 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 22.86 180) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 20.32 180) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 17.78 180) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 15.24 180) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 12.7 180) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 10.16 180) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -17.78 0) (length 5.08) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 7.62 180) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 15.24 5.08 180) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 15.24 -2.54 180) (length 5.08) + (name "~CE" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -2.54 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 15.24 -5.08 180) (length 5.08) + (name "~OE" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -5.08 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 0 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -10.16 0) (length 5.08) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input inverted (at 15.24 -7.62 180) (length 5.08) + (name "~WE" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -12.7 0) (length 5.08) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -20.32 0) (length 5.08) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -15.24 0) (length 5.08) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 -7.62 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 5.08 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 7.62 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 10.16 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 12.7 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -15.24 15.24 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "my:EP1C3T100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -20.32 60.96 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "EP1C3T100" (id 1) (at 16.51 60.96 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Footprint" "Package_QFP:TQFP-100_14x14mm_P0.5mm" (id 2) (at 0 -15.24 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "" (id 3) (at -2.54 21.59 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "ki_fp_filters" "Package_QFP:TQFP-100_14x14mm_P0.5mm *QFP*100*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "EP1C3T100_0_0" + (text "B1" (at -5.08 12.7 0) + (effects (font (size 2.0066 2.0066))) + ) + (rectangle (start -15.24 -60.96) (end 15.24 60.96) + (stroke (width 0.254)) (fill (type background)) + ) + (polyline + (pts + (xy -15.24 -7.62) + (xy -2.54 -7.62) + (xy -2.54 -50.8) + (xy -15.24 -50.8) + ) + (stroke (width 0.1016)) (fill (type none)) + ) + (polyline + (pts + (xy -15.24 25.4) + (xy -2.54 25.4) + (xy -2.54 -7.62) + (xy -15.24 -7.62) + ) + (stroke (width 0.1016)) (fill (type none)) + ) + (polyline + (pts + (xy 15.24 -7.62) + (xy 2.54 -7.62) + (xy 2.54 -50.8) + (xy 15.24 -50.8) + ) + (stroke (width 0.1016)) (fill (type none)) + ) + (polyline + (pts + (xy 15.24 33.02) + (xy 2.54 33.02) + (xy 2.54 -7.62) + (xy 15.24 -7.62) + ) + (stroke (width 0.1016)) (fill (type none)) + ) + (pin bidirectional line (at -20.32 24.13 0) (length 5.08) + (name "IO/INIT_DONE" (effects (font (size 1.016 1.016)))) + (number "1" (effects (font (size 1.016 1.016)))) + ) + (pin input clock (at -20.32 34.29 0) (length 5.08) + (name "CLK0" (effects (font (size 1.016 1.016)))) + (number "10" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -49.53 180) (length 5.08) + (name "IO/DEV_CLRn" (effects (font (size 1.016 1.016)))) + (number "100" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 12.7 -66.04 90) (length 5.08) + (name "GNDA_PLL1" (effects (font (size 1.016 1.016)))) + (number "11" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 20.32 45.72 180) (length 5.08) + (name "nCEO" (effects (font (size 1.016 1.016)))) + (number "12" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at 20.32 43.18 180) (length 5.08) + (name "nCE" (effects (font (size 1.016 1.016)))) + (number "13" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -20.32 40.64 0) (length 5.08) + (name "MSEL0" (effects (font (size 1.016 1.016)))) + (number "14" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -20.32 38.1 0) (length 5.08) + (name "MSEL1" (effects (font (size 1.016 1.016)))) + (number "15" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional clock (at 20.32 40.64 180) (length 5.08) + (name "DCLK" (effects (font (size 1.016 1.016)))) + (number "16" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 8.89 0) (length 5.08) + (name "IO/ASDO" (effects (font (size 1.016 1.016)))) + (number "17" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -2.54 66.04 270) (length 5.08) + (name "VCCIO1" (effects (font (size 1.016 1.016)))) + (number "18" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -12.7 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "19" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 21.59 0) (length 5.08) + (name "IO/CRC_ERROR" (effects (font (size 1.016 1.016)))) + (number "2" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 6.35 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "20" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 3.81 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "21" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 1.27 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "22" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -1.27 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "23" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -3.81 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "24" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -6.35 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "25" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -8.89 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "26" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -11.43 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "27" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -13.97 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "28" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -16.51 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "29" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 19.05 0) (length 5.08) + (name "IO/CLKUSR" (effects (font (size 1.016 1.016)))) + (number "3" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -7.62 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "30" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 7.62 66.04 270) (length 5.08) + (name "VCCIO4" (effects (font (size 1.016 1.016)))) + (number "31" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -10.16 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "32" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -12.7 66.04 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.016 1.016)))) + (number "33" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -19.05 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "34" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -21.59 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "35" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -24.13 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "36" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -26.67 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "37" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -29.21 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "38" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -31.75 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "39" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 16.51 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "4" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -34.29 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "40" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -36.83 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "41" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -39.37 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "42" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -5.08 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "43" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -10.16 66.04 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.016 1.016)))) + (number "44" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -2.54 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "45" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 10.16 66.04 270) (length 5.08) + (name "VCCIO4" (effects (font (size 1.016 1.016)))) + (number "46" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -41.91 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "47" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -44.45 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "48" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -46.99 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "49" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 13.97 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "5" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 -49.53 0) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "50" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 31.75 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "51" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 29.21 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "52" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 26.67 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "53" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 24.13 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "54" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 21.59 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "55" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 19.05 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "56" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 16.51 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "57" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 0 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "58" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 5.08 66.04 270) (length 5.08) + (name "VCCIO3" (effects (font (size 1.016 1.016)))) + (number "59" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at -20.32 11.43 0) (length 5.08) + (name "IO/nCSO" (effects (font (size 1.016 1.016)))) + (number "6" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 35.56 180) (length 5.08) + (name "CONF_DONE" (effects (font (size 1.016 1.016)))) + (number "60" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 50.8 180) (length 5.08) + (name "nSTATUS" (effects (font (size 1.016 1.016)))) + (number "61" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -20.32 48.26 0) (length 5.08) + (name "TCK" (effects (font (size 1.016 1.016)))) + (number "62" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -20.32 43.18 0) (length 5.08) + (name "TMS" (effects (font (size 1.016 1.016)))) + (number "63" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at -20.32 50.8 0) (length 5.08) + (name "TDO" (effects (font (size 1.016 1.016)))) + (number "64" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 13.97 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "65" (effects (font (size 1.016 1.016)))) + ) + (pin input clock (at -20.32 31.75 0) (length 5.08) + (name "CLK2" (effects (font (size 1.016 1.016)))) + (number "66" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -20.32 45.72 0) (length 5.08) + (name "TDI" (effects (font (size 1.016 1.016)))) + (number "67" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 11.43 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "68" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 8.89 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "69" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at 20.32 38.1 180) (length 5.08) + (name "DATA0" (effects (font (size 1.016 1.016)))) + (number "7" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 6.35 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "70" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 3.81 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "71" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 1.27 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "72" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -1.27 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "73" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -3.81 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "74" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -6.35 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "75" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -8.89 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "76" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -11.43 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "77" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -13.97 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "78" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -16.51 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "79" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at 20.32 48.26 180) (length 5.08) + (name "nCONFIG" (effects (font (size 1.016 1.016)))) + (number "8" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 0 66.04 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.016 1.016)))) + (number "80" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 2.54 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "81" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -7.62 66.04 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.016 1.016)))) + (number "82" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 5.08 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "83" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -19.05 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "84" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -21.59 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "85" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -24.13 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "86" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -26.67 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "87" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -29.21 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "88" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -31.75 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "89" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 12.7 66.04 270) (length 5.08) + (name "VCCA_PLL1" (effects (font (size 1.016 1.016)))) + (number "9" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -34.29 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "90" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -36.83 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "91" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -39.37 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "92" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at -5.08 66.04 270) (length 5.08) + (name "VCCINT" (effects (font (size 1.016 1.016)))) + (number "93" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 7.62 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "94" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 2.54 66.04 270) (length 5.08) + (name "VCCIO2" (effects (font (size 1.016 1.016)))) + (number "95" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 10.16 -66.04 90) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "96" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -41.91 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "97" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -44.45 180) (length 5.08) + (name "IO" (effects (font (size 1.016 1.016)))) + (number "98" (effects (font (size 1.016 1.016)))) + ) + (pin bidirectional line (at 20.32 -46.99 180) (length 5.08) + (name "IO/DEV_OE" (effects (font (size 1.016 1.016)))) + (number "99" (effects (font (size 1.016 1.016)))) + ) + ) + (symbol "EP1C3T100_1_1" + (text "B2" (at 5.08 -29.21 0) + (effects (font (size 2.0066 2.0066))) + ) + (text "B3" (at 5.08 12.7 0) + (effects (font (size 2.0066 2.0066))) + ) + (text "B4" (at -5.08 -29.21 0) + (effects (font (size 2.0066 2.0066))) + ) + ) + ) + (symbol "my:EPCS4" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -8.89 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "EPCS4" (id 1) (at 7.62 6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm* TSSOP*4.4x3mm*P0.65mm*" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "EPCS4_1_1" + (rectangle (start -6.35 7.62) (end 6.35 -7.62) + (stroke (width 0.254)) (fill (type background)) + ) + (pin input line (at -8.89 1.27 0) (length 2.54) + (name "~CS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 8.89 1.27 180) (length 2.54) + (name "DATA" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -2.54 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 8.89 -1.27 180) (length 2.54) + (name "ASDI" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -8.89 -1.27 0) (length 2.54) + (name "DCLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 0 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 10.16 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "my:Micro_SD_Card_Det" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at -12.7 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Micro_SD_Card_Det" (id 1) (at 10.16 15.24 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 52.07 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.hirose.com/product/en/download_file/key_name/DM3/category/Catalog/doc_file_id/49662/?file_category_id=4&item_id=195&is_series=1" (id 3) (at 1.27 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector SD microsd" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Micro SD Card Socket with card detection pins" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "microSD*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Micro_SD_Card_Det_0_1" + (polyline + (pts + (xy -7.62 -11.43) + (xy -7.62 8.89) + (xy -3.81 12.7) + (xy 7.62 12.7) + (xy 7.62 -11.43) + (xy -7.62 -11.43) + ) + (stroke (width 0.254)) (fill (type background)) + ) + ) + (symbol "Micro_SD_Card_Det_1_1" + (pin bidirectional line (at -11.43 7.62 0) (length 3.81) + (name "DAT2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 11.43 -7.62 180) (length 3.81) + (name "DET_A" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 11.43 -10.16 180) (length 3.81) + (name "SHIELD" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 5.08 0) (length 3.81) + (name "DAT3/CD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 2.54 0) (length 3.81) + (name "CMD" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 0 0) (length 3.81) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -11.43 -2.54 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -5.08 0) (length 3.81) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -7.62 0) (length 3.81) + (name "DAT0" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -11.43 -10.16 0) (length 3.81) + (name "DAT1" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 11.43 -5.08 180) (length 3.81) + (name "DET_B" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "my:Z80CPU_QFP" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -13.97 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Z80CPU_QFP" (id 1) (at 6.35 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" (id 3) (at 0 10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Z80 CPU uP" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "8-bit General Purpose Microprocessor, QFP-44" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "DIP* PDIP*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Z80CPU_QFP_0_1" + (rectangle (start -13.97 34.29) (end 13.97 -34.29) + (stroke (width 0.254)) (fill (type background)) + ) + ) + (symbol "Z80CPU_QFP_1_1" + (pin input clock (at -17.78 22.86 0) (length 3.81) + (name "~CLK~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 2.54 -38.1 90) (length 3.81) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 12.7 0) (length 3.81) + (name "~INT~" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 15.24 0) (length 3.81) + (name "~NMI~" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -2.54 0) (length 3.81) + (name "~HALT~" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -17.78 0) (length 3.81) + (name "~MREQ~" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -20.32 0) (length 3.81) + (name "~IORQ~" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 -38.1 90) (length 3.81) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -12.7 0) (length 3.81) + (name "~RD~" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -15.24 0) (length 3.81) + (name "~WR~" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 -30.48 0) (length 3.81) + (name "~BUSACK~" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 0 0) (length 3.81) + (name "~WAIT~" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -27.94 0) (length 3.81) + (name "~BUSRQ~" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 30.48 0) (length 3.81) + (name "~RESET~" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 5.08 0) (length 3.81) + (name "~M1~" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -17.78 2.54 0) (length 3.81) + (name "~RFSH~" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -38.1 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 30.48 180) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 27.94 180) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 25.4 180) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 22.86 180) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 20.32 180) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 17.78 180) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 -38.1 90) (length 3.81) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 15.24 180) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 12.7 180) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 10.16 180) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 7.62 180) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 5.08 180) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 10.16 -38.1 90) (length 3.81) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 2.54 180) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 0 180) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -2.54 180) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -5.08 180) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -7.62 180) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 38.1 270) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "my:logo" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "LOGO" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "logo" (id 1) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (id 2) (at 0 -5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0.762 -5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "symbol logo" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "logo_0_1" + (polyline + (pts + (xy -5.08 -5.08) + (xy 5.08 -5.08) + (xy 0 3.81) + (xy -5.08 -5.08) + ) + (stroke (width 0.508)) (fill (type background)) + ) + ) + ) + (symbol "power:+1V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V5" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V5\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V5_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "+1V5_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V5" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3.3V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3.3V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3.3V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "+3.3V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0)) (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:PWR_FLAG" (power) (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "#FLG" (id 0) (at 0 1.905 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Special symbol for telling ERC where power comes from" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PWR_FLAG_0_0" + (pin power_out line (at 0 0 90) (length 0) + (name "pwr" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "PWR_FLAG_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy -1.016 1.905) + (xy 0 2.54) + (xy 1.016 1.905) + (xy 0 1.27) + ) + (stroke (width 0)) (fill (type none)) + ) + ) + ) + ) + + (junction (at 33.02 180.975) (diameter 1.016) (color 0 0 0 0)) + (junction (at 78.74 200.025) (diameter 1.016) (color 0 0 0 0)) + (junction (at 95.25 200.025) (diameter 1.016) (color 0 0 0 0)) + (junction (at 95.25 208.28) (diameter 1.016) (color 0 0 0 0)) + (junction (at 100.965 22.86) (diameter 1.016) (color 0 0 0 0)) + (junction (at 102.235 53.975) (diameter 1.016) (color 0 0 0 0)) + (junction (at 102.235 200.025) (diameter 1.016) (color 0 0 0 0)) + (junction (at 102.235 208.28) (diameter 1.016) (color 0 0 0 0)) + (junction (at 103.505 22.86) (diameter 1.016) (color 0 0 0 0)) + (junction (at 111.125 200.025) (diameter 1.016) (color 0 0 0 0)) + (junction (at 119.38 208.28) (diameter 1.016) (color 0 0 0 0)) + (junction (at 145.415 51.435) (diameter 1.016) (color 0 0 0 0)) + (junction (at 153.035 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 155.575 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 155.575 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 158.115 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 158.115 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 160.655 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 163.195 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 163.195 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 165.735 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 165.735 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 168.275 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 168.275 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 170.815 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 170.815 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 173.355 23.495) (diameter 1.016) (color 0 0 0 0)) + (junction (at 173.355 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 175.895 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 178.435 155.575) (diameter 1.016) (color 0 0 0 0)) + (junction (at 190.5 29.845) (diameter 1.016) (color 0 0 0 0)) + (junction (at 192.405 29.845) (diameter 1.016) (color 0 0 0 0)) + (junction (at 213.995 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 213.995 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 215.9 43.18) (diameter 1.016) (color 0 0 0 0)) + (junction (at 217.805 167.64) (diameter 1.016) (color 0 0 0 0)) + (junction (at 219.71 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 219.71 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 223.52 158.75) (diameter 1.016) (color 0 0 0 0)) + (junction (at 223.52 170.18) (diameter 1.016) (color 0 0 0 0)) + (junction (at 225.425 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 225.425 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 98.425) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 102.87) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 107.315) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 111.76) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 116.205) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 120.65) (diameter 1.016) (color 0 0 0 0)) + (junction (at 228.6 125.095) (diameter 1.016) (color 0 0 0 0)) + (junction (at 229.87 30.48) (diameter 1.016) (color 0 0 0 0)) + (junction (at 231.14 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 231.14 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 231.14 158.75) (diameter 1.016) (color 0 0 0 0)) + (junction (at 231.775 30.48) (diameter 1.016) (color 0 0 0 0)) + (junction (at 236.855 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 236.855 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 238.76 30.48) (diameter 1.016) (color 0 0 0 0)) + (junction (at 238.76 38.735) (diameter 1.016) (color 0 0 0 0)) + (junction (at 240.665 93.98) (diameter 1.016) (color 0 0 0 0)) + (junction (at 242.57 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 242.57 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 244.475 158.75) (diameter 1.016) (color 0 0 0 0)) + (junction (at 244.475 167.64) (diameter 1.016) (color 0 0 0 0)) + (junction (at 248.285 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 248.285 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 248.92 38.735) (diameter 1.016) (color 0 0 0 0)) + (junction (at 250.19 158.75) (diameter 1.016) (color 0 0 0 0)) + (junction (at 250.19 170.18) (diameter 1.016) (color 0 0 0 0)) + (junction (at 254 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 254 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 259.715 30.48) (diameter 1.016) (color 0 0 0 0)) + (junction (at 259.715 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 259.715 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 265.43 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 265.43 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 271.145 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 271.145 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 273.05 38.735) (diameter 1.016) (color 0 0 0 0)) + (junction (at 278.765 103.505) (diameter 1.016) (color 0 0 0 0)) + (junction (at 283.845 30.48) (diameter 1.016) (color 0 0 0 0)) + (junction (at 283.845 167.005) (diameter 1.016) (color 0 0 0 0)) + (junction (at 284.48 94.615) (diameter 1.016) (color 0 0 0 0)) + (junction (at 284.48 114.935) (diameter 1.016) (color 0 0 0 0)) + (junction (at 288.925 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 288.925 59.69) (diameter 1.016) (color 0 0 0 0)) + (junction (at 288.925 68.58) (diameter 1.016) (color 0 0 0 0)) + (junction (at 288.925 76.2) (diameter 1.016) (color 0 0 0 0)) + (junction (at 297.815 52.07) (diameter 1.016) (color 0 0 0 0)) + (junction (at 299.72 26.67) (diameter 1.016) (color 0 0 0 0)) + (junction (at 15.875 102.235) (diameter 1.016) (color 0 0 0 0)) + (junction (at 67.31 17.145) (diameter 1.016) (color 0 0 0 0)) + + (no_connect (at 58.42 63.5) (uuid 42684a72-97bd-4a0d-a842-ce95dfe4a7f3)) + (no_connect (at 58.42 91.44) (uuid 504f67fd-8117-4667-b366-3643370e3d70)) + (no_connect (at 145.415 57.785) (uuid 17c39eff-ca90-4243-a771-b6d9f2aac557)) + (no_connect (at 186.055 43.815) (uuid 3b330ea2-1811-43a5-8046-b6df5c025506)) + (no_connect (at 223.52 33.02) (uuid a0bd4e44-d3ad-4e95-bef9-71ef697f5d4b)) + (no_connect (at 223.52 35.56) (uuid b9c047c0-1f79-48d1-9074-d4c8ade61e6b)) + (no_connect (at 223.52 38.1) (uuid 0212b64b-3698-4ec3-a8f8-66c0421c3509)) + + (bus_entry (at 15.875 27.94) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 7e74a6e6-0558-44fb-97d7-de399972cb84) + ) + (bus_entry (at 15.875 30.48) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4c8855a6-fe7f-4bfc-9eb2-8bcd0fd416eb) + ) + (bus_entry (at 15.875 33.02) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a2905603-7ee4-4c95-b773-1dc9ecd0681d) + ) + (bus_entry (at 15.875 35.56) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid eaaee745-6401-4020-b377-4f935836499d) + ) + (bus_entry (at 15.875 38.1) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9fe82ce0-aa60-464e-ae6e-115981d96158) + ) + (bus_entry (at 15.875 40.64) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1aac78f6-a56e-4c39-8379-7dc9f6e21706) + ) + (bus_entry (at 15.875 43.18) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1b8204b9-1b10-4b57-a7ad-11df01a7bb15) + ) + (bus_entry (at 15.875 45.72) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8413197f-8fd6-4250-93cc-855c74617549) + ) + (bus_entry (at 15.875 48.26) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 131823ce-dccd-4c74-a0d7-623dd9f2feb0) + ) + (bus_entry (at 15.875 50.8) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d43bec7c-b704-42a3-a4a5-f9cf12cff26d) + ) + (bus_entry (at 15.875 53.34) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e91ac99d-db4c-4512-9b55-21436a067d18) + ) + (bus_entry (at 15.875 55.88) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e9277190-a95b-4745-b61a-b04fbff420c6) + ) + (bus_entry (at 15.875 58.42) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid beff7c78-0e2f-4c84-8ec8-627ac5d6be3c) + ) + (bus_entry (at 15.875 60.96) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d9a2527a-dbac-4cfe-9a93-54a5293e76bf) + ) + (bus_entry (at 15.875 63.5) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d0dfd9b0-49f4-4f0e-a649-7f816b5886f4) + ) + (bus_entry (at 15.875 66.04) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2740226d-36f1-4a20-b391-4a63902a79b5) + ) + (bus_entry (at 15.875 110.49) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid aff8b5bd-b5ea-4bab-a654-fbccc266aac6) + ) + (bus_entry (at 15.875 113.03) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 33450fe2-ff8c-4ba9-befd-a9fa3d22f133) + ) + (bus_entry (at 15.875 115.57) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 449592a2-ea4e-4e6e-bb94-64f4fc69db6a) + ) + (bus_entry (at 15.875 118.11) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid b7b6ec85-e85d-4c46-a7d8-0d1d54d2efec) + ) + (bus_entry (at 15.875 120.65) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 7aaf17e7-6b0c-4abd-b58a-23d3a69c4cec) + ) + (bus_entry (at 15.875 123.19) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 235e9c4e-2044-4f66-94f2-3e92b07de9a9) + ) + (bus_entry (at 15.875 125.73) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid dbd0b204-eac4-4bde-8d52-bdd2d8307ccb) + ) + (bus_entry (at 15.875 128.27) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9b981da1-9b13-4313-81c1-78a2fad9d633) + ) + (bus_entry (at 15.875 130.81) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 67aa2b5b-6dac-49a3-a31b-e244b922db06) + ) + (bus_entry (at 15.875 133.35) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2cc4fe0a-29f3-4486-bd4a-a1430a3c14f9) + ) + (bus_entry (at 15.875 135.89) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 53263140-d3a2-42a9-a076-bd1c8ff0c702) + ) + (bus_entry (at 15.875 138.43) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ab1dfe6a-815a-4d4b-8615-e1ab92d04b97) + ) + (bus_entry (at 15.875 140.97) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid b3f6e9e6-3493-4a17-9555-8cdc782ad061) + ) + (bus_entry (at 15.875 143.51) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a78c9a7e-bd28-4acf-bf1b-6b75e02790ac) + ) + (bus_entry (at 15.875 146.05) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 32b88c36-9688-43ba-be2c-bf4ad4c1fd97) + ) + (bus_entry (at 15.875 148.59) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2d5e739b-21c0-45fc-98fb-275413fcae13) + ) + (bus_entry (at 15.875 151.13) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 43e0f0c6-e830-42e4-bc08-34dd45ff9d70) + ) + (bus_entry (at 15.875 153.67) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid b3bccff0-5b3f-4c0b-a144-aa47163da782) + ) + (bus_entry (at 15.875 156.21) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 646a4860-78f0-4969-b7e7-1b6772a70230) + ) + (bus_entry (at 16.51 189.23) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 264ac1d7-7c21-41d9-95e1-4e86168472a6) + ) + (bus_entry (at 16.51 191.77) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8ce483f9-62b0-4ac5-9ca7-5e09a72fd7eb) + ) + (bus_entry (at 16.51 196.85) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 6a1f7ce1-d39a-4428-9b33-5945a24d2243) + ) + (bus_entry (at 16.51 201.93) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 00e7ae7d-dce1-40d9-8175-2fcb79d386ef) + ) + (bus_entry (at 18.415 73.66) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 98b32d84-7924-4322-b0ec-acf6158c1427) + ) + (bus_entry (at 18.415 76.2) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 002cbd4a-e795-4adf-9fb8-d011b4ff2567) + ) + (bus_entry (at 18.415 78.74) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 915820be-0df0-436d-bb2e-5cc41de53049) + ) + (bus_entry (at 18.415 81.28) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c264a29d-85ff-4b24-94d0-ec730ec4da2f) + ) + (bus_entry (at 18.415 83.82) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 0b8df23a-ef83-4a6b-8d00-69acfc04db85) + ) + (bus_entry (at 18.415 86.36) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 92e25c3d-62eb-4e12-bea2-caa44423f1b8) + ) + (bus_entry (at 18.415 88.9) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ba597a86-bd79-4aec-bbef-7ffab1e20160) + ) + (bus_entry (at 18.415 91.44) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 70b2193e-898e-4fdb-b1b6-defbe06d2b00) + ) + (bus_entry (at 62.865 113.03) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 77e5507b-3a8a-4fe8-b5ed-b583d015ed3d) + ) + (bus_entry (at 62.865 115.57) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1e553566-962c-41a4-9510-75fe52e5c7f5) + ) + (bus_entry (at 62.865 118.11) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ffea41d5-a950-4f46-acd8-ca354ca40ee9) + ) + (bus_entry (at 62.865 120.65) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid fcd684a3-0630-4d94-8a64-b119acf990af) + ) + (bus_entry (at 62.865 123.19) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid dc769158-1ec9-4966-b1cd-e4357003ece4) + ) + (bus_entry (at 62.865 125.73) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4ae90846-d240-4e44-b5da-a3b92c7788fc) + ) + (bus_entry (at 62.865 128.27) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8bccf898-2fcb-446f-a84f-17a063f73066) + ) + (bus_entry (at 62.865 130.81) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c1787ddc-9ca7-4d7f-a85a-1118c878a956) + ) + (bus_entry (at 64.77 30.48) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1b484d2f-a666-41c7-a368-bd993f77758a) + ) + (bus_entry (at 64.77 38.1) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid cd11b439-b7ea-485c-b01d-9e84d382ffd3) + ) + (bus_entry (at 64.77 45.72) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2433b761-c365-4ea5-b58b-27c4ae59f07a) + ) + (bus_entry (at 64.77 48.26) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1fe88f30-251e-4c2f-9a36-75b2962dbaa2) + ) + (bus_entry (at 65.405 143.51) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 43ffb349-35d4-423b-a270-a8ebad4f5889) + ) + (bus_entry (at 65.405 146.05) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f690e363-6eb4-4f47-b772-a8a58fe2092a) + ) + (bus_entry (at 66.675 204.47) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a6885a87-e3bb-4d3a-ad7a-af6b201195f6) + ) + (bus_entry (at 67.31 58.42) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9adac34d-f098-4953-9066-20153eb0cc91) + ) + (bus_entry (at 67.31 60.96) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2fef1442-a601-487c-b0c2-1090f4dfa57f) + ) + (bus_entry (at 67.31 76.2) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 60627357-316e-4c86-9ac7-e37d0f075282) + ) + (bus_entry (at 67.31 78.74) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 023ae192-b7eb-49a1-9150-caf6fe0acc8b) + ) + (bus_entry (at 67.31 81.28) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid b6509da8-fa09-4100-8faf-4df358a6736b) + ) + (bus_entry (at 67.31 83.82) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f120f34d-7956-4d46-850b-907029b05310) + ) + (bus_entry (at 69.215 179.705) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4cd1d23e-e8a6-459b-9af0-949c1c1a40e8) + ) + (bus_entry (at 69.215 197.485) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ce72365a-e0e0-435b-b90e-5014659a7a03) + ) + (bus_entry (at 69.85 43.18) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4ab27ebf-b822-43ab-88f2-68e99997562b) + ) + (bus_entry (at 84.455 31.75) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c13ef49b-f8bc-4fe0-bebf-d3f1b5687fe5) + ) + (bus_entry (at 84.455 34.29) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 98e50d8e-8edc-46d1-a68a-576628af6b9f) + ) + (bus_entry (at 91.44 82.55) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4d66f056-605a-48bf-b033-324db2e54633) + ) + (bus_entry (at 91.44 85.09) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a94ecee9-57b4-4a7f-bea9-6f16d2245cd6) + ) + (bus_entry (at 91.44 87.63) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid da3aacfb-daa0-40c7-baec-475cca1882ad) + ) + (bus_entry (at 91.44 90.17) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 383e5906-e645-4000-906d-f18d64e3b424) + ) + (bus_entry (at 91.44 98.425) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c0241089-6738-4e6c-b1fb-ac1676ae79c3) + ) + (bus_entry (at 91.44 100.965) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 277861ed-8197-4c02-aafd-c0d01da9ec4f) + ) + (bus_entry (at 91.44 103.505) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c467f539-dab1-4f0e-b683-0cd807a838d2) + ) + (bus_entry (at 91.44 106.045) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 35f53327-9007-4804-997f-f9becbb69947) + ) + (bus_entry (at 91.44 114.3) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3b70bf91-370a-4bf7-a7d1-c240f4c0f0b3) + ) + (bus_entry (at 91.44 116.84) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid edc6e630-b7e9-4ea8-ada2-e2ab3e1b9179) + ) + (bus_entry (at 91.44 119.38) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8970c73e-6294-4b59-9250-f7976485e6ff) + ) + (bus_entry (at 91.44 121.92) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 0776fa21-d776-46c2-9c94-b5f280c3c828) + ) + (bus_entry (at 91.44 146.05) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3a4c811f-6959-45b4-b7d8-a9c63e6db22e) + ) + (bus_entry (at 91.44 148.59) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 91c46c24-6530-45c7-a591-1f0a644eb465) + ) + (bus_entry (at 93.98 132.715) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2aeb69ca-f0ef-47f9-a34d-900116c6bdc7) + ) + (bus_entry (at 93.98 135.255) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 08319790-de41-466b-b654-450a1cf83cc6) + ) + (bus_entry (at 93.98 137.795) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 5de48b32-ed2b-4a3d-8d06-a5992a1c3f94) + ) + (bus_entry (at 93.98 140.335) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 367b280e-ac29-41ed-bca5-eab1ec5df2ba) + ) + (bus_entry (at 93.98 153.67) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 70dc0eac-ad0f-4227-afa0-6c45df8890ab) + ) + (bus_entry (at 93.98 156.21) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a7748d32-4230-4e07-855b-7b37c2e1a353) + ) + (bus_entry (at 93.98 161.925) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 321fdcac-5a0e-40e9-8c1a-9aa959cad2ba) + ) + (bus_entry (at 114.3 61.595) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 86c26f58-c2d9-440c-8035-a31a54dcf2e0) + ) + (bus_entry (at 114.3 85.09) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid efad3390-b283-4be7-975a-f93303263a26) + ) + (bus_entry (at 114.3 87.63) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid be7a8c8d-f54c-4ae1-b63f-c211babafb4d) + ) + (bus_entry (at 114.3 90.17) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d6fb240d-74ec-4f25-b337-95f04d96fb9e) + ) + (bus_entry (at 114.3 92.71) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 94fd0481-f4e7-41da-91a6-44eeceb026c5) + ) + (bus_entry (at 114.3 116.84) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 7a131e3c-2795-4e95-adad-080ac140911c) + ) + (bus_entry (at 114.3 119.38) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 613faa8b-6974-4955-9e02-d4ce7bd89047) + ) + (bus_entry (at 114.3 121.92) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid afb24ec6-e6be-4362-b749-ae3a5347810d) + ) + (bus_entry (at 114.3 124.46) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 591d23cb-8352-4efd-ac8a-e28a3abac055) + ) + (bus_entry (at 116.84 103.505) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 033e02c7-1863-45d1-be62-e7648b1a4ad7) + ) + (bus_entry (at 116.84 106.045) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f2298b28-b536-4185-917f-df5a4a668bfe) + ) + (bus_entry (at 116.84 108.585) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a4bd7f39-2997-44ab-9cd5-a49df8a645a3) + ) + (bus_entry (at 116.84 111.125) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 322a2aa1-d990-4f79-b105-d04fa4a80f36) + ) + (bus_entry (at 116.84 135.255) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid b5c800e2-460b-423b-a387-e4847c769f01) + ) + (bus_entry (at 116.84 137.795) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c761b9b7-9efc-437c-a12d-782bf5f8de88) + ) + (bus_entry (at 116.84 140.335) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c917bb6d-a7a5-4dd3-91c4-0ee3a095047a) + ) + (bus_entry (at 116.84 142.875) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 6381835d-463b-4707-9830-566f5e8b9eba) + ) + (bus_entry (at 116.84 151.13) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3b352198-5d24-4836-be82-2c2abb1ed4b4) + ) + (bus_entry (at 116.84 153.67) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a1bb345d-3289-4440-8683-2d21b440beeb) + ) + (bus_entry (at 116.84 156.21) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9a1c300b-b72c-4d18-a348-b7460e68015a) + ) + (bus_entry (at 116.84 158.75) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f7449eb8-a362-4c71-86ec-c84c178c7c71) + ) + (bus_entry (at 116.84 164.465) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 04f822c2-fdf5-4e0a-afc2-666f7c476e6b) + ) + (bus_entry (at 122.555 31.75) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 458a2995-2153-42df-9f0d-fa1d5f80d2d1) + ) + (bus_entry (at 122.555 34.29) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c33c8e1f-d1a9-4c13-9516-f338d9560e31) + ) + (bus_entry (at 126.365 182.245) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 749eeb31-bef4-46df-a51a-0f3577f75861) + ) + (bus_entry (at 126.365 189.865) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2857b94c-a385-41de-968d-c3d2361ef973) + ) + (bus_entry (at 131.445 182.245) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 988fecf5-5d8e-409a-9a28-4b5e47be81a8) + ) + (bus_entry (at 131.445 184.785) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c4e71990-c6cf-4d64-99cd-490d56c067ff) + ) + (bus_entry (at 131.445 187.325) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid da0cc0b3-0e45-4345-bdb4-2305c2f69d02) + ) + (bus_entry (at 131.445 192.405) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e68b9b2b-5cfe-4f8e-9472-a8e6c0851863) + ) + (bus_entry (at 132.715 36.195) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 830704b6-25df-445e-a88e-53d0adaf9945) + ) + (bus_entry (at 132.715 38.735) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d436f669-58b9-427e-bbcd-85e741c11d17) + ) + (bus_entry (at 132.715 41.275) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4ece84ff-e5f8-4394-9db7-85d7d5cac2ec) + ) + (bus_entry (at 132.715 43.815) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 6f1cd68c-d1bd-4f3c-92d0-6ab4eb778d38) + ) + (bus_entry (at 132.715 62.865) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e974816d-aa33-4460-a20e-94e21b1dcd31) + ) + (bus_entry (at 132.715 65.405) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 073d81e6-fed7-47f5-baa3-cc1dc8ae8994) + ) + (bus_entry (at 132.715 67.945) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4d93346c-dc72-4dad-b03c-c5926bc34942) + ) + (bus_entry (at 132.715 70.485) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 32af12ea-bbd9-4923-be7a-a45ae166815c) + ) + (bus_entry (at 132.715 73.025) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 76632a6c-327a-436e-ba85-8378753d50a2) + ) + (bus_entry (at 132.715 75.565) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 0aa7b2b2-0243-4ba0-afb6-1f0b988ba1b7) + ) + (bus_entry (at 132.715 78.105) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9d114b6e-57b4-4249-9bcb-3481227eaaf4) + ) + (bus_entry (at 132.715 80.645) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid a56983aa-508b-495d-9f5e-3265b35672f7) + ) + (bus_entry (at 132.715 83.185) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ce521780-2216-4a45-a3a1-844d0384ef0f) + ) + (bus_entry (at 132.715 90.805) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 982121a9-4fbf-4c29-bd0d-f556a513a68c) + ) + (bus_entry (at 132.715 93.345) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3ed29871-54ed-4d26-9ede-7f5017c753cb) + ) + (bus_entry (at 132.715 95.885) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ecf0fb49-43a3-4e75-8aec-585bcc34268a) + ) + (bus_entry (at 132.715 98.425) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1b12b970-ae1c-4b4f-bc5d-78e910da2430) + ) + (bus_entry (at 132.715 100.965) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1af8b93e-dcdc-4aa4-841c-512aa827586f) + ) + (bus_entry (at 132.715 103.505) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c62c618f-9ff6-49f3-abaa-c8b5f111716e) + ) + (bus_entry (at 132.715 106.045) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 1667fb90-c89c-48ff-a9f7-c1d8d061aea3) + ) + (bus_entry (at 132.715 108.585) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8b718bbe-a729-43cd-9ab1-ac386bd2568d) + ) + (bus_entry (at 132.715 118.745) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid b79010c3-89d9-4b33-9692-5e1c433655bd) + ) + (bus_entry (at 132.715 121.285) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 22505771-aa93-4bde-8ca1-4a854641052a) + ) + (bus_entry (at 132.715 126.365) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 0c892963-56ca-4832-babd-323e4d3a6082) + ) + (bus_entry (at 132.715 131.445) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9d43c850-e5a4-4347-871c-b8abf3ad449a) + ) + (bus_entry (at 135.255 55.245) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 459199b2-e00c-4e95-bc58-bd59a484e081) + ) + (bus_entry (at 135.255 113.665) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8058c2d7-47d6-46ca-9075-2a791e466a94) + ) + (bus_entry (at 135.255 116.205) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 19844498-aa3f-46a3-865e-c11f47a849e7) + ) + (bus_entry (at 135.255 118.745) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 39ac5f54-2e90-4024-af9e-40b38d67443a) + ) + (bus_entry (at 135.255 126.365) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8fb84f7c-0543-4349-bfa2-ee13a82468da) + ) + (bus_entry (at 135.255 131.445) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4852091a-cadb-42a9-9fce-4aae68a5fc87) + ) + (bus_entry (at 135.255 136.525) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 53003a66-6e14-4cce-9c18-13807a7ddd2d) + ) + (bus_entry (at 135.255 139.065) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 6ff24400-7001-4370-a92e-3685f856717e) + ) + (bus_entry (at 196.215 48.895) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ed2e668d-a474-4fed-b324-968f558ffa42) + ) + (bus_entry (at 196.215 51.435) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3e4ef1ed-8efd-41d7-ab24-8a85f2885865) + ) + (bus_entry (at 196.215 57.785) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 05363a31-9964-45fd-8915-66194ae705bf) + ) + (bus_entry (at 196.215 60.325) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e3da3ed2-8e69-4d1d-8f1b-1add7164868b) + ) + (bus_entry (at 196.215 62.865) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 9f9dbeed-b7b8-4b98-9ed5-701dd18e597a) + ) + (bus_entry (at 196.215 78.105) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 0156d77c-3a37-47fb-9482-ae92843ebc3c) + ) + (bus_entry (at 196.215 80.645) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4aaea1e0-207c-4a20-95ad-7e90e963dd31) + ) + (bus_entry (at 196.215 83.185) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 5b950c4c-106b-47f2-b358-76a702e928e8) + ) + (bus_entry (at 196.215 85.725) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 85e152f3-40a1-417b-a887-e7e6f8364702) + ) + (bus_entry (at 196.215 88.265) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2342580c-66b2-48e8-bc28-2f3a88a5a05c) + ) + (bus_entry (at 196.215 90.805) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e317fdde-3a83-4e9d-81ac-053b705bedbc) + ) + (bus_entry (at 196.215 93.345) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid da393579-fa47-45a0-8c45-418b6d1d114e) + ) + (bus_entry (at 196.215 118.745) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4cd422f4-745f-4f41-995c-8f123c26d2dd) + ) + (bus_entry (at 196.215 121.285) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 36840f39-b184-4453-91f8-8cd9e98e5bab) + ) + (bus_entry (at 196.215 123.825) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 7760d7d4-755d-45a9-af3c-7f8ff3eded2f) + ) + (bus_entry (at 196.215 126.365) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 17c05bf3-5079-45fd-bc6e-f5ecd921c08a) + ) + (bus_entry (at 196.215 128.905) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3bad5314-59b0-4d87-aeac-cdaa6c80e00c) + ) + (bus_entry (at 196.215 131.445) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 746ce36a-43b1-4f89-9478-1806a22f9678) + ) + (bus_entry (at 196.215 139.065) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid cc6313a8-d670-4880-90bc-b39ecb7d6309) + ) + (bus_entry (at 198.755 67.945) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 597cc99a-c083-4dc4-8f08-e3388af9a37e) + ) + (bus_entry (at 198.755 70.485) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 535ebb19-bde9-4471-9d6d-760b7bbbc7c0) + ) + (bus_entry (at 198.755 73.025) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f3392ce6-357f-4e7d-b566-53f8c1b8f2c1) + ) + (bus_entry (at 198.755 75.565) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 72ce7425-5c44-46cc-ae93-0c8d56e68e7c) + ) + (bus_entry (at 198.755 78.105) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3c487aa2-e6e5-478f-911a-708fa428f745) + ) + (bus_entry (at 198.755 98.425) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3a6c26a3-b9b2-4339-82a5-e623d32e52c1) + ) + (bus_entry (at 198.755 100.965) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ac15c85f-56c2-44c3-ba5d-23e17af85288) + ) + (bus_entry (at 198.755 103.505) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 5a8d7685-664b-4167-9319-b8d14ba8ce43) + ) + (bus_entry (at 198.755 106.045) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 206771d3-3dfd-4190-959c-64d06029fde5) + ) + (bus_entry (at 198.755 108.585) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 6419fec6-6b41-4653-8b2b-5257e8737a74) + ) + (bus_entry (at 198.755 111.125) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e2d68ecf-ab42-420d-ba57-9b7d4ad9eace) + ) + (bus_entry (at 198.755 113.665) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 34a502e7-d12a-49d4-a6d6-647214285afe) + ) + (bus_entry (at 198.755 116.205) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid fbf58b9e-f47d-4b26-b2e6-64677411b0a0) + ) + (bus_entry (at 198.755 118.745) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 86879127-c466-4b2a-8b17-766aefcb8473) + ) + (bus_entry (at 198.755 136.525) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 276cdffe-38b9-457e-b197-3ab61f58eebe) + ) + (bus_entry (at 198.755 139.065) (size -2.54 -2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid fb878f51-223f-47dc-9b54-cc3fcba91cad) + ) + (bus_entry (at 205.105 165.1) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid e353c94a-f8e8-4940-96dd-d7dbd0819a67) + ) + (bus_entry (at 205.105 167.64) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 7c4e2fb4-369c-4ebc-830d-8689bc1d58af) + ) + (bus_entry (at 208.28 91.44) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 37de5c48-b1be-4420-99f2-3fde86fed5b2) + ) + (bus_entry (at 208.28 95.885) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 0ad6393a-4bc3-4305-bac7-fbe826f6e56a) + ) + (bus_entry (at 208.28 100.33) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid ef64bf92-4371-4f91-954f-6c85ab3f6acd) + ) + (bus_entry (at 208.28 104.775) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid c1cc0739-9303-41d7-b629-8fd3bd64f4a1) + ) + (bus_entry (at 208.28 109.22) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid eee46a89-5ad5-44f3-8eea-526064f66381) + ) + (bus_entry (at 208.28 113.665) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 33f78a29-c255-465a-9d3c-d96c7d0cdd61) + ) + (bus_entry (at 208.28 118.11) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f098338d-08e7-4076-8dc2-065579a068df) + ) + (bus_entry (at 208.28 122.555) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 2cd1a950-4701-401b-8eee-be914345327b) + ) + (bus_entry (at 245.11 93.98) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid af85aaf7-0402-4f7f-999d-b1fe3dbcff9e) + ) + (bus_entry (at 257.81 92.075) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f8712622-2ea0-49c7-b20f-02f616e2122a) + ) + (bus_entry (at 257.81 100.965) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 3b6b0423-c0ab-43ff-9be2-4be597990110) + ) + (bus_entry (at 271.78 144.78) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid aa3c178a-5dfc-4cc6-9380-ba867999b938) + ) + (bus_entry (at 271.78 149.86) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d5a830cf-37df-4a47-af89-a9d316c2b6c2) + ) + (bus_entry (at 271.78 152.4) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 4eedafdd-14a5-459b-b7b4-4fb26a159c07) + ) + (bus_entry (at 271.78 167.005) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 7508407c-a351-4e1f-8fe1-fa36ce5483a8) + ) + (bus_entry (at 271.78 169.545) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid 8c7cca3d-254b-4157-9c3e-127575d6fdaf) + ) + (bus_entry (at 300.99 94.615) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid f98cb7d9-f801-4743-a35f-f3d11b177631) + ) + (bus_entry (at 300.99 103.505) (size 2.54 2.54) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (uuid d5309043-d907-4841-a91b-06526d647f46) + ) + + (wire (pts (xy 18.415 30.48) (xy 22.86 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c650a2b2-eae8-485b-a45e-14fb05acd384) + ) + (wire (pts (xy 18.415 35.56) (xy 22.86 35.56)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 98160921-cd25-4361-8abf-9d182cf6f346) + ) + (wire (pts (xy 18.415 40.64) (xy 22.86 40.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 87a08d2a-f8ab-4975-b2f5-694d21b38e47) + ) + (wire (pts (xy 18.415 45.72) (xy 22.86 45.72)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0b0bf9e9-8924-4097-ab33-6cff5877a2d7) + ) + (wire (pts (xy 18.415 48.26) (xy 22.86 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5cc03043-8de0-40fa-9466-0a65a466894e) + ) + (wire (pts (xy 18.415 50.8) (xy 22.86 50.8)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 34da007f-0f72-411a-88fa-1aea67405dbc) + ) + (wire (pts (xy 18.415 55.88) (xy 22.86 55.88)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 64a6e711-bed6-4fea-a773-6b7bf82de9e4) + ) + (wire (pts (xy 18.415 60.96) (xy 22.86 60.96)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0b9d285e-9e56-400e-bb5f-28c517d9b6cb) + ) + (wire (pts (xy 18.415 66.04) (xy 22.86 66.04)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 43769126-8521-4250-b9ed-3ae53f4da5a4) + ) + (wire (pts (xy 18.415 73.66) (xy 22.86 73.66)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f1459a22-b57d-45a2-8f8e-b3ac4438f0dd) + ) + (wire (pts (xy 18.415 76.2) (xy 22.86 76.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1a60c383-1866-4c3e-a760-71b2cda0eeec) + ) + (wire (pts (xy 18.415 81.28) (xy 22.86 81.28)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f63fea48-c873-4e7d-bfa1-00a5f5e58743) + ) + (wire (pts (xy 18.415 86.36) (xy 22.86 86.36)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 372591f0-514e-4aaf-915f-f9f96e9f3e72) + ) + (wire (pts (xy 18.415 88.9) (xy 22.86 88.9)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 48c7fe27-960d-409e-bf32-28eb9e356d3a) + ) + (wire (pts (xy 18.415 113.03) (xy 25.4 113.03)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5f932546-0db6-42ce-a7f3-81a7acf490f6) + ) + (wire (pts (xy 18.415 118.11) (xy 25.4 118.11)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 42d13096-a5a0-4b03-b0d2-16cf8a535ebe) + ) + (wire (pts (xy 18.415 123.19) (xy 25.4 123.19)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1e33e7b3-cc87-4ab2-a653-f7fda88d9dda) + ) + (wire (pts (xy 18.415 128.27) (xy 25.4 128.27)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1dd69440-b781-4adc-9df0-4b5f33845090) + ) + (wire (pts (xy 18.415 130.81) (xy 25.4 130.81)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 126aa9da-b0c3-436c-9f66-5a75a493e4e8) + ) + (wire (pts (xy 18.415 133.35) (xy 25.4 133.35)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0dc46511-5b9d-4420-a8ca-0c0f25806a85) + ) + (wire (pts (xy 18.415 138.43) (xy 25.4 138.43)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 07b2c2dc-6c37-4ee1-8ea6-79f7c0c75ad0) + ) + (wire (pts (xy 18.415 143.51) (xy 25.4 143.51)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d27e3e75-439d-4218-8675-5f7755468371) + ) + (wire (pts (xy 18.415 153.67) (xy 25.4 153.67)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a46bd76b-1b08-455d-a361-fde64150ca28) + ) + (wire (pts (xy 18.415 156.21) (xy 25.4 156.21)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 70a39e80-39aa-4fec-a144-e30a1a6b9999) + ) + (wire (pts (xy 18.415 158.75) (xy 25.4 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 91d33648-3f92-492c-9ac1-de9f1ab78a5c) + ) + (wire (pts (xy 19.05 191.77) (xy 36.83 191.77)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f8fd18d6-835f-477a-b222-1fa88c5f4aab) + ) + (wire (pts (xy 19.05 194.31) (xy 36.83 194.31)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e79184bb-11d1-415b-bf67-b3831e9d6b34) + ) + (wire (pts (xy 19.05 199.39) (xy 36.83 199.39)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8f52c22b-d9d4-4c5e-a328-01b11902daca) + ) + (wire (pts (xy 19.05 204.47) (xy 36.83 204.47)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6550c722-7988-4c21-b140-689e82d8122a) + ) + (wire (pts (xy 22.86 33.02) (xy 18.415 33.02)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 033ce6e6-565e-456b-9579-fcacb3ce7e16) + ) + (wire (pts (xy 22.86 38.1) (xy 18.415 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ab02ebf5-2937-43b0-a6b5-164149e22dbd) + ) + (wire (pts (xy 22.86 43.18) (xy 18.415 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 60c499d8-b4e3-41ec-9d19-407357ca8f10) + ) + (wire (pts (xy 22.86 53.34) (xy 18.415 53.34)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dd0d31e4-91c8-4a4c-a342-12e4b0ce42b7) + ) + (wire (pts (xy 22.86 58.42) (xy 18.415 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2ca397c8-54fd-4489-80e1-93e26ca8cc4f) + ) + (wire (pts (xy 22.86 63.5) (xy 18.415 63.5)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1445d76a-9754-42ff-a528-f15162e2f502) + ) + (wire (pts (xy 22.86 68.58) (xy 18.415 68.58)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3ddfd024-4274-4a1d-a4f7-742d5d9e35f5) + ) + (wire (pts (xy 22.86 78.74) (xy 18.415 78.74)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 91f4f5ea-af52-498d-83dc-9ba596dae953) + ) + (wire (pts (xy 22.86 83.82) (xy 18.415 83.82)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e6cfde9a-9cd6-4be7-b39b-6e506d2947ef) + ) + (wire (pts (xy 22.86 91.44) (xy 18.415 91.44)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f34a3065-76e6-4c9a-a996-121f840f0c5e) + ) + (wire (pts (xy 25.4 115.57) (xy 18.415 115.57)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f3084203-a6d3-435a-9327-311bdb50b702) + ) + (wire (pts (xy 25.4 120.65) (xy 18.415 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e902c172-d2c2-4c4e-a73a-6364e5ce5012) + ) + (wire (pts (xy 25.4 125.73) (xy 18.415 125.73)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4f4923cf-ced8-48d9-a80b-979d13551a06) + ) + (wire (pts (xy 25.4 135.89) (xy 18.415 135.89)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1bfe1c6a-08a8-4a3b-a142-73fc3cd4f43a) + ) + (wire (pts (xy 25.4 140.97) (xy 18.415 140.97)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5682edbd-9bec-4b95-b8c6-444ef125e8df) + ) + (wire (pts (xy 25.4 146.05) (xy 18.415 146.05)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 34283959-cfb4-4854-9620-a3a6c7176a10) + ) + (wire (pts (xy 25.4 148.59) (xy 18.415 148.59)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7f35a1f4-8f99-42e5-a569-c6c3753f895b) + ) + (wire (pts (xy 25.4 151.13) (xy 18.415 151.13)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5d9c6371-c1f0-46c2-b373-c397965374a0) + ) + (wire (pts (xy 32.385 196.85) (xy 36.83 196.85)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6e77c11a-8329-4b33-a349-42c24488860f) + ) + (wire (pts (xy 32.385 201.93) (xy 36.83 201.93)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 52fc87f8-0184-49b7-a8a6-f78926544380) + ) + (wire (pts (xy 33.02 188.595) (xy 33.02 207.01)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 55a29c72-5660-41bb-aecf-109920719388) + ) + (wire (pts (xy 33.02 207.01) (xy 36.83 207.01)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f031e907-a9ee-409c-8756-58cfc19644e3) + ) + (wire (pts (xy 36.195 180.975) (xy 33.02 180.975)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2eb6bc3b-eab2-48e5-a11a-2d493425c2ec) + ) + (wire (pts (xy 36.195 188.595) (xy 36.195 189.23)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8bf662f1-867e-4cca-9ca0-b83f028856d2) + ) + (wire (pts (xy 36.195 189.23) (xy 36.83 189.23)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 23a87540-73d3-4861-934c-7792ae56fc84) + ) + (wire (pts (xy 55.88 113.03) (xy 62.865 113.03)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a8c6f500-6ed3-44a5-9f7a-fa4367b54a76) + ) + (wire (pts (xy 55.88 120.65) (xy 62.865 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 778f8cb8-cefd-47f9-b171-73b4bbfa3d8c) + ) + (wire (pts (xy 55.88 125.73) (xy 62.865 125.73)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 94ead7e4-6b4b-475b-8b4d-51e3b0170516) + ) + (wire (pts (xy 58.42 30.48) (xy 64.77 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b02c7979-b597-4ede-8d4b-8b557dd15c31) + ) + (wire (pts (xy 58.42 45.72) (xy 64.77 45.72)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dec1d73a-6f1f-400c-91e9-f31b58236ced) + ) + (wire (pts (xy 58.42 55.88) (xy 64.77 55.88)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 98a8f1a4-e741-4ef8-b59f-dcdb8af5a98b) + ) + (wire (pts (xy 58.42 76.2) (xy 64.77 76.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e8a8f368-de02-4951-98b6-15747d70b236) + ) + (wire (pts (xy 58.42 81.28) (xy 64.77 81.28)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid eb38460f-5e32-4476-acdb-7d84063dbaff) + ) + (wire (pts (xy 62.865 115.57) (xy 55.88 115.57)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e14db914-b49a-41f8-b065-d49fca5f4ac0) + ) + (wire (pts (xy 62.865 118.11) (xy 55.88 118.11)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 90e489f2-a794-47a5-b22e-bbece4b14e6e) + ) + (wire (pts (xy 62.865 123.19) (xy 55.88 123.19)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 263124cf-be92-42ae-94f2-e297e14dd0ef) + ) + (wire (pts (xy 62.865 128.27) (xy 55.88 128.27)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 792aacd3-71f0-4e5d-9f08-ace79afe2632) + ) + (wire (pts (xy 62.865 130.81) (xy 55.88 130.81)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c3495dc8-93fa-4b4c-8dcb-36a03c53be1b) + ) + (wire (pts (xy 62.865 140.97) (xy 55.88 140.97)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 335a1b01-a374-4347-992a-b57e31cc338b) + ) + (wire (pts (xy 62.865 143.51) (xy 55.88 143.51)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 88113c87-bb98-4594-a14e-c73d7426c50e) + ) + (wire (pts (xy 64.135 201.93) (xy 59.69 201.93)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d1d90a40-3e9b-4d1c-bc71-1c3bcf4c4584) + ) + (wire (pts (xy 64.77 38.1) (xy 58.42 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b5715692-099b-4264-983e-b1d08435e5ae) + ) + (wire (pts (xy 64.77 48.26) (xy 58.42 48.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5ec4eb4b-a61d-429d-a7cc-4c6a2284dc31) + ) + (wire (pts (xy 64.77 58.42) (xy 58.42 58.42)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 82e94f20-f6d5-4aa9-8f91-09bf9fc8a7c5) + ) + (wire (pts (xy 64.77 73.66) (xy 58.42 73.66)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ecfed387-e2d5-4c8d-8535-682811d4c256) + ) + (wire (pts (xy 64.77 78.74) (xy 58.42 78.74)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid df3e5952-37c9-4bb6-baa8-a0dde312cda4) + ) + (wire (pts (xy 71.755 35.56) (xy 71.755 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e08a2f53-7c26-476e-9a27-d6a00e0db6c0) + ) + (wire (pts (xy 71.755 43.18) (xy 69.85 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bbab4c29-e178-40a7-baf9-49cf64ad6861) + ) + (wire (pts (xy 71.755 182.245) (xy 90.17 182.245)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2f9af833-9f6e-4b29-9f40-476faa42c937) + ) + (wire (pts (xy 71.755 200.025) (xy 78.74 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 67edbed7-ab43-4ee5-855f-4c15d0d0d71f) + ) + (wire (pts (xy 78.74 200.025) (xy 78.74 200.66)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 31eb5e62-038d-4d8b-8a60-a3ce2994ac4f) + ) + (wire (pts (xy 78.74 208.28) (xy 95.25 208.28)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6fc5f853-9b6b-44db-abca-a2cc7c9325b8) + ) + (wire (pts (xy 79.375 200.025) (xy 78.74 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ec408e23-32e3-48b1-b05f-eb7504c8803b) + ) + (wire (pts (xy 84.455 34.29) (xy 94.615 34.29)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 36d9fb57-93b0-43e6-81cd-61d439fedc62) + ) + (wire (pts (xy 93.98 85.09) (xy 99.06 85.09)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7ef57f58-3390-4454-9be8-8d946bf34b72) + ) + (wire (pts (xy 93.98 90.17) (xy 99.06 90.17)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0f14e614-d652-43af-b5d2-737e6cacaec9) + ) + (wire (pts (xy 93.98 103.505) (xy 99.06 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8aa0051e-8f24-4348-a02f-9486bc441887) + ) + (wire (pts (xy 93.98 106.045) (xy 99.06 106.045)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8a12e3e4-fd39-471e-bc83-9d18da7b22a9) + ) + (wire (pts (xy 93.98 108.585) (xy 99.06 108.585)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid aabfc008-eaf0-417c-8c83-3dbd4efa4de9) + ) + (wire (pts (xy 93.98 119.38) (xy 99.06 119.38)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 16f4f19e-4536-43b6-b51f-0c09fdf643e0) + ) + (wire (pts (xy 93.98 124.46) (xy 99.06 124.46)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ea92ad1e-9475-4fd6-ae9e-f773eb2423ee) + ) + (wire (pts (xy 93.98 132.715) (xy 99.06 132.715)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3af3b1e1-4aec-4fe3-9792-e8a270011fca) + ) + (wire (pts (xy 93.98 135.255) (xy 99.06 135.255)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7c0f84d8-0b1a-410a-bf2a-2e17371b9305) + ) + (wire (pts (xy 93.98 140.335) (xy 99.06 140.335)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid deae4eab-8797-4b9e-b3f6-6281c407693c) + ) + (wire (pts (xy 93.98 148.59) (xy 99.06 148.59)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 70d89db5-31b9-4733-a4c9-860fceedbeee) + ) + (wire (pts (xy 93.98 156.21) (xy 99.06 156.21)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ed3b108d-898d-40f4-aa2d-c5780bfdebe8) + ) + (wire (pts (xy 93.98 161.925) (xy 100.33 161.925)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5a4effe3-6a46-4348-9736-718b0d2b26fe) + ) + (wire (pts (xy 94.615 31.75) (xy 84.455 31.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 69805f12-ab67-416d-b668-0904686706eb) + ) + (wire (pts (xy 94.615 53.975) (xy 94.615 61.595)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d981f733-e8fb-4b79-b7cc-87f08d69e227) + ) + (wire (pts (xy 94.615 200.025) (xy 95.25 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cdf9cc2f-8cf7-41d7-99ea-67eb878437d9) + ) + (wire (pts (xy 95.25 200.025) (xy 95.25 200.66)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ce982027-48ad-4fd0-a88f-88015b38ccc9) + ) + (wire (pts (xy 95.25 200.025) (xy 102.235 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5b10a2e9-6753-459c-a006-4dc079256074) + ) + (wire (pts (xy 95.25 208.28) (xy 102.235 208.28)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f7e7af20-5599-4e20-bc71-2340caa68624) + ) + (wire (pts (xy 97.79 182.245) (xy 100.965 182.245)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 76126819-7334-4bfa-9478-fcad7a9f2345) + ) + (wire (pts (xy 99.06 87.63) (xy 93.98 87.63)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e4140cd6-e206-4897-bb85-ecf0ba1c1518) + ) + (wire (pts (xy 99.06 92.71) (xy 93.98 92.71)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 40b6fa07-3e6d-474f-b1a0-cc7a9b711061) + ) + (wire (pts (xy 99.06 100.965) (xy 93.98 100.965)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 240c2ed5-1c2d-43d6-bd6e-0a5ca086c2d2) + ) + (wire (pts (xy 99.06 116.84) (xy 93.98 116.84)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a8ac70c5-7e39-48e5-ac5d-b59c694189da) + ) + (wire (pts (xy 99.06 121.92) (xy 93.98 121.92)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 82fda7ea-876e-4efa-8a71-31e674ca9bfd) + ) + (wire (pts (xy 99.06 137.795) (xy 93.98 137.795)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a7f528fa-4cb3-483c-a0ad-2ba4a03520f1) + ) + (wire (pts (xy 99.06 151.13) (xy 93.98 151.13)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3efb5c04-f7d9-4aea-bfa5-da7ff4309bc7) + ) + (wire (pts (xy 99.06 153.67) (xy 93.98 153.67)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 46c58042-eaa0-451a-9700-6447963babae) + ) + (wire (pts (xy 102.235 53.975) (xy 94.615 53.975)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 04b906f6-ef56-4e7d-9ecd-0bda2d88b4cb) + ) + (wire (pts (xy 102.235 200.66) (xy 102.235 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b3bc25cc-890a-495b-8037-d63ae4b1c52d) + ) + (wire (pts (xy 102.235 208.28) (xy 119.38 208.28)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5b7ab384-e8fb-4e66-94d0-48d311adb867) + ) + (wire (pts (xy 102.87 200.025) (xy 102.235 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c0d0ff41-1ad5-41bd-8fd6-a972f43c01c6) + ) + (wire (pts (xy 103.505 22.86) (xy 100.965 22.86)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f53416c0-d2b8-45bd-8ac8-2e08a9426d1e) + ) + (wire (pts (xy 106.045 22.86) (xy 103.505 22.86)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d39614b5-0ef0-4b02-8717-570543a2c8cb) + ) + (wire (pts (xy 109.22 87.63) (xy 114.3 87.63)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d6558245-18d0-4f39-9d12-08044ffe3c01) + ) + (wire (pts (xy 109.22 103.505) (xy 114.3 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7a3ad8a4-fe54-41b7-817f-d675ed6a0f14) + ) + (wire (pts (xy 109.22 108.585) (xy 114.3 108.585)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 71bbafae-e8d2-40bf-82fe-f40bdc4b2001) + ) + (wire (pts (xy 109.22 119.38) (xy 114.3 119.38)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 259916fe-df34-4bb3-95cb-09c45f90d9a8) + ) + (wire (pts (xy 109.22 124.46) (xy 114.3 124.46)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1725abae-8493-47b9-b3ce-090a907017ab) + ) + (wire (pts (xy 109.22 132.715) (xy 114.3 132.715)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 890171f6-8f1c-41d8-b3d3-d5f20fe6cf42) + ) + (wire (pts (xy 109.22 137.795) (xy 114.3 137.795)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 63fb6b56-aa66-49e1-8e52-7c6b1c9d0fd4) + ) + (wire (pts (xy 109.22 151.13) (xy 114.3 151.13)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 28e5eddb-146e-44b3-8e7f-4eea9f76abeb) + ) + (wire (pts (xy 109.855 61.595) (xy 114.3 61.595)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9d8d1c56-5f98-4e01-baae-4d96e75cb3ae) + ) + (wire (pts (xy 111.125 198.12) (xy 111.125 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4df00435-82bf-43c3-beca-327f15a1394b) + ) + (wire (pts (xy 111.125 200.025) (xy 110.49 200.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e0a8fb9e-debf-496a-a91c-ce17f182ab92) + ) + (wire (pts (xy 111.125 200.025) (xy 111.125 203.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a0a8fc0f-85b1-48f4-9caf-efb75b2f49be) + ) + (wire (pts (xy 111.125 203.2) (xy 111.76 203.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 236ec1ce-8023-49bd-8faa-f98a4196384b) + ) + (wire (pts (xy 114.3 85.09) (xy 109.22 85.09)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d8b62010-a1c9-4a34-956d-0604813a575b) + ) + (wire (pts (xy 114.3 90.17) (xy 109.22 90.17)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 74b61f1d-18cc-482e-b43d-3bd15d9b0081) + ) + (wire (pts (xy 114.3 92.71) (xy 109.22 92.71)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 16e59c60-f76c-40b4-bda0-5c33f2c940bd) + ) + (wire (pts (xy 114.3 100.965) (xy 109.22 100.965)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 98b3047b-7019-44a6-8d5b-da5f28cf76a4) + ) + (wire (pts (xy 114.3 106.045) (xy 109.22 106.045)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 570c9d7c-5eab-4386-ab86-981cbd2d3a1a) + ) + (wire (pts (xy 114.3 116.84) (xy 109.22 116.84)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2ef7748a-6a61-4b23-afd7-3b75ee552a2f) + ) + (wire (pts (xy 114.3 121.92) (xy 109.22 121.92)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7a1b72bf-5638-41eb-9c0a-a2b0c4cd6e6a) + ) + (wire (pts (xy 114.3 135.255) (xy 109.22 135.255)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ec554abb-7f66-450f-bc83-41d5e97c7627) + ) + (wire (pts (xy 114.3 140.335) (xy 109.22 140.335)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1c154719-7d6a-4048-b432-07ee39fa6a50) + ) + (wire (pts (xy 114.3 148.59) (xy 109.22 148.59)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ec8de8b5-0c2a-4cea-9d84-33e2700888af) + ) + (wire (pts (xy 114.3 153.67) (xy 109.22 153.67)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d352ec4d-00e1-4be0-aa14-5f553a0c63ff) + ) + (wire (pts (xy 114.3 156.21) (xy 109.22 156.21)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6fcaf785-332c-46ad-8496-761d4e5ce56d) + ) + (wire (pts (xy 114.3 161.925) (xy 107.95 161.925)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d3c66e09-a942-4924-87b8-a51829c958f3) + ) + (wire (pts (xy 119.38 189.865) (xy 119.38 190.5)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 83bd99f8-08e1-460d-8fca-ab47f1859c91) + ) + (wire (pts (xy 119.38 189.865) (xy 126.365 189.865)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e9e742d8-9bac-4c78-a873-ff1e64fa9a18) + ) + (wire (pts (xy 122.555 31.75) (xy 112.395 31.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fa33991e-ce50-45c2-b1d5-074608a5c201) + ) + (wire (pts (xy 122.555 34.29) (xy 112.395 34.29)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b21023e6-6a89-4638-ad06-af24a4e9dc99) + ) + (wire (pts (xy 126.365 182.245) (xy 108.585 182.245)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 585a6048-0b4a-46bf-bb42-a6ebbb5cceaa) + ) + (wire (pts (xy 133.985 184.785) (xy 140.335 184.785)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 491e6ffa-7a78-4f3f-919c-2d2c016179d2) + ) + (wire (pts (xy 133.985 187.325) (xy 140.335 187.325)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 10a876a4-d6f3-4f46-b7c0-009346300eef) + ) + (wire (pts (xy 133.985 189.865) (xy 140.335 189.865)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f8e76c7d-a7ac-474e-9321-dc2d12226e1d) + ) + (wire (pts (xy 133.985 194.945) (xy 140.335 194.945)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 31567efb-2def-46cd-8f7c-67c7c9c59f6c) + ) + (wire (pts (xy 135.255 38.735) (xy 145.415 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 31accbc2-b674-4d76-ad7e-873bd5f6b0c7) + ) + (wire (pts (xy 135.255 41.275) (xy 145.415 41.275)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2eb2b5e1-6d6f-436a-b8f5-44b891671d12) + ) + (wire (pts (xy 135.255 43.815) (xy 145.415 43.815)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 670c7bdc-0a5c-4bf7-9276-549298c11fe7) + ) + (wire (pts (xy 135.255 46.355) (xy 145.415 46.355)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4346f141-a270-4a1c-a069-8755a90279a4) + ) + (wire (pts (xy 135.255 65.405) (xy 145.415 65.405)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c1a3c87b-4a6e-4e93-bfbd-0480f0605476) + ) + (wire (pts (xy 135.255 67.945) (xy 145.415 67.945)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 47e7f854-277c-4a84-b20a-1c6b9064759b) + ) + (wire (pts (xy 135.255 73.025) (xy 145.415 73.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d1192b6f-bd23-40c5-a0b9-f2366f35a6f1) + ) + (wire (pts (xy 135.255 75.565) (xy 145.415 75.565)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 489a6bc8-7082-47c0-b32f-9a7940998fba) + ) + (wire (pts (xy 135.255 78.105) (xy 145.415 78.105)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1108a71d-005b-4bd8-a953-a84732c1a376) + ) + (wire (pts (xy 135.255 80.645) (xy 145.415 80.645)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 842b7d94-01df-4893-a1d1-c0893d36b490) + ) + (wire (pts (xy 135.255 83.185) (xy 145.415 83.185)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 204a1a50-ccbd-41c2-a55a-aca3a66f02b1) + ) + (wire (pts (xy 135.255 93.345) (xy 145.415 93.345)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c8b91452-84db-4053-8ce5-0cdf18463292) + ) + (wire (pts (xy 135.255 98.425) (xy 145.415 98.425)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b182f20c-2077-4495-8bf3-550a75478f2e) + ) + (wire (pts (xy 135.255 100.965) (xy 145.415 100.965)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8ce9d262-f3c2-415d-89c5-1256ba6e7fcd) + ) + (wire (pts (xy 135.255 103.505) (xy 145.415 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 405b11fb-6ab8-4f7a-9994-3f3343653d2a) + ) + (wire (pts (xy 135.255 106.045) (xy 145.415 106.045)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6e787fa1-3734-4cfe-807b-ff68298d14eb) + ) + (wire (pts (xy 135.255 108.585) (xy 145.415 108.585)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3fb808cd-e9ca-42d5-81b9-9503430ac956) + ) + (wire (pts (xy 135.255 111.125) (xy 145.415 111.125)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e46bbb5a-4bd7-49a6-a2d1-2a7055b3a217) + ) + (wire (pts (xy 135.255 118.745) (xy 145.415 118.745)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5b6a1094-ee18-4601-bde6-c2f60ceb4a61) + ) + (wire (pts (xy 135.255 121.285) (xy 145.415 121.285)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7ce3b947-a5ea-48fd-9484-1c20cfff8a5a) + ) + (wire (pts (xy 135.255 123.825) (xy 145.415 123.825)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b717c118-74cf-4083-80b5-264f5d01b01d) + ) + (wire (pts (xy 135.255 126.365) (xy 145.415 126.365)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1a8ba9ab-b212-41dd-a34a-662c6c2ce0cf) + ) + (wire (pts (xy 135.255 128.905) (xy 145.415 128.905)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4b803b5f-94a7-4313-953a-7ea940c0ffc0) + ) + (wire (pts (xy 135.255 133.985) (xy 145.415 133.985)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a2d12361-2162-4447-8828-c03f28e49995) + ) + (wire (pts (xy 145.415 48.895) (xy 145.415 51.435)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8928e119-137a-4c8a-886d-e7fdbbe5d19c) + ) + (wire (pts (xy 145.415 55.245) (xy 135.255 55.245)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 24a3a5c2-da4c-45e9-a30f-03dcec8885ef) + ) + (wire (pts (xy 145.415 70.485) (xy 135.255 70.485)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 87cfbbaf-0f9c-455b-926a-fe8079675b3f) + ) + (wire (pts (xy 145.415 85.725) (xy 135.255 85.725)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d30dee58-f40a-4d92-8e8b-1785304ce8be) + ) + (wire (pts (xy 145.415 95.885) (xy 135.255 95.885)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8b1450ab-749a-4b34-97b1-56a418a50500) + ) + (wire (pts (xy 145.415 113.665) (xy 135.255 113.665)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 11955135-0f35-4a6a-987b-5cc705f23847) + ) + (wire (pts (xy 145.415 116.205) (xy 135.255 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ca09191b-c124-464b-bd40-7ebd11ef21a8) + ) + (wire (pts (xy 145.415 131.445) (xy 135.255 131.445)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b106fa3a-10a7-478c-97af-e6d2d2540ebc) + ) + (wire (pts (xy 145.415 136.525) (xy 135.255 136.525)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fbc1f8d6-e0d8-4ee9-85d0-53e8f99f67fe) + ) + (wire (pts (xy 145.415 139.065) (xy 135.255 139.065)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d1978945-1259-42fb-8eb6-f8e831a1ca25) + ) + (wire (pts (xy 153.035 155.575) (xy 155.575 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dd8499a5-17b4-49b1-9d32-0aa4c83dec67) + ) + (wire (pts (xy 155.575 23.495) (xy 153.035 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 692e4168-d838-4f6e-b131-b928066bf359) + ) + (wire (pts (xy 155.575 155.575) (xy 158.115 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 36a3f70b-8522-4d43-ad7f-5833a1784b21) + ) + (wire (pts (xy 158.115 23.495) (xy 155.575 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1fc62c76-44f3-426f-83c5-3c467c073df2) + ) + (wire (pts (xy 158.115 23.495) (xy 160.655 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 82df02c3-1e48-4036-bb87-28eaee0904e3) + ) + (wire (pts (xy 158.115 155.575) (xy 160.655 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5c089cd0-4dce-4d9f-8979-866cceeaa30a) + ) + (wire (pts (xy 160.655 155.575) (xy 163.195 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6b149dbc-8784-47b5-81ff-ab71c580fdcc) + ) + (wire (pts (xy 163.195 155.575) (xy 165.735 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 958490bd-d975-4c92-b267-90ddedd3e841) + ) + (wire (pts (xy 165.735 23.495) (xy 163.195 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 77765e7c-ca9a-4b59-893b-ea2348a0ac23) + ) + (wire (pts (xy 165.735 23.495) (xy 168.275 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7b39de65-0b9b-4e56-ac0d-321588952f83) + ) + (wire (pts (xy 165.735 155.575) (xy 168.275 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid effdeca2-a4cd-4ba6-9cba-428137cbfb23) + ) + (wire (pts (xy 168.275 155.575) (xy 170.815 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3e766cc4-cfe0-4609-ad32-3259f02060e9) + ) + (wire (pts (xy 170.815 23.495) (xy 168.275 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7ad51bc8-10e9-4895-8e93-270722f20807) + ) + (wire (pts (xy 170.815 155.575) (xy 173.355 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7bf3bae6-8b35-41c8-b623-d7b0a3aaffdd) + ) + (wire (pts (xy 173.355 23.495) (xy 170.815 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fcbb2a55-b287-4c26-bf15-215c092c581d) + ) + (wire (pts (xy 173.355 23.495) (xy 175.895 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d9e36588-b77c-4a60-a840-5ab4f8ea8193) + ) + (wire (pts (xy 173.355 155.575) (xy 175.895 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dab55132-ee1e-43e9-b81b-31889f5d380b) + ) + (wire (pts (xy 175.895 155.575) (xy 178.435 155.575)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2bea93fd-8a79-43d2-bf41-9921d7114155) + ) + (wire (pts (xy 178.435 19.685) (xy 178.435 23.495)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9ec1e2a7-e2ac-4e17-9932-bcc13446bc69) + ) + (wire (pts (xy 186.055 41.275) (xy 190.5 41.275)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4ea615fb-1c0e-4f43-ac58-94e540f88155) + ) + (wire (pts (xy 186.055 51.435) (xy 196.215 51.435)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4df2c72d-254a-4022-bb3e-c6fcf67ebfda) + ) + (wire (pts (xy 186.055 57.785) (xy 196.215 57.785)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d9f22e7b-9cf4-4cc1-8ffa-9fbb598fe21c) + ) + (wire (pts (xy 186.055 60.325) (xy 196.215 60.325)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0eed3a8d-d1c9-4641-919c-eb40a0e777e1) + ) + (wire (pts (xy 186.055 65.405) (xy 196.215 65.405)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ccbc3155-2042-4858-9526-0f982107dd61) + ) + (wire (pts (xy 186.055 67.945) (xy 196.215 67.945)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2286a910-e37c-4d6f-affe-7906c997ef84) + ) + (wire (pts (xy 186.055 75.565) (xy 196.215 75.565)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2c2e0d44-01c1-4f0d-ba26-b2c8232234ce) + ) + (wire (pts (xy 186.055 78.105) (xy 196.215 78.105)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8eedefc2-0cdd-4729-b521-b6755190fc7b) + ) + (wire (pts (xy 186.055 88.265) (xy 196.215 88.265)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 75bd806c-48f9-4e96-8704-a9e8734937f8) + ) + (wire (pts (xy 186.055 100.965) (xy 196.215 100.965)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 79c2059a-0b7d-4813-a9e9-5706528fdc78) + ) + (wire (pts (xy 186.055 113.665) (xy 196.215 113.665)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a6ca4fbe-fdde-4e32-90fa-262c6e14a92f) + ) + (wire (pts (xy 186.055 121.285) (xy 196.215 121.285)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 901770d2-22d5-4fbf-ad4e-6d5720d3fead) + ) + (wire (pts (xy 186.055 126.365) (xy 196.215 126.365)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9933502a-38b4-4aa2-8a50-49a92422f8b3) + ) + (wire (pts (xy 186.055 128.905) (xy 196.215 128.905)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2c19367d-0428-4c9d-84d2-8c34cfbfe466) + ) + (wire (pts (xy 186.055 131.445) (xy 196.215 131.445)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b4eaf12d-8171-41d0-82dd-3e03f930a2fa) + ) + (wire (pts (xy 186.055 136.525) (xy 196.215 136.525)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 758d2dbd-ea73-4948-af39-3efc7089e8bb) + ) + (wire (pts (xy 186.055 139.065) (xy 196.215 139.065)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a82cbac7-1056-426f-bca1-54530e19f839) + ) + (wire (pts (xy 188.595 37.465) (xy 188.595 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b5d9e49e-efcf-4687-89d5-ab4e5b7c6cc0) + ) + (wire (pts (xy 188.595 38.735) (xy 186.055 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a2b9912b-f8cd-466c-ac19-c2a91738907b) + ) + (wire (pts (xy 190.5 29.845) (xy 188.595 29.845)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 77d43226-c535-418e-989d-e47faf13153b) + ) + (wire (pts (xy 190.5 29.845) (xy 192.405 29.845)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2ff51201-1018-439c-93e9-c56543f4a1c7) + ) + (wire (pts (xy 190.5 41.275) (xy 190.5 29.845)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ddb9260e-6191-436b-aa51-5aa3b8b4863e) + ) + (wire (pts (xy 192.405 37.465) (xy 192.405 53.975)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 70a89687-ac3a-448f-a724-1095ce669ca6) + ) + (wire (pts (xy 192.405 53.975) (xy 186.055 53.975)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 100fa5ac-ea62-4148-b4a2-83feb69abc14) + ) + (wire (pts (xy 196.215 48.895) (xy 186.055 48.895)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8fbe9c6a-955d-4943-b90f-1f67accf3300) + ) + (wire (pts (xy 196.215 62.865) (xy 186.055 62.865)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 03dcc5d7-3518-48b7-b554-f45106b4c6a9) + ) + (wire (pts (xy 196.215 70.485) (xy 186.055 70.485)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 818dbbbd-6c88-44fb-8aef-f7c7949bd0ef) + ) + (wire (pts (xy 196.215 73.025) (xy 186.055 73.025)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 88913484-6853-4070-9189-4017e31f4adb) + ) + (wire (pts (xy 196.215 80.645) (xy 186.055 80.645)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1d10726a-ba90-4819-9e0b-7217350fab88) + ) + (wire (pts (xy 196.215 83.185) (xy 186.055 83.185)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 46c2bbc5-5ece-444d-a455-1e02d6b368e0) + ) + (wire (pts (xy 196.215 85.725) (xy 186.055 85.725)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0cedfc09-9094-485e-bbf8-fbb4fb481c85) + ) + (wire (pts (xy 196.215 90.805) (xy 186.055 90.805)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7fe335ee-8e45-4d0d-a661-7b243b80cc78) + ) + (wire (pts (xy 196.215 93.345) (xy 186.055 93.345)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f79270e5-4202-43c6-a1d5-0634a5b1afee) + ) + (wire (pts (xy 196.215 95.885) (xy 186.055 95.885)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4cc0c093-24b2-45ff-bef7-2f6093aa471e) + ) + (wire (pts (xy 196.215 98.425) (xy 186.055 98.425)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dc20d717-0772-40a9-9d1c-dd7256f07d81) + ) + (wire (pts (xy 196.215 103.505) (xy 186.055 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e7494ba5-0e88-4db7-b1a3-93c70560101b) + ) + (wire (pts (xy 196.215 106.045) (xy 186.055 106.045)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8da4d4fd-536d-4043-b6c2-2e08e1895ffd) + ) + (wire (pts (xy 196.215 108.585) (xy 186.055 108.585)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b21ce4d8-e9cb-4534-9f03-3d766bdf714f) + ) + (wire (pts (xy 196.215 111.125) (xy 186.055 111.125)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9a009568-260d-4dc5-83b5-8498b7c22db8) + ) + (wire (pts (xy 196.215 116.205) (xy 186.055 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 25d3951f-661b-4c04-936a-5458c5ad0c52) + ) + (wire (pts (xy 196.215 118.745) (xy 186.055 118.745)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fad013b2-a701-4190-a773-15fb8326ecd8) + ) + (wire (pts (xy 196.215 123.825) (xy 186.055 123.825)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fc013a9a-2091-4a9f-b1ba-b58bbbe324ae) + ) + (wire (pts (xy 196.215 133.985) (xy 186.055 133.985)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 60226e80-4c11-4a88-a438-da211fa77ce6) + ) + (wire (pts (xy 207.645 170.18) (xy 223.52 170.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b9bc77a8-eb54-4dbc-9daa-c097ccb31831) + ) + (wire (pts (xy 210.82 93.98) (xy 219.71 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4074e837-f772-48f1-b966-80510f0b255a) + ) + (wire (pts (xy 210.82 98.425) (xy 219.71 98.425)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bb676e1f-0724-4e23-b8e0-00313ec6e7c2) + ) + (wire (pts (xy 210.82 102.87) (xy 219.71 102.87)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0b0e2641-8db6-4643-af98-8720ca1964c1) + ) + (wire (pts (xy 210.82 107.315) (xy 219.71 107.315)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dbc603ee-7c33-4909-bbe6-eb1884e652b3) + ) + (wire (pts (xy 210.82 111.76) (xy 219.71 111.76)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fa7406fd-bf1b-484c-b9e6-03b00d51c34a) + ) + (wire (pts (xy 210.82 116.205) (xy 219.71 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7e6c4539-c840-4357-af80-956ad407caf5) + ) + (wire (pts (xy 210.82 120.65) (xy 219.71 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0ce089f2-f9de-41c5-afa3-a32e8e19001b) + ) + (wire (pts (xy 210.82 125.095) (xy 219.71 125.095)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 806128f1-3d54-470e-a4a7-85a5e6174936) + ) + (wire (pts (xy 213.36 43.18) (xy 215.9 43.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0af67b29-d4a6-4805-9444-61535a30af71) + ) + (wire (pts (xy 213.995 52.07) (xy 219.71 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dab224f1-328f-4fa2-bc45-52fa922bc88f) + ) + (wire (pts (xy 213.995 59.69) (xy 219.71 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cbbcb037-4b0d-46f3-89dd-0e9acb7ca3c4) + ) + (wire (pts (xy 217.805 158.75) (xy 223.52 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cb594b4a-f0be-48a9-a27d-c64e0058e27f) + ) + (wire (pts (xy 217.805 166.37) (xy 217.805 167.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9a2e9048-5076-4231-aab3-beff21eb0089) + ) + (wire (pts (xy 217.805 167.64) (xy 207.645 167.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cbb4395e-cf6a-4b32-a6ed-258e15016e59) + ) + (wire (pts (xy 219.71 52.07) (xy 225.425 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid dab224f1-328f-4fa2-bc45-52fa922bc88f) + ) + (wire (pts (xy 219.71 59.69) (xy 225.425 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cbbcb037-4b0d-46f3-89dd-0e9acb7ca3c4) + ) + (wire (pts (xy 223.52 27.94) (xy 227.33 27.94)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 90e8ff0b-7ffe-4b17-a67c-7f225db6d4a9) + ) + (wire (pts (xy 223.52 166.37) (xy 223.52 170.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid daedd185-5734-42fb-99f3-686be8e67c2e) + ) + (wire (pts (xy 223.52 170.18) (xy 233.68 170.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5d066b4d-f6c8-4627-844c-4c16277f19bb) + ) + (wire (pts (xy 225.425 52.07) (xy 231.14 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e55e5100-b5ac-45b0-a6b0-dffdb1a71dc3) + ) + (wire (pts (xy 225.425 59.69) (xy 231.14 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b08e5433-cd75-4736-93fc-111b2d94a23a) + ) + (wire (pts (xy 226.06 167.64) (xy 217.805 167.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4dccdcb9-8899-4d8d-b989-b3cea0cd2259) + ) + (wire (pts (xy 227.33 93.98) (xy 240.665 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6a011efc-f499-44e8-b3cf-f2e5bafdc7f3) + ) + (wire (pts (xy 227.33 98.425) (xy 228.6 98.425)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2dfcbd34-ade3-4a13-aedd-8710467a5dd4) + ) + (wire (pts (xy 228.6 98.425) (xy 228.6 100.33)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid aabe89be-7e23-4608-a68a-4359fc29fe54) + ) + (wire (pts (xy 228.6 98.425) (xy 229.87 98.425)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2dfcbd34-ade3-4a13-aedd-8710467a5dd4) + ) + (wire (pts (xy 228.6 100.33) (xy 240.665 100.33)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid aabe89be-7e23-4608-a68a-4359fc29fe54) + ) + (wire (pts (xy 228.6 102.87) (xy 227.33 102.87)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e858d313-7a56-427b-90a5-2e9041a78767) + ) + (wire (pts (xy 228.6 102.87) (xy 228.6 104.775)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4411e3b5-49fa-476a-853e-66cfb976c8f3) + ) + (wire (pts (xy 228.6 102.87) (xy 229.87 102.87)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bf525050-0690-40c5-921c-03e4e4092f98) + ) + (wire (pts (xy 228.6 104.775) (xy 240.665 104.775)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 53277144-5675-45fc-8f51-93354c38009e) + ) + (wire (pts (xy 228.6 107.315) (xy 227.33 107.315)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2a137056-a5f9-47ee-9e83-498760f726f0) + ) + (wire (pts (xy 228.6 107.315) (xy 228.6 109.22)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid df0928fb-4669-42ad-9908-29d669d133cf) + ) + (wire (pts (xy 228.6 109.22) (xy 240.665 109.22)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b0b1fa16-6318-496e-bf07-b3a2f9c6cbe7) + ) + (wire (pts (xy 228.6 111.76) (xy 227.33 111.76)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 32ea1999-0f04-4a1d-a707-db32795e5206) + ) + (wire (pts (xy 228.6 111.76) (xy 228.6 113.665)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7c7c4b21-6fae-4a96-82fd-815f98eb1e87) + ) + (wire (pts (xy 228.6 113.665) (xy 240.665 113.665)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7256be94-91ca-4e6b-89d2-bdb584e9c9a1) + ) + (wire (pts (xy 228.6 116.205) (xy 227.33 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2188308b-53ef-4094-b5f7-570238b21c59) + ) + (wire (pts (xy 228.6 116.205) (xy 228.6 118.11)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 42334c13-61af-4f59-afad-63f95449f8c0) + ) + (wire (pts (xy 228.6 118.11) (xy 240.665 118.11)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid aa98146d-eb63-43dd-a639-35eaedc36a06) + ) + (wire (pts (xy 228.6 120.65) (xy 227.33 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cf6f9fbe-6bb2-47dc-a534-a6fe14ccaf11) + ) + (wire (pts (xy 228.6 120.65) (xy 228.6 122.555)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a5cdb32a-5843-4bf0-9c2b-bb0482099b78) + ) + (wire (pts (xy 228.6 122.555) (xy 240.665 122.555)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2162f368-55c8-4ac7-885e-4b6dec438d78) + ) + (wire (pts (xy 228.6 125.095) (xy 227.33 125.095)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2b91e346-f8ae-43bb-b2bd-09a32a9b6cde) + ) + (wire (pts (xy 228.6 125.095) (xy 228.6 127)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b1c01958-ae5f-41c5-af4b-121cd51ced6b) + ) + (wire (pts (xy 228.6 127) (xy 240.665 127)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fd61879e-b321-4c8b-bef2-3a3117824a73) + ) + (wire (pts (xy 229.87 27.94) (xy 229.87 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1145cc58-f8fb-4d2f-91a5-789035883ab8) + ) + (wire (pts (xy 229.87 30.48) (xy 231.775 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1145cc58-f8fb-4d2f-91a5-789035883ab8) + ) + (wire (pts (xy 229.87 107.315) (xy 228.6 107.315)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 279da551-4c2e-49a9-8d99-ca3fca280a00) + ) + (wire (pts (xy 229.87 111.76) (xy 228.6 111.76)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8d045352-c7ff-4cba-a54c-13fe0c87f76f) + ) + (wire (pts (xy 229.87 116.205) (xy 228.6 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f0ff2d5f-99c1-4f0a-8b13-15ef1b53d269) + ) + (wire (pts (xy 229.87 120.65) (xy 228.6 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 579482e3-fdaa-4154-9568-0b1ca241edff) + ) + (wire (pts (xy 229.87 125.095) (xy 228.6 125.095)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 10a28e39-657e-4663-ab55-2d95434e7ad9) + ) + (wire (pts (xy 231.14 52.07) (xy 236.855 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8e91553b-84b5-4c97-9f90-f95b54e66612) + ) + (wire (pts (xy 231.14 59.69) (xy 236.855 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b5d1caf5-a5bb-4336-89aa-ce4336d4e62b) + ) + (wire (pts (xy 231.14 158.75) (xy 223.52 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 15eb5a0d-e9c0-4471-a0ce-1cfeb00fd6a4) + ) + (wire (pts (xy 231.14 158.75) (xy 231.14 160.02)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 64e87a71-1467-4f0b-8070-44098ff71b79) + ) + (wire (pts (xy 231.14 158.75) (xy 238.76 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 72bc62cb-b27c-4e17-adf6-5e4a8bb12134) + ) + (wire (pts (xy 231.775 30.48) (xy 238.76 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 92d07b13-907d-4ddc-bfd3-2353746782a6) + ) + (wire (pts (xy 231.775 38.1) (xy 231.775 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 603cc658-c342-4adb-b5db-941ccbf9692c) + ) + (wire (pts (xy 231.775 38.735) (xy 238.76 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4b024f10-db7a-44fa-b949-43c3cd055c2e) + ) + (wire (pts (xy 232.41 27.94) (xy 234.315 27.94)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 66fd7205-d337-4cf4-91b5-474f3bd2feef) + ) + (wire (pts (xy 236.855 52.07) (xy 242.57 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 75a0d860-bc01-4e8d-a21a-0f0fc6e83793) + ) + (wire (pts (xy 236.855 59.69) (xy 242.57 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 049dd696-78c5-40a8-9287-409f37812cf8) + ) + (wire (pts (xy 237.49 98.425) (xy 240.665 98.425)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6c956dc1-da63-48f9-974f-0eb1ca7ffe81) + ) + (wire (pts (xy 237.49 102.87) (xy 240.665 102.87)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bf525050-0690-40c5-921c-03e4e4092f98) + ) + (wire (pts (xy 238.76 22.225) (xy 238.76 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6886e2d1-bfde-495c-9fb1-7fad8046a0e9) + ) + (wire (pts (xy 238.76 38.1) (xy 238.76 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 18be37cf-6017-40c6-9604-f44477c275da) + ) + (wire (pts (xy 238.76 38.735) (xy 248.92 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c1d2d6b0-eadc-42ff-b3b7-c4f4fc3ae631) + ) + (wire (pts (xy 238.76 158.75) (xy 238.76 162.56)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7eed9090-2a1b-460c-8be7-8b524b8e5f96) + ) + (wire (pts (xy 240.665 93.98) (xy 245.11 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bf8f077d-e9ae-48c7-b0c6-97d7a89542c8) + ) + (wire (pts (xy 240.665 98.425) (xy 240.665 93.98)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6c956dc1-da63-48f9-974f-0eb1ca7ffe81) + ) + (wire (pts (xy 240.665 100.33) (xy 240.665 102.87)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bcb31057-9e30-4b90-8221-a06d206aa9bb) + ) + (wire (pts (xy 240.665 104.775) (xy 240.665 107.315)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9d4004f8-f4ea-472c-9f33-25f26810a4a8) + ) + (wire (pts (xy 240.665 107.315) (xy 237.49 107.315)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0e54ea93-b746-4a49-8152-d16fc1b21c2b) + ) + (wire (pts (xy 240.665 109.22) (xy 240.665 111.76)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 63ffdada-b33f-4f43-80e4-bc3c62ecb474) + ) + (wire (pts (xy 240.665 111.76) (xy 237.49 111.76)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9e7b2dcb-9418-4421-9edf-f9ae20b500cf) + ) + (wire (pts (xy 240.665 113.665) (xy 240.665 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a362e168-2ea4-485c-bdfc-bff0e8ca886d) + ) + (wire (pts (xy 240.665 116.205) (xy 237.49 116.205)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c56cda4d-f0d6-457a-809b-b6c07fd1a9e7) + ) + (wire (pts (xy 240.665 118.11) (xy 240.665 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b4205821-63aa-4ebf-8575-ea24ffb45920) + ) + (wire (pts (xy 240.665 120.65) (xy 237.49 120.65)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7a03fc99-bbda-47fb-aa4d-8a633684e5fb) + ) + (wire (pts (xy 240.665 122.555) (xy 240.665 125.095)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fe400055-1f62-40d0-97d1-1568928ce375) + ) + (wire (pts (xy 240.665 125.095) (xy 237.49 125.095)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ecd2ee09-e1ab-45c4-a02a-960a2311dc8b) + ) + (wire (pts (xy 240.665 127) (xy 240.665 129.54)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5264d55b-9019-480f-8eb6-ba36bceb4e29) + ) + (wire (pts (xy 240.665 129.54) (xy 237.49 129.54)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid db9daed5-5874-4cc5-85d3-08bc6ef71e5c) + ) + (wire (pts (xy 241.3 30.48) (xy 238.76 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bf414291-40a9-4e7d-8600-f272a3cfc874) + ) + (wire (pts (xy 242.57 52.07) (xy 248.285 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8ae518db-adb3-4ef8-96be-43c9bb817fe2) + ) + (wire (pts (xy 242.57 59.69) (xy 248.285 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4ec5111c-35a0-4c2f-be0d-f78b8bcc6a73) + ) + (wire (pts (xy 243.84 170.18) (xy 250.19 170.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8b347396-ec3c-48db-810a-f62a25f20b76) + ) + (wire (pts (xy 244.475 166.37) (xy 244.475 167.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid be80b35a-29bb-492d-b0f4-2e20726ab8e9) + ) + (wire (pts (xy 244.475 167.64) (xy 236.22 167.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8ca8054f-210d-4b7d-9abb-6d9cd562379a) + ) + (wire (pts (xy 248.285 52.07) (xy 254 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3a463936-03fd-4b90-a4ff-118e6db30e0e) + ) + (wire (pts (xy 248.285 59.69) (xy 254 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1730492a-0e6b-430a-8c44-2faef2acffdd) + ) + (wire (pts (xy 248.92 38.1) (xy 248.92 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8aec3b0c-c340-410c-8e15-b9d4047e56b5) + ) + (wire (pts (xy 248.92 38.735) (xy 259.715 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c7d6db01-d0ee-4114-81c6-397674df1eda) + ) + (wire (pts (xy 250.19 158.75) (xy 244.475 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 520555ea-1277-40b7-af02-03b451f50fbe) + ) + (wire (pts (xy 250.19 158.75) (xy 257.81 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e74f3ab3-303f-4e03-951d-695a310b71f4) + ) + (wire (pts (xy 250.19 166.37) (xy 250.19 170.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e8b9f7a1-b214-42ce-bf84-a947c95ab557) + ) + (wire (pts (xy 250.19 170.18) (xy 257.81 170.18)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9839b832-ea17-43e6-b705-44296f40dea7) + ) + (wire (pts (xy 254 52.07) (xy 259.715 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bd984872-0b45-41b9-a415-9f5ab8c4e77d) + ) + (wire (pts (xy 254 59.69) (xy 259.715 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bab62fa9-1a77-4356-af22-7b95ce3b741e) + ) + (wire (pts (xy 256.54 30.48) (xy 259.715 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e19ef572-00d1-4941-b258-221ae8967175) + ) + (wire (pts (xy 257.81 158.75) (xy 257.81 165.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 314cf7bc-7a02-4307-8953-723c523f0182) + ) + (wire (pts (xy 257.81 167.64) (xy 244.475 167.64)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 49af5387-293b-4784-9a37-cf8bddf3f6f4) + ) + (wire (pts (xy 259.715 22.225) (xy 259.715 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 31cb2b67-6db6-4d8e-a905-fce2436ca209) + ) + (wire (pts (xy 259.715 38.735) (xy 259.715 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0672d42a-5ac1-4c7b-bc62-ac291b246b86) + ) + (wire (pts (xy 259.715 59.69) (xy 265.43 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 77b3d8a2-d4d4-465c-a3db-df715c341415) + ) + (wire (pts (xy 260.35 94.615) (xy 269.875 94.615)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid cc410d2f-ac4d-40d0-8da0-bb7d81a3ebc3) + ) + (wire (pts (xy 260.35 103.505) (xy 269.875 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f1b5489a-5754-42ce-89ac-c07c9e185fc6) + ) + (wire (pts (xy 265.43 24.765) (xy 265.43 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c1d7f16f-aae7-45f8-80d0-83cdd2b80672) + ) + (wire (pts (xy 265.43 52.07) (xy 259.715 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d09ae362-ae11-4b3f-b693-3a95f1345a30) + ) + (wire (pts (xy 265.43 52.07) (xy 271.145 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4d710e0b-24f0-4f46-b466-7a285cc0d50a) + ) + (wire (pts (xy 265.43 59.69) (xy 271.145 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bcfb61ec-041b-49ca-8fec-67005f0a1a5c) + ) + (wire (pts (xy 271.145 52.07) (xy 276.86 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 01ac0470-0d94-438e-a847-0a74c1693401) + ) + (wire (pts (xy 271.145 59.69) (xy 276.86 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8c2b421e-c049-4347-98e1-d00127880ea5) + ) + (wire (pts (xy 273.05 38.735) (xy 273.05 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 42bbce9a-2cd3-465d-ad52-bfd93a6afe60) + ) + (wire (pts (xy 273.05 38.735) (xy 283.845 38.735)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c642bc2f-5b1c-45db-99cf-0037b8ef8dea) + ) + (wire (pts (xy 274.32 147.32) (xy 283.845 147.32)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f01c4a51-943a-4643-af47-de8347bdb0b5) + ) + (wire (pts (xy 274.32 152.4) (xy 283.845 152.4)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bd102499-a54d-4ec3-92f3-3a0bb44c35c3) + ) + (wire (pts (xy 274.32 169.545) (xy 283.845 169.545)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 13f53905-3219-48f3-bd2c-e228e209a8f6) + ) + (wire (pts (xy 274.32 172.085) (xy 283.845 172.085)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 80de1708-5c4e-4d5e-a5dd-5e1a75a90030) + ) + (wire (pts (xy 277.495 94.615) (xy 284.48 94.615)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9018cf6c-bd8a-4c72-9315-0ee98cd6c527) + ) + (wire (pts (xy 278.765 103.505) (xy 277.495 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 458c2321-287a-4ced-8793-3475c2decc7c) + ) + (wire (pts (xy 278.765 103.505) (xy 278.765 107.315)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 85e32913-2be7-42be-999a-53ec90f8992f) + ) + (wire (pts (xy 278.765 103.505) (xy 287.655 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7836c809-a2bf-4568-ac3b-9b9bfbeb0a7d) + ) + (wire (pts (xy 278.765 114.935) (xy 284.48 114.935)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 95818174-e735-43f4-8ac2-ae4fecabacd8) + ) + (wire (pts (xy 283.845 22.225) (xy 283.845 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1f3171b4-6b20-4e21-8c4d-c588faa85154) + ) + (wire (pts (xy 283.845 30.48) (xy 280.67 30.48)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fde446d2-c133-49b2-b49c-ebf4682d390a) + ) + (wire (pts (xy 283.845 38.735) (xy 283.845 38.1)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f223c8da-3c65-424e-940f-06a1f0f0d85e) + ) + (wire (pts (xy 283.845 154.94) (xy 274.32 154.94)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid eb8d8be4-5096-4069-a644-726e19e949d9) + ) + (wire (pts (xy 283.845 164.465) (xy 283.845 167.005)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid b4f77e35-4daf-4e7b-a345-c5997a372cf4) + ) + (wire (pts (xy 284.48 94.615) (xy 287.655 94.615)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 12d2dbd5-441a-4779-a40a-7f73b99d5450) + ) + (wire (pts (xy 284.48 107.315) (xy 284.48 94.615)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 12de26c4-3258-450c-ae89-5972ec7af531) + ) + (wire (pts (xy 288.29 52.07) (xy 288.925 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e639b3e1-599b-40d4-84f9-b5bf494c6a6e) + ) + (wire (pts (xy 288.925 52.07) (xy 297.815 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e639b3e1-599b-40d4-84f9-b5bf494c6a6e) + ) + (wire (pts (xy 288.925 68.58) (xy 294.64 68.58)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid bb4d4ef1-359d-4cca-b261-98d20d4451b5) + ) + (wire (pts (xy 288.925 76.2) (xy 294.64 76.2)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4ee239fb-3481-4804-a5cf-d24e89c0f4c2) + ) + (wire (pts (xy 295.275 94.615) (xy 300.99 94.615)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6845debf-49d9-44a2-9f59-322bd703a274) + ) + (wire (pts (xy 295.275 103.505) (xy 300.99 103.505)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid af9ef761-38a5-4239-831d-a5e543ce6d96) + ) + (wire (pts (xy 297.815 59.69) (xy 288.925 59.69)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0f71a1ba-ddfe-4125-9d96-4b5ce15e493d) + ) + (wire (pts (xy 299.72 24.765) (xy 299.72 26.67)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5d0592c2-dc13-4a6c-aae5-956866a84946) + ) + (wire (pts (xy 299.72 26.67) (xy 299.72 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c1957b59-e07e-4a46-98b0-3d4ffc799a62) + ) + (wire (pts (xy 299.72 52.07) (xy 297.815 52.07)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 72ac6183-1c3d-47a3-b82e-f48992d1fbdd) + ) + (bus (pts (xy 15.875 17.145) (xy 15.875 102.235)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e93e30aa-87da-41d1-b058-e1cd912cda28) + ) + (bus (pts (xy 15.875 17.145) (xy 67.31 17.145)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7c36f068-72b9-4add-8046-252f0f89a9e0) + ) + (bus (pts (xy 15.875 102.235) (xy 15.875 166.37)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 9385f9b7-5058-48f5-a686-612d9b77c368) + ) + (bus (pts (xy 15.875 102.235) (xy 65.405 102.235)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 76d65d51-2f6a-4de4-8c52-1e4c08284857) + ) + (bus (pts (xy 16.51 175.26) (xy 16.51 211.455)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 2b4e1ead-27a1-452b-a986-aa8577c29c07) + ) + (bus (pts (xy 16.51 175.26) (xy 66.675 175.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 43e9ddcb-f0ec-4c78-a553-aaa8ab91bc5d) + ) + (bus (pts (xy 65.405 102.235) (xy 65.405 147.32)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid faf8f46c-5d78-41c9-b704-2254c7bb140a) + ) + (bus (pts (xy 66.675 175.26) (xy 66.675 211.455)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid acb46769-64be-47dc-acbd-c32360d28b6e) + ) + (bus (pts (xy 67.31 17.145) (xy 67.31 95.885)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 74b5b644-2a43-41b5-a8d7-e15a92c7dbd3) + ) + (bus (pts (xy 67.31 17.145) (xy 74.295 17.145)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1191de76-4b2c-4c22-943e-fcad0b49aabb) + ) + (bus (pts (xy 69.215 175.26) (xy 69.215 211.455)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3a1348b6-2d23-4dc8-a68c-958d4486f001) + ) + (bus (pts (xy 69.215 175.26) (xy 128.905 175.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 113e80bb-5480-4ece-b419-dbd0e34b0fe2) + ) + (bus (pts (xy 74.295 17.145) (xy 74.295 99.695)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fc8e2e5c-a266-4603-aea1-ccf183cfe996) + ) + (bus (pts (xy 81.915 17.145) (xy 81.915 46.355)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 687b5021-015a-41a6-bf77-044496c380f9) + ) + (bus (pts (xy 91.44 50.165) (xy 91.44 72.39)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d8e67db4-2ae5-43c7-83d9-3f59d7ef79ea) + ) + (bus (pts (xy 91.44 78.105) (xy 91.44 164.465)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 008698a7-7fd0-405e-817f-a7f4309f77e7) + ) + (bus (pts (xy 91.44 78.105) (xy 116.84 78.105)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid d683e86c-26be-4227-88ed-afb4a76483ad) + ) + (bus (pts (xy 116.84 50.165) (xy 91.44 50.165)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid fe549e10-dd39-4f0e-bbde-461f44ce07ee) + ) + (bus (pts (xy 116.84 50.165) (xy 116.84 72.39)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3f10f762-8bf4-42cc-a21f-eea07e8cf424) + ) + (bus (pts (xy 116.84 78.105) (xy 116.84 164.465)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 89238bf1-316a-42ca-b211-0bf6ef0a926b) + ) + (bus (pts (xy 125.095 17.145) (xy 81.915 17.145)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ae17f183-e858-4d5f-9c9e-6c99bc933f7c) + ) + (bus (pts (xy 125.095 17.145) (xy 125.095 46.355)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid a65cd655-bf54-4f58-9f97-026f44fef3ea) + ) + (bus (pts (xy 128.905 175.26) (xy 128.905 211.455)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 39da2957-4684-4ddb-8c0f-d0a9c2ddeeea) + ) + (bus (pts (xy 131.445 175.26) (xy 131.445 203.835)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid c46bd5ac-1e1b-4d83-8608-0909ae45c22b) + ) + (bus (pts (xy 131.445 175.26) (xy 149.225 175.26)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 8e41ad1e-9423-4e73-b98f-2e26521b11a6) + ) + (bus (pts (xy 132.715 17.145) (xy 132.715 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid e2bc9105-4ebc-40de-a68f-6403df026f35) + ) + (bus (pts (xy 132.715 17.145) (xy 198.755 17.145)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 7d4eb0fe-6498-43c3-9d9c-138425044fbc) + ) + (bus (pts (xy 149.225 175.26) (xy 149.225 203.835)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid eb2600b9-bc9a-47c9-9b95-6bd70997828b) + ) + (bus (pts (xy 198.755 17.145) (xy 198.755 158.75)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 80b9ab6b-fa71-4137-a201-2dddfaae2c0b) + ) + (bus (pts (xy 205.105 152.4) (xy 205.105 177.165)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 3bce1625-e2b5-485e-87dd-35637f4f4f17) + ) + (bus (pts (xy 205.105 152.4) (xy 266.065 152.4)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 29793a37-b34d-40a4-8559-1f9523a77a49) + ) + (bus (pts (xy 208.28 17.145) (xy 208.28 64.135)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5da14a4f-f4d9-4676-ac7b-b2c8c9fbfe9c) + ) + (bus (pts (xy 208.28 17.145) (xy 303.53 17.145)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 5628a53f-3b4c-4898-879a-6d158cbfb7e6) + ) + (bus (pts (xy 208.28 86.36) (xy 208.28 133.35)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid eaaf4114-833c-498f-9e52-a073c1d0b1ba) + ) + (bus (pts (xy 247.65 86.36) (xy 208.28 86.36)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid f4353004-7550-4013-b4b9-653d9d50448c) + ) + (bus (pts (xy 247.65 86.36) (xy 247.65 133.35)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 6b51ab92-294a-48da-ae68-bdeb0e0c33b2) + ) + (bus (pts (xy 257.81 86.36) (xy 257.81 118.11)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 0a5523dd-b005-4341-ba8a-5bc4fe3a595b) + ) + (bus (pts (xy 257.81 86.36) (xy 303.53 86.36)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1e11ce60-4c50-443e-9600-74cb48bef21f) + ) + (bus (pts (xy 266.065 152.4) (xy 266.065 177.165)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 11abc90c-6281-4f89-847e-541caf565b0c) + ) + (bus (pts (xy 271.78 142.24) (xy 271.78 177.165)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid ee4361e8-0f9a-469c-83d1-0bc694c337f1) + ) + (bus (pts (xy 271.78 142.24) (xy 302.26 142.24)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid debfd117-5c30-4078-ac63-7fd9c633766c) + ) + (bus (pts (xy 302.26 142.24) (xy 302.26 177.165)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 4f6cbe6b-6fb5-46a0-94e2-86d7bbb730de) + ) + (bus (pts (xy 303.53 17.145) (xy 303.53 79.375)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 1d7f604b-3bf4-41fc-8a3d-46562cb91358) + ) + (bus (pts (xy 303.53 86.36) (xy 303.53 118.745)) + (stroke (width 0) (type solid) (color 0 0 0 0)) + (uuid 07b45f4b-7332-4b54-8436-7e0b2572d555) + ) + + (polyline (pts (xy 208.28 19.685) (xy 303.53 19.685)) + (stroke (width 0) (type dash) (color 0 0 0 0)) + (uuid c8a4d6aa-e749-4fb7-90cf-0f27642758d4) + ) + + (text "CPU" (at 17.145 16.51 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid d05747ed-481a-4e73-8f45-715927cbe986) + ) + (text "SD CARD" (at 17.145 174.625 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid 9a22cdd0-06b3-4fb7-b50f-69af4652d157) + ) + (text "TAPE" (at 70.485 174.625 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid 2459deb6-0e91-4498-a1fd-0e6ed051feae) + ) + (text "JTAG" (at 133.35 174.625 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid 89973fbc-036b-4454-aa8b-6e30724958c6) + ) + (text "PS/2" (at 206.375 151.765 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid 0d34755e-515b-4cf2-bf4b-c26d1ecd0dc9) + ) + (text "VIDEO" (at 208.915 85.725 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid e52fc55d-1980-4cd7-8c70-344d0739c939) + ) + (text "POWER" (at 209.55 16.51 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid afbe193b-7c8a-4777-ba2e-e0df7bdc9014) + ) + (text "SOUND" (at 259.08 85.725 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid 9c644c26-3484-4bb6-9117-2a68976f9831) + ) + (text "PORTS" (at 273.05 141.605 0) + (effects (font (size 2.0066 2.0066)) (justify left bottom)) + (uuid 6d3aae9b-9e80-4da2-88cf-4cafaf2a4e69) + ) + + (label "A0" (at 18.415 30.48 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid f6c7874b-812c-4739-946d-4ad567e601ad) + ) + (label "A1" (at 18.415 33.02 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 3a66dd4f-01b9-4409-90fa-8ab6ddad1d01) + ) + (label "A2" (at 18.415 35.56 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 8e36bf27-122a-4462-bb8d-3d9e4ff61250) + ) + (label "A3" (at 18.415 38.1 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid aee0be0a-451c-4af9-9690-7ab0640ff97a) + ) + (label "A4" (at 18.415 40.64 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6a39776b-067c-4064-86c3-4428a1c48f0d) + ) + (label "A5" (at 18.415 43.18 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 02a6d0b6-c0af-452e-af35-57fa07de55ae) + ) + (label "A6" (at 18.415 45.72 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 5fb19396-1aab-4d69-9ca6-bb97ba6a2432) + ) + (label "A7" (at 18.415 48.26 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid ef57f837-d047-456e-bfc9-7f25ba5d419c) + ) + (label "A8" (at 18.415 50.8 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 9d9894e6-d04c-495d-aa8b-02011b3a2504) + ) + (label "A9" (at 18.415 53.34 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid b75405fc-ca6a-47a1-94dd-8081201ba435) + ) + (label "A10" (at 18.415 55.88 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid fa19ba69-a612-4846-a8f0-669cde4d1aea) + ) + (label "A11" (at 18.415 58.42 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 2dc01f0f-4625-44b5-b93d-aea5faabbb38) + ) + (label "A12" (at 18.415 60.96 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid a33543ad-e1cc-4b7d-9958-d8235c7796bc) + ) + (label "A13" (at 18.415 63.5 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid aa0f11f9-01f9-4b78-9bae-2ed8ecf2c2b8) + ) + (label "A14" (at 18.415 66.04 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c989d898-33c0-4a36-b80a-ae7c767b9bb7) + ) + (label "A15" (at 18.415 68.58 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e2ef005b-ffc1-4153-805a-be20c981f9f4) + ) + (label "D0" (at 18.415 73.66 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6fc514dc-535c-425d-8e7d-7d7d3c035ffa) + ) + (label "D1" (at 18.415 76.2 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 50fd70d1-5442-4feb-a063-84dd24e381a3) + ) + (label "D2" (at 18.415 78.74 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid ebb811fc-ec19-4875-b75d-4750c2ea839b) + ) + (label "D3" (at 18.415 81.28 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c766de5f-f3ca-4fdc-b825-c6713c4444fc) + ) + (label "D4" (at 18.415 83.82 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 47c1207c-1649-420b-a209-aa244b41847b) + ) + (label "D5" (at 18.415 86.36 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 0b3c6455-c2c1-47a8-b206-03896bfce534) + ) + (label "D6" (at 18.415 88.9 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 4e1ff6bf-ec3f-4dc6-aa11-9847e8ffd9dd) + ) + (label "D7" (at 18.415 91.44 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 2c61fbc9-bf23-4b6a-a368-48fa7e39b1c3) + ) + (label "VA0" (at 18.415 113.03 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 04d1959c-083a-4600-b61e-0f260142fcc6) + ) + (label "VA1" (at 18.415 115.57 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6586c442-e56c-4433-8174-51307e83fd1d) + ) + (label "VA2" (at 18.415 118.11 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 744c11c0-03ce-417a-8527-b62cbb043fe7) + ) + (label "VA3" (at 18.415 120.65 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e139adee-5918-4d59-ba4e-3ae01d3fffb1) + ) + (label "VA4" (at 18.415 123.19 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d4aa5ab5-9547-4f90-8694-9b4218b9b662) + ) + (label "VA5" (at 18.415 125.73 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 5168c317-a778-48c2-aece-aae361c9d603) + ) + (label "VA6" (at 18.415 128.27 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 3e88b05c-cf7f-4231-a5f9-1401ebafd932) + ) + (label "VA7" (at 18.415 130.81 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 51c3b272-630e-43c4-8a88-a4120534f7de) + ) + (label "VA15" (at 18.415 133.35 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 8882388d-eb72-4260-9726-2748a520a2f5) + ) + (label "VA18" (at 18.415 135.89 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 1f09818b-9484-4930-9228-3e1773850e83) + ) + (label "VA14" (at 18.415 138.43 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 34dda5d5-9c51-4a1e-8d10-dd5f2d5865e8) + ) + (label "VA16" (at 18.415 140.97 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 1147032c-4f3d-4ff1-af0c-6cd616f2cb36) + ) + (label "VA9" (at 18.415 143.51 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d356e8a6-bb00-45e7-a78f-d1d750cce89d) + ) + (label "VA13" (at 18.415 146.05 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 36b9e631-3081-45cf-bc37-a81c42a69c80) + ) + (label "VA11" (at 18.415 148.59 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid b8213b5e-7719-46a9-a18d-d6a35d8ce296) + ) + (label "VA8" (at 18.415 151.13 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 0d9d3a5f-c414-45fd-8bd5-d6e5c4467060) + ) + (label "VA10" (at 18.415 153.67 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid b33bcfa2-c4ac-4e9f-817e-66102b83c580) + ) + (label "VA17" (at 18.415 156.21 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 77cb154b-ea2a-49ff-b766-7a34cc2ce158) + ) + (label "VA12" (at 18.415 158.75 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid bf888299-6b4f-4dd7-90f5-a0e55dd67d61) + ) + (label "~SD_CS" (at 19.05 191.77 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c501a776-fd70-4976-a2aa-ce47a6e667d0) + ) + (label "SD_MOSI\\TAPE" (at 19.05 194.31 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 4b6dd75b-27b8-4004-a04c-c25909d1e390) + ) + (label "SD_SCK" (at 19.05 199.39 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 9402c3d7-61b9-4b27-8357-14b7e415a0ff) + ) + (label "SD_MISO\\TAPE" (at 19.05 204.47 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid f81e120d-65e2-48a5-b22c-6fa853074a55) + ) + (label "VD4" (at 62.865 113.03 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 647006f1-de46-4671-ae10-9a3185351656) + ) + (label "VD3" (at 62.865 115.57 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 30d0199b-d2f0-4ba2-8000-a13111ea1332) + ) + (label "VD5" (at 62.865 118.11 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid ff5dfa6c-8303-45bc-9f3f-d675993a06ee) + ) + (label "VD6" (at 62.865 120.65 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 46a9017c-5fb1-408f-a928-04cb9e55a3d8) + ) + (label "VD2" (at 62.865 123.19 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 2b613688-dabd-475e-b134-2828c9787553) + ) + (label "VD7" (at 62.865 125.73 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 82e8ad36-7525-4051-b5f7-4fdea768b533) + ) + (label "VD1" (at 62.865 128.27 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 7bcc6679-f4d5-45e9-ac6c-f9b80db1f7e1) + ) + (label "VD0" (at 62.865 130.81 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 3e8e1cfc-c8aa-4139-90ff-5e181dc09431) + ) + (label "~VRD" (at 62.865 140.97 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid a7d56a28-4973-4417-aca2-6f96b61f49a6) + ) + (label "~VWR" (at 62.865 143.51 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 91479f72-c25a-432c-9875-aff044117992) + ) + (label "~SD_CD" (at 64.135 201.93 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid c5026083-c350-44e7-bd61-9fdce279999e) + ) + (label "~RSTCPU" (at 64.77 30.48 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 0cb514ea-8d3e-4718-9035-8d08d29463bc) + ) + (label "CLKCPU" (at 64.77 38.1 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 71d6e060-945d-481d-bb8a-0b0545803e41) + ) + (label "~NMI" (at 64.77 45.72 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid c5d89c1c-bb5d-4089-b6e4-5b34ee09770b) + ) + (label "~INT" (at 64.77 48.26 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b82a5a61-6866-46d9-b722-f20d113c32b3) + ) + (label "~M1" (at 64.77 55.88 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid a8c7aff7-e5c3-42c2-9ef7-dedb2fb824e5) + ) + (label "~RFSH" (at 64.77 58.42 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 537d1f67-dc98-4e21-a42e-987bfc51bfdb) + ) + (label "~RD" (at 64.77 73.66 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 8bdb2aa4-b2bb-4fd3-a11a-1b1a52d86553) + ) + (label "~WR" (at 64.77 76.2 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid f523e731-2a3f-4ce2-a3a8-2fee45ecd67d) + ) + (label "~MREQ" (at 64.77 78.74 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid fc1e1e51-91a7-4018-8a11-f3927937ab7c) + ) + (label "~IORQ" (at 64.77 81.28 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 8d8f335c-ece8-41f3-9d50-3f766d7d710d) + ) + (label "CLKCPU" (at 71.755 41.275 90) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid cbd1f6f0-4bb6-47a6-89bc-4dfc5713fd39) + ) + (label "SD_MOSI\\TAPE" (at 71.755 182.245 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid fae08d1f-02c8-4af3-ab5c-a7ee91936c6e) + ) + (label "TAPE_IN" (at 71.755 200.025 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid b40be168-2463-4af9-bc4c-2e94768446f6) + ) + (label "~EPCS_CS" (at 84.455 31.75 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 197d0577-3d62-4738-a15c-3a5a75670c9f) + ) + (label "EPCS_DCLK" (at 84.455 34.29 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 0e424736-b06d-4a3f-a288-bacd0a0e0805) + ) + (label "VA0" (at 93.98 85.09 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 2977e758-3dc1-4a10-93c6-81d2bba87bbe) + ) + (label "VA1" (at 93.98 87.63 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 1785d82c-0db0-4f8c-b0be-d8fc9ae4eb20) + ) + (label "VA2" (at 93.98 90.17 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 40748bd2-05f2-4eb8-ace1-0e27704a1346) + ) + (label "VA3" (at 93.98 92.71 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 45347120-b491-4a3a-8966-4a775dac4c59) + ) + (label "VA5" (at 93.98 100.965 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid a4336866-1b8d-4fb1-98df-0cb49880b427) + ) + (label "VA6" (at 93.98 103.505 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid cdde3986-e0f8-4ec5-a399-b28d3d650316) + ) + (label "VA7" (at 93.98 106.045 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e8109dfd-83b6-42c6-ae4d-180fa86c0ade) + ) + (label "VA8" (at 93.98 108.585 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid be277419-16b3-4aae-9b29-0551b1c9b60a) + ) + (label "VA9" (at 93.98 116.84 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 1841a063-05ed-4f58-b83c-e9b8e84be776) + ) + (label "VA10" (at 93.98 119.38 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 3ae64dec-3ade-4195-9655-d832a1fc134b) + ) + (label "VA11" (at 93.98 121.92 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d527664d-de32-458e-9ebc-0e21de915309) + ) + (label "VA12" (at 93.98 124.46 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d9ea32aa-95c9-491b-8578-6edb5615bf6f) + ) + (label "VD2" (at 93.98 132.715 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 549ee931-6660-4918-a244-2143e3ddcc03) + ) + (label "VD7" (at 93.98 135.255 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 8ec0b6f9-969d-4419-b58b-b20c3ef2486a) + ) + (label "VD0" (at 93.98 137.795 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 2fd75fee-23c6-4a89-9a23-2a2d79731d27) + ) + (label "VD1" (at 93.98 140.335 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 0fbab0d4-ea9d-49d9-8a29-c98613f10e04) + ) + (label "VD4" (at 93.98 148.59 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e57ceb58-ee54-47fe-a0c2-dc22655c40c6) + ) + (label "VD3" (at 93.98 151.13 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid f80e0037-5cb7-4306-a332-6b228c70f6ad) + ) + (label "VD5" (at 93.98 153.67 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid a4f25b56-8574-4c7c-bff7-af917f26d2fa) + ) + (label "VD6" (at 93.98 156.21 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid cdef6356-0ed5-43b7-bc75-f8f8dd205bd8) + ) + (label "VA4" (at 93.98 161.925 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 8509b302-ec9a-4056-92c5-97b7abf875b9) + ) + (label "CLK" (at 114.3 61.595 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 57da633f-bac3-4090-93f9-cc5f87a57a8c) + ) + (label "A0" (at 114.3 85.09 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b89e64d5-72df-404f-8185-978766b4dd6d) + ) + (label "A1" (at 114.3 87.63 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 2292576a-6871-4198-bbb3-7e89f2759530) + ) + (label "A2" (at 114.3 90.17 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid d2c81d97-22df-4fc0-9068-7191740635dc) + ) + (label "A3" (at 114.3 92.71 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b61b3c60-4c92-4692-a796-374e849c34d2) + ) + (label "A5" (at 114.3 100.965 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 30efff57-0448-42b3-865f-8d45a9a0ea47) + ) + (label "A6" (at 114.3 103.505 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 44a5f1e0-049a-4459-8932-340f1b837661) + ) + (label "A7" (at 114.3 106.045 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 534cdd07-65d8-43be-bb32-a13f65715113) + ) + (label "A8" (at 114.3 108.585 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid a4077009-4f21-4817-b410-f45cfab96332) + ) + (label "A9" (at 114.3 116.84 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 3309aa1a-58a2-403a-94b5-b23384027f43) + ) + (label "A10" (at 114.3 119.38 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 2fd15c34-a973-45bf-a00e-06ad6e5ce3d6) + ) + (label "A11" (at 114.3 121.92 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 1b7f22d7-1c92-4623-b575-a584f088c028) + ) + (label "A12" (at 114.3 124.46 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 040821ea-a000-409f-8165-17e66f836ac1) + ) + (label "D2" (at 114.3 132.715 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 8afd95f6-d159-45b3-bac7-e360bcf3a273) + ) + (label "D7" (at 114.3 135.255 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 356d0f07-e483-4418-a27e-79d2fe79adcc) + ) + (label "D0" (at 114.3 137.795 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid c9e45bd7-2bb5-451f-beaf-23f148a0eaf9) + ) + (label "D1" (at 114.3 140.335 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid e889fbd6-fe29-463a-a9fa-5105c6c0ab27) + ) + (label "D4" (at 114.3 148.59 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid c8f05b9d-b954-499b-bc93-3240968e20fb) + ) + (label "D3" (at 114.3 151.13 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 3d6a822e-64f6-487c-beaa-1ae3382d99b0) + ) + (label "D5" (at 114.3 153.67 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 9e37616b-0add-41dd-9481-63380b437b59) + ) + (label "D6" (at 114.3 156.21 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b0f14bf0-4a29-488c-8eba-88613cb4948b) + ) + (label "A4" (at 114.3 161.925 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 717f6f22-74a6-4fc1-8730-612b1a32acc4) + ) + (label "EPCS_DATA" (at 122.555 31.75 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid e99f6a41-74ae-4694-b8f8-12a2487e7b16) + ) + (label "EPCS_ASD" (at 122.555 34.29 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 97fb0a64-548b-40d0-ab7b-a3098234aa90) + ) + (label "TAPE_OUT" (at 126.365 182.245 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 8a6b97fa-442d-4f8c-98dd-e824b49fc8a8) + ) + (label "SD_MISO\\TAPE" (at 126.365 189.865 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 12656f9a-7475-498d-a0a7-1f22d6f239d8) + ) + (label "TCK" (at 133.985 184.785 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid bf701abd-f0fd-403d-b9b0-a39d55f1d930) + ) + (label "TDO" (at 133.985 187.325 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid f9946e29-ac26-4414-923a-7a36129b7f48) + ) + (label "TMS" (at 133.985 189.865 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 02ec402b-dadf-4088-9a81-487a31390528) + ) + (label "TDI" (at 133.985 194.945 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 607f82c9-213c-44bb-866b-dfcaa15c9312) + ) + (label "TDO" (at 135.255 38.735 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 1d328b6a-2eff-43fe-a8eb-71c8136d1700) + ) + (label "TCK" (at 135.255 41.275 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid f9924553-a285-402c-bcae-2d52029e450a) + ) + (label "TDI" (at 135.255 43.815 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 267734b1-2b4f-4f25-b853-129960bceac2) + ) + (label "TMS" (at 135.255 46.355 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 63d59d8c-c6da-44c7-82d2-7445d449bbdb) + ) + (label "CLK" (at 135.255 55.245 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e747af79-b003-40d3-95c1-0fa732626190) + ) + (label "VA10" (at 135.255 65.405 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid caa705b4-fb47-4ec0-9d3a-094b3a1cd942) + ) + (label "VA5" (at 135.255 67.945 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 2ffcdc33-9612-4615-9037-abcb191d62d8) + ) + (label "VD4" (at 135.255 70.485 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 011061ec-d9b1-42ab-88ba-8c6f6e6427a0) + ) + (label "VA12" (at 135.255 73.025 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid edc325f8-3b35-4b5b-a86d-7bb1f7f1cd72) + ) + (label "VA11" (at 135.255 75.565 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 04a7a9e3-1028-4122-a6b1-fc4f8dd1002b) + ) + (label "~EPCS_CS" (at 135.255 78.105 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid fa38aced-64ab-4083-af9b-f51dfe2e5518) + ) + (label "EPCS_ASD" (at 135.255 80.645 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e17da69f-2b93-4269-b6ba-8675aed946a9) + ) + (label "PS2_CLK" (at 135.255 83.185 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6e0a33b1-c1f0-4e06-be6d-d39d4ec98dab) + ) + (label "PS2_DAT" (at 135.255 85.725 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 690b6545-566b-4171-bc32-022d553618d5) + ) + (label "SND_DAC_L" (at 135.255 93.345 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c272762f-2f80-43de-ad1d-c810a0cce34f) + ) + (label "SND_DAC_R" (at 135.255 95.885 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid eec93bde-9dd2-46c1-adb6-fc15bdbdbe7c) + ) + (label "V7" (at 135.255 98.425 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 794633a5-92a5-4ee2-81c2-e810ddb9df6f) + ) + (label "V6" (at 135.255 100.965 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c236c16f-e5d2-407b-bf22-c0e79457ba5b) + ) + (label "V5" (at 135.255 103.505 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid bb574817-0970-4233-b829-c6ebd15e7bf3) + ) + (label "V4" (at 135.255 106.045 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 93d110d7-1782-40b5-a0d6-8560e05bb3eb) + ) + (label "V3" (at 135.255 108.585 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d399c2df-ed05-4182-92ef-bd04016bd72b) + ) + (label "V2" (at 135.255 111.125 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e51b53ad-b991-41ca-99e1-cf4f53b47a9c) + ) + (label "V1" (at 135.255 113.665 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 347aa415-cbd5-423a-a462-76da8aa79169) + ) + (label "V0" (at 135.255 116.205 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6c92bfc3-64f6-4f3b-882f-354a908c5a6d) + ) + (label "~SD_CD" (at 135.255 118.745 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 53a0d154-c68b-4115-9c0b-07b810260f94) + ) + (label "~SD_CS" (at 135.255 121.285 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e5f2da56-2084-400c-a918-cc31db7e566b) + ) + (label "SD_MISO\\TAPE" (at 135.255 123.825 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d538a928-d7b8-41f9-8410-67e04351c423) + ) + (label "SD_SCK" (at 135.255 126.365 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 8c3efd5d-9eac-4d39-ab56-f46311470ea4) + ) + (label "SD_MOSI\\TAPE" (at 135.255 128.905 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 778b0940-8554-4a58-b92c-6de5d3b9a424) + ) + (label "VD2" (at 135.255 131.445 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid b4fbba39-9823-4e85-b445-08d756a4e86a) + ) + (label "VD0" (at 135.255 133.985 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6c3a9744-b421-4edb-86a6-acae1b2bd11e) + ) + (label "VD7" (at 135.255 136.525 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid a2e6c6d8-65b2-4373-ae3a-f651851a08a8) + ) + (label "VD1" (at 135.255 139.065 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 6f6a4131-abee-42bc-8d3b-a429d6d92852) + ) + (label "EPCS_DCLK" (at 196.215 48.895 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 0ab6558c-df26-48a3-a88c-0c33c6c4fc1c) + ) + (label "EPCS_DATA" (at 196.215 51.435 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 1f0761ee-a7ac-471a-b0e1-c98cd7d30e33) + ) + (label "VD6" (at 196.215 57.785 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 8569be72-318b-480f-99ce-266856b34310) + ) + (label "~MREQ" (at 196.215 60.325 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 5f80592f-0e96-4f01-88f9-3f5b0a4b4f0c) + ) + (label "~IORQ" (at 196.215 62.865 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid a396ef8f-6ecd-493f-a486-e805f3200dab) + ) + (label "~INT" (at 196.215 65.405 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 341d7718-03d7-4fd3-8cd3-8f1c83d9403c) + ) + (label "~NMI" (at 196.215 67.945 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid f6e1b650-4db0-4240-af45-97db8c288dae) + ) + (label "VA14" (at 196.215 70.485 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 68489a4c-3939-449d-87d7-6ebdd1762759) + ) + (label "~VRD" (at 196.215 73.025 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 19966dd9-0bf3-482f-b61f-2eee97901494) + ) + (label "VA16" (at 196.215 75.565 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid fbc57210-8a89-493c-95e9-96d30e932fff) + ) + (label "VA18" (at 196.215 78.105 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 12bf6d7f-b5a7-425e-8d40-2d5bf225ed69) + ) + (label "VA15" (at 196.215 80.645 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid a9fda09a-92f1-4550-8e5d-7c9db04bd606) + ) + (label "VA13" (at 196.215 83.185 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 93183acf-61dc-4234-a131-810faae9b092) + ) + (label "~VWR" (at 196.215 85.725 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b136c116-c814-4161-8e8a-d50d0c05e2f4) + ) + (label "VA17" (at 196.215 88.265 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 095cc9b4-5e76-43d3-9a96-8631c8cde5d2) + ) + (label "CLKCPU" (at 196.215 90.805 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 3cd4bd26-822d-4e2a-b578-939ff87b19f5) + ) + (label "~WR" (at 196.215 93.345 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid e7387e2b-e865-4eec-9613-7d35c79b0a77) + ) + (label "~RD" (at 196.215 95.885 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid ff460ecd-903b-438a-87b8-b6cacb666b10) + ) + (label "A14" (at 196.215 98.425 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid ac33f6e1-c85a-4eb4-a702-dab656ab258e) + ) + (label "A15" (at 196.215 100.965 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 2e42e32f-a722-4331-aa8f-9c1608a7d87d) + ) + (label "A13" (at 196.215 103.505 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 13fc051e-76a4-47e3-8044-96d3295f1154) + ) + (label "VD5" (at 196.215 106.045 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 0d9920a7-3a5c-48c4-aef8-3cb23b8fc380) + ) + (label "~RSTCPU" (at 196.215 108.585 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 118022b6-8126-44d0-ac74-12457fa8e046) + ) + (label "~M1" (at 196.215 111.125 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 7d1ce6c8-8674-4286-af7c-fd8a5dba0a93) + ) + (label "~RFSH" (at 196.215 113.665 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 52ee4f9a-a85f-4b3a-ae6f-e5fdf5ba15ba) + ) + (label "VD3" (at 196.215 116.205 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 461873c8-148c-4699-8292-67a07e636221) + ) + (label "VA0" (at 196.215 118.745 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 55354c10-243f-4e23-b9fc-5c9907f95ee8) + ) + (label "VA1" (at 196.215 121.285 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 5d05d8d3-dde0-497f-88fd-081820818bd4) + ) + (label "VA2" (at 196.215 123.825 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 92c6ef3a-6e26-4ee8-9900-7f33053f62f0) + ) + (label "VA3" (at 196.215 126.365 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 9e92cf6b-0c3d-4d43-adad-976d3d77d5d2) + ) + (label "VA4" (at 196.215 128.905 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 2391a1cb-00fa-43c9-bd21-4894863cfd35) + ) + (label "VA6" (at 196.215 131.445 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid e42a796d-de35-4e1f-a107-7db5e7037737) + ) + (label "VA7" (at 196.215 133.985 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 4eb68796-1774-4fb7-8c6b-fd9376ce7dd5) + ) + (label "VA8" (at 196.215 136.525 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b0cc1ae0-1e46-4007-ae58-72821190a117) + ) + (label "VA9" (at 196.215 139.065 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 62f626e1-637f-4b74-82b3-8003cb0a6463) + ) + (label "PS2_DAT" (at 207.645 167.64 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 0f2002f0-46e0-48dc-b75e-796af2c00587) + ) + (label "PS2_CLK" (at 207.645 170.18 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid edbba921-e0b6-4b2e-9f85-e570161c757a) + ) + (label "V7" (at 210.82 93.98 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 785596f9-03ce-41db-878e-e90ba8ea46ca) + ) + (label "V6" (at 210.82 98.425 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid d77c1959-7bac-443c-8208-6c253fc796e0) + ) + (label "V5" (at 210.82 102.87 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 2cbfb8af-0f90-4add-8317-284bdfbc87e4) + ) + (label "V4" (at 210.82 107.315 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 4e14bf4a-875d-486e-845e-758fe76afebc) + ) + (label "V3" (at 210.82 111.76 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid ceff4446-bde3-460f-b078-75734c743871) + ) + (label "V2" (at 210.82 116.205 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c61b2370-dd5a-469d-a7b0-c9aed950cd7c) + ) + (label "V1" (at 210.82 120.65 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 1205a1b7-02b0-4300-9c64-73a3c4d85b21) + ) + (label "V0" (at 210.82 125.095 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 5f0b4a19-3923-49d6-b09a-f23cb2477391) + ) + (label "Video" (at 245.11 93.98 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 978b7c48-de64-4439-b790-9d9de5df1c03) + ) + (label "SND_DAC_L" (at 260.35 94.615 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 08627d88-3b76-4bd2-8cc3-aa4b0d74ed45) + ) + (label "SND_DAC_R" (at 260.35 103.505 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid f7fce7cf-0a73-4725-a038-8bd17ffb335a) + ) + (label "Video" (at 274.32 147.32 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 776c7cd6-ffe9-4977-8bae-981088aa7e35) + ) + (label "SND_R" (at 274.32 152.4 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid c3e80048-f097-481c-b6c0-89b530147f44) + ) + (label "SND_L" (at 274.32 154.94 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid 38981170-26e3-4865-b5b6-ec44a5461d2f) + ) + (label "TAPE_OUT" (at 274.32 169.545 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid bfe21898-3de0-499e-bf5d-6e02c9f06569) + ) + (label "TAPE_IN" (at 274.32 172.085 0) + (effects (font (size 0.9906 0.9906)) (justify left bottom)) + (uuid e8f3be23-7a2b-47cf-b51f-c17c6fed4c3d) + ) + (label "SND_L" (at 300.99 94.615 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid b69207e1-1db5-4756-a2fb-1cc4ab526d70) + ) + (label "SND_R" (at 300.99 103.505 180) + (effects (font (size 0.9906 0.9906)) (justify right bottom)) + (uuid 2c2ca85e-6936-454f-9349-68cb7dfc6c8e) + ) + + (global_label "1V5_PLL" (shape input) (at 178.435 19.685 180) (fields_autoplaced) + (effects (font (size 0.9906 0.9906)) (justify right)) + (uuid 05e3e8b0-07e1-4072-b392-d5630212d847) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + (global_label "1V5_PLL" (shape output) (at 299.72 24.765 90) (fields_autoplaced) + (effects (font (size 0.9906 0.9906)) (justify left)) + (uuid 812b47ee-58a9-4a00-86a4-b7a18cd03fee) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "power:+3V3") (at 32.385 196.85 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid c05c53ba-5f1f-43f5-8186-907568ea574f) + (property "Reference" "#PWR0115" (id 0) (at 36.195 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 27.305 196.85 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 32.385 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 32.385 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 28d416e1-8844-4165-bfe8-b9deaff25c99)) + ) + + (symbol (lib_id "power:+3V3") (at 33.02 180.975 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid d08a3d36-dcef-4dd8-a779-81df1662ceee) + (property "Reference" "#PWR0116" (id 0) (at 33.02 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 33.02 177.165 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 33.02 180.975 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 33.02 180.975 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4209fe4a-34b3-4bab-933e-bafdbdd22ede)) + ) + + (symbol (lib_id "power:+3V3") (at 40.64 22.86 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 2a28359e-b433-4aec-be26-52489fcca016) + (property "Reference" "#PWR0117" (id 0) (at 40.64 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 40.64 19.05 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 40.64 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a0a1372e-7c92-4ffa-862c-6f131e8e86f6)) + ) + + (symbol (lib_id "power:+3V3") (at 40.64 107.95 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 5de5a0fa-5607-41de-b0a5-2fc49184bd9b) + (property "Reference" "#PWR0119" (id 0) (at 40.64 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 40.64 104.14 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 40.64 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f342e1c9-3dc6-4c1b-8405-811f9881787d)) + ) + + (symbol (lib_id "power:+3V3") (at 58.42 60.96 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid ee6042b1-6b47-42a7-a1e1-c2e78791152f) + (property "Reference" "#PWR0113" (id 0) (at 54.61 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 63.5 60.96 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 58.42 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 58.42 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b5c19998-7899-4d2a-a93d-d15f3d2adc3d)) + ) + + (symbol (lib_id "power:+3V3") (at 58.42 88.9 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 21acab10-9ac2-4bc4-a3a1-9783d8e667d3) + (property "Reference" "#PWR0118" (id 0) (at 54.61 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 63.5 88.9 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 58.42 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 58.42 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ddac3d0-5b8f-4edc-8e53-cb4fc59c1ad7)) + ) + + (symbol (lib_id "power:+3.3V") (at 71.755 27.94 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068fb0b41) + (property "Reference" "#PWR010" (id 0) (at 71.755 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3.3V" (id 1) (at 70.485 24.13 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 71.755 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 71.755 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f0913c1c-7b29-4d96-8d45-58cb2b4ba304)) + ) + + (symbol (lib_id "power:+3V3") (at 100.965 22.86 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 45303fe1-c58e-4f64-9e79-ecf65dff9b98) + (property "Reference" "#PWR0108" (id 0) (at 100.965 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 100.965 19.05 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 100.965 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 100.965 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0b655e6a-628b-4cab-a7f7-b307c2fa8d63)) + ) + + (symbol (lib_id "power:+3V3") (at 102.235 53.975 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 09da9896-fd5e-4e59-8a96-7bbe883be027) + (property "Reference" "#PWR0109" (id 0) (at 102.235 57.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 105.41 52.07 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 102.235 53.975 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 102.235 53.975 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 91c01753-7692-497c-a5e9-ce9451b3abac)) + ) + + (symbol (lib_id "power:+3V3") (at 111.125 190.5 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid bd5e647a-9762-46fb-ad0f-5752fce87100) + (property "Reference" "#PWR0114" (id 0) (at 111.125 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 111.125 186.69 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 111.125 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 111.125 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b762344a-58f1-4f56-9c20-66eac53ed6a1)) + ) + + (symbol (lib_id "power:+3V3") (at 140.335 197.485 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 6094814b-cbf7-4f44-9d7c-9c98abdca059) + (property "Reference" "#PWR0107" (id 0) (at 144.145 197.485 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 135.255 197.485 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 140.335 197.485 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 140.335 197.485 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9b4ef5ba-b6bc-4492-911f-94bbb5c6a714)) + ) + + (symbol (lib_id "power:+1V5") (at 153.035 23.495 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000069a8c07c) + (property "Reference" "#PWR06" (id 0) (at 153.035 27.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V5" (id 1) (at 153.035 19.685 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 153.035 23.495 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 153.035 23.495 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b1d9c6b7-3f00-4524-81e9-dbf9c8c2eac5)) + ) + + (symbol (lib_id "power:+3V3") (at 163.195 23.495 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 59f4d9d1-354e-45f8-8e56-debe6d193e26) + (property "Reference" "#PWR0110" (id 0) (at 163.195 27.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 163.195 19.685 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 163.195 23.495 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 163.195 23.495 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dae533ef-0cfa-484f-8837-ca3718046f45)) + ) + + (symbol (lib_id "power:+3V3") (at 192.405 29.845 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid db4b9dc9-56df-48d2-b51f-0b34cf771f05) + (property "Reference" "#PWR0111" (id 0) (at 192.405 33.655 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 192.405 26.035 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 192.405 29.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 192.405 29.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8fe3da2f-6c87-4c0c-b9cb-76061d1f1055)) + ) + + (symbol (lib_id "power:+3V3") (at 213.995 52.07 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0a379dd1-452c-4810-9279-37a33ffb1f7c) + (property "Reference" "#PWR0102" (id 0) (at 213.995 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 213.995 48.26 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 213.995 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 213.995 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a6e3f34e-1500-4166-a5cc-70c54913d315)) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 158.75 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid f958cd73-56f1-4971-ba88-cb6fb6639002) + (property "Reference" "#PWR0112" (id 0) (at 231.14 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 231.14 154.94 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 231.14 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 231.14 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eb13e593-33de-4ff5-b310-18512fdf16d8)) + ) + + (symbol (lib_id "power:+5V") (at 238.76 22.225 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b6c7c72) + (property "Reference" "#PWR02" (id 0) (at 238.76 26.035 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 238.76 18.415 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 238.76 22.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 238.76 22.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9fe495dd-8e51-4f60-93b7-9ba3b9afb147)) + ) + + (symbol (lib_id "power:+5V") (at 244.475 158.75 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006246e7b6) + (property "Reference" "#PWR043" (id 0) (at 244.475 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 244.475 154.94 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 244.475 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 244.475 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cb9ddaa7-a7ea-46fb-b280-d7911d5ad2a7)) + ) + + (symbol (lib_id "power:+3V3") (at 259.715 22.225 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid d0469696-817c-4e6a-9b6b-14d3c8de7adb) + (property "Reference" "#PWR0105" (id 0) (at 259.715 26.035 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (id 1) (at 259.715 18.415 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 259.715 22.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 259.715 22.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ed8bd11b-69d9-459a-b07a-6ff61666e7a6)) + ) + + (symbol (lib_id "power:+5V") (at 265.43 24.765 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000065ff8b36) + (property "Reference" "#PWR08" (id 0) (at 265.43 28.575 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 265.43 20.955 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 265.43 24.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 265.43 24.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eaa28e01-859d-4b74-a325-877e771f1ed4)) + ) + + (symbol (lib_id "power:+1V5") (at 280.67 52.07 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1d66de1a-d197-4668-a40a-91ccd4f2dc48) + (property "Reference" "#PWR0103" (id 0) (at 280.67 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V5" (id 1) (at 280.035 48.26 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 280.67 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 280.67 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ff4818bf-ede5-4f23-bfc8-9a6bfef8f458)) + ) + + (symbol (lib_id "power:+1V5") (at 283.845 22.225 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 12d63766-dbc5-4d1a-9734-51449ada21c2) + (property "Reference" "#PWR0104" (id 0) (at 283.845 26.035 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V5" (id 1) (at 283.845 18.415 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 283.845 22.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 283.845 22.225 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f0db7e32-aa62-46a5-9c5a-55fc0b27c12a)) + ) + + (symbol (lib_id "power:+1V5") (at 288.925 68.58 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 817272d6-78fb-45e1-a6ca-f690c827cca3) + (property "Reference" "#PWR0106" (id 0) (at 288.925 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V5" (id 1) (at 288.925 64.77 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 288.925 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 288.925 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9163dd5f-50b8-4c5c-b9d0-edc38d4e050e)) + ) + + (symbol (lib_id "power:+5V") (at 292.1 24.765 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000780a82c3) + (property "Reference" "#PWR09" (id 0) (at 292.1 28.575 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (id 1) (at 292.1 20.955 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 292.1 24.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 292.1 24.765 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 18b1daa0-2082-47df-9a70-5a04c9a741d2)) + ) + + (symbol (lib_id "power:PWR_FLAG") (at 229.87 30.48 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fe78f58) + (property "Reference" "#FLG02" (id 0) (at 227.965 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 225.4758 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (id 2) (at 229.87 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 229.87 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1a3d1482-b367-4b87-867f-4c62fa725be0)) + ) + + (symbol (lib_id "power:PWR_FLAG") (at 299.72 26.67 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061612f70) + (property "Reference" "#FLG01" (id 0) (at 301.625 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 304.1142 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "" (id 2) (at 299.72 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 299.72 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf026285-5640-4fb9-9914-2cb738ebba93)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 145.415 88.265 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0ec5c23b-4e61-4be7-932e-26c122bc1573) + (property "Reference" "TP1" (id 0) (at 139.065 88.265 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "TestPoint" (id 1) (at 143.5862 85.6234 90) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 145.415 83.185 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 145.415 83.185 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d021c6a1-6f92-485d-b061-90ea428f1c4f)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 145.415 90.805 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 19c32349-4854-473f-af3d-e27d549a9a3d) + (property "Reference" "TP2" (id 0) (at 139.065 90.805 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "TestPoint" (id 1) (at 143.5862 88.1634 90) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 145.415 85.725 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 145.415 85.725 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 405778a6-5de7-4f61-b2f1-0db26c289b5c)) + ) + + (symbol (lib_id "power:GND") (at 32.385 201.93 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e51a17f) + (property "Reference" "#PWR056" (id 0) (at 26.035 201.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 27.9908 202.057 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 32.385 201.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 32.385 201.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3b637d9a-4421-4cbb-bd27-fd2b96f64b07)) + ) + + (symbol (lib_id "power:GND") (at 40.64 99.06 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005ffb0cc1) + (property "Reference" "#PWR031" (id 0) (at 40.64 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 40.767 103.4542 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 40.64 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a6a8d114-a1e3-4e81-977f-c51d368c18bc)) + ) + + (symbol (lib_id "power:GND") (at 40.64 163.83 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000713b4e3b) + (property "Reference" "#PWR044" (id 0) (at 40.64 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 40.767 168.2242 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 40.64 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 40.64 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16e6e52d-bf9f-466f-9de5-44f358e5336f)) + ) + + (symbol (lib_id "power:GND") (at 55.88 138.43 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061100997) + (property "Reference" "#PWR039" (id 0) (at 62.23 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 60.2742 138.557 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 55.88 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 55.88 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 46f623c0-0311-43ac-bd8c-7bfe9a3dc4d4)) + ) + + (symbol (lib_id "power:GND") (at 59.69 204.47 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fa44d9a) + (property "Reference" "#PWR059" (id 0) (at 66.04 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 64.0842 204.597 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 59.69 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 59.69 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f9da602c-aa5c-4865-9832-664510952eab)) + ) + + (symbol (lib_id "power:GND") (at 59.69 207.01 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e519bc3) + (property "Reference" "#PWR060" (id 0) (at 66.04 207.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 64.0842 206.883 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 59.69 207.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 59.69 207.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c5a77d2c-f6a9-4286-ab50-d3e215819ac6)) + ) + + (symbol (lib_id "power:GND") (at 102.235 69.215 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006beb40fc) + (property "Reference" "#PWR012" (id 0) (at 102.235 75.565 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 102.362 73.6092 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 102.235 69.215 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 102.235 69.215 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 75193df5-b0a9-4aa8-8060-d0a6ef6ff3d9)) + ) + + (symbol (lib_id "power:GND") (at 103.505 43.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006d9d4399) + (property "Reference" "#PWR029" (id 0) (at 103.505 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 103.632 47.5742 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 103.505 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 103.505 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1e714ad0-2e7f-47c7-905c-7eeac0065ef8)) + ) + + (symbol (lib_id "power:GND") (at 119.38 208.28 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006853cdcf) + (property "Reference" "#PWR055" (id 0) (at 119.38 214.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 119.507 212.6742 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 119.38 208.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 119.38 208.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 58ced442-e246-4a58-9f63-d131dc745763)) + ) + + (symbol (lib_id "power:GND") (at 140.335 192.405 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005de095fc) + (property "Reference" "#PWR049" (id 0) (at 133.985 192.405 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 135.89 191.77 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 140.335 192.405 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 140.335 192.405 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0c810b9e-46f6-4db0-9a0d-6351dbb55885)) + ) + + (symbol (lib_id "power:GND") (at 145.415 51.435 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006d2a5a4e) + (property "Reference" "#PWR019" (id 0) (at 139.065 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 141.0208 51.562 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 145.415 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 145.415 51.435 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1ecc74cb-bff7-41a4-a5f0-f325429e3ceb)) + ) + + (symbol (lib_id "power:GND") (at 178.435 155.575 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fa70966) + (property "Reference" "#PWR041" (id 0) (at 178.435 161.925 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 178.562 159.9692 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 178.435 155.575 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 178.435 155.575 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6720c22e-fa37-4260-90f5-b270d4eda76f)) + ) + + (symbol (lib_id "power:GND") (at 186.055 46.355 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006d2e1c10) + (property "Reference" "#PWR017" (id 0) (at 192.405 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 190.4492 46.228 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 186.055 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 186.055 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b47225c-0f25-415e-8c16-96376ec879f7)) + ) + + (symbol (lib_id "power:GND") (at 213.995 59.69 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f3425b2) + (property "Reference" "#PWR025" (id 0) (at 213.995 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 214.122 64.0842 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 213.995 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 213.995 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 587d8d88-7c23-4e73-b87e-13b824137a17)) + ) + + (symbol (lib_id "power:GND") (at 215.9 43.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006176fe1d) + (property "Reference" "#PWR016" (id 0) (at 215.9 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 216.027 47.5742 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 215.9 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 215.9 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 94cf2a44-591a-46fc-baa3-88a8935df642)) + ) + + (symbol (lib_id "power:GND") (at 229.87 129.54 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006fe3696c) + (property "Reference" "#PWR032" (id 0) (at 223.52 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 225.4758 129.667 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 229.87 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 229.87 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid addee65c-c416-44d5-9f25-298814d5b5a4)) + ) + + (symbol (lib_id "power:GND") (at 234.315 27.94 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1f2f49c8-5038-4a9e-bde6-be0121e24ffc) + (property "Reference" "#PWR0101" (id 0) (at 240.665 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 238.7092 27.813 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 234.315 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 234.315 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3c3e6051-fd47-4923-8739-6cd928b7ec69)) + ) + + (symbol (lib_id "power:GND") (at 248.92 38.735 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f86bde7) + (property "Reference" "#PWR013" (id 0) (at 248.92 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 249.047 43.1292 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 248.92 38.735 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 248.92 38.735 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2b84b17f-7942-46ed-a2c6-49261da71704)) + ) + + (symbol (lib_id "power:GND") (at 257.81 172.72 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000063b8e2d2) + (property "Reference" "#PWR046" (id 0) (at 251.46 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 253.4158 172.847 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 257.81 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 257.81 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f2a49e60-4901-4a7c-ac9b-c7c9c38ebb29)) + ) + + (symbol (lib_id "power:GND") (at 273.05 38.735 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000661d0406) + (property "Reference" "#PWR014" (id 0) (at 273.05 45.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 273.177 43.1292 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 273.05 38.735 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 273.05 38.735 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 89291126-c163-4144-a360-af96dbb78a9e)) + ) + + (symbol (lib_id "power:GND") (at 283.845 149.86 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d12ca06) + (property "Reference" "#PWR040" (id 0) (at 277.495 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 279.4 149.86 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 283.845 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 283.845 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6edd3515-ffaa-4f48-8098-70f1efc56d5f)) + ) + + (symbol (lib_id "power:GND") (at 283.845 167.005 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e2bdc4f) + (property "Reference" "#PWR045" (id 0) (at 277.495 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 279.4 167.005 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "" (id 2) (at 283.845 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 283.845 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9a41d19c-93d5-44d5-ad12-aed43089c51e)) + ) + + (symbol (lib_id "power:GND") (at 284.48 114.935 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000648731bc) + (property "Reference" "#PWR058" (id 0) (at 284.48 121.285 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 284.607 119.3292 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 284.48 114.935 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 284.48 114.935 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 140c9044-ab9f-4721-b041-08285f6a355e)) + ) + + (symbol (lib_id "power:GND") (at 288.925 59.69 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000060f2341a) + (property "Reference" "#PWR027" (id 0) (at 288.925 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 289.052 64.0842 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 288.925 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 288.925 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 445f87a8-a147-4caa-a112-e9a6e8eb3045)) + ) + + (symbol (lib_id "power:GND") (at 288.925 76.2 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006dd69ce1) + (property "Reference" "#PWR026" (id 0) (at 288.925 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 289.052 80.5942 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 288.925 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 288.925 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bfd74bda-0e6a-40e8-a701-7ce9bc5fbbdc)) + ) + + (symbol (lib_id "power:GND") (at 292.1 40.005 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000725a3f1f) + (property "Reference" "#PWR015" (id 0) (at 292.1 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 292.227 44.3992 0) + (effects (font (size 0.9906 0.9906)) hide) + ) + (property "Footprint" "" (id 2) (at 292.1 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 292.1 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2f86d72e-7fee-41e2-bbc5-c90b18c92a8e)) + ) + + (symbol (lib_id "Device:L") (at 284.48 52.07 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000060e95663) + (property "Reference" "L1" (id 0) (at 284.48 48.26 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "BLM21AG601SN" (id 1) (at 288.925 50.165 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Inductor_SMD:L_0805_2012Metric_Pad1.15x1.40mm_HandSolder" (id 2) (at 284.48 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 284.48 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9f7c500c-90ea-431b-967c-76baadd3d7a7)) + (pin "2" (uuid 8906360f-9339-46d9-be0c-ec4ee766f7c9)) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 186.69 201.295 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e30ca87) + (property "Reference" "H1" (id 0) (at 187.96 198.755 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MountingHole" (id 1) (at 187.833 198.755 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask" (id 2) (at 189.0014 198.755 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "~" (id 3) (at 186.69 201.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 189.865 195.58 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e312288) + (property "Reference" "H2" (id 0) (at 191.135 193.04 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MountingHole" (id 1) (at 191.008 193.04 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask" (id 2) (at 192.1764 193.04 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "~" (id 3) (at 189.865 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 193.04 201.295 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e3124c4) + (property "Reference" "H3" (id 0) (at 194.31 198.755 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MountingHole" (id 1) (at 194.183 198.755 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask" (id 2) (at 195.3514 198.755 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "~" (id 3) (at 193.04 201.295 0) + (effects (font (size 1.27 1.27)) hide) + ) + ) + + (symbol (lib_id "Device:R") (at 33.02 184.785 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000070ed11c4) + (property "Reference" "R32" (id 0) (at 31.115 186.055 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 33.02 186.055 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 34.798 184.785 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 33.02 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 672d5cfb-543b-46ef-9463-4a5f88a9852a)) + (pin "2" (uuid e692f5d1-57c2-40fe-80e3-78b1d7c53227)) + ) + + (symbol (lib_id "Device:R") (at 36.195 184.785 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000070ed09b6) + (property "Reference" "R33" (id 0) (at 38.1 186.055 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 36.195 186.055 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 34.417 184.785 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 36.195 184.785 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a9492cc9-b955-4008-a8eb-e14a068671f0)) + (pin "2" (uuid 735e1c61-6a4d-4f9b-8279-6b0c617fb48b)) + ) + + (symbol (lib_id "Device:R") (at 71.755 31.75 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fd0e95c) + (property "Reference" "R1" (id 0) (at 69.85 31.75 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "2.2k" (id 1) (at 71.755 31.75 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 73.533 31.75 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 71.755 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fee06455-6377-4b29-bdb1-7880becc2c64)) + (pin "2" (uuid 0da65614-b8ce-49f9-9a8c-c3ff736fd76b)) + ) + + (symbol (lib_id "Device:R") (at 78.74 204.47 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068476d67) + (property "Reference" "R39" (id 0) (at 80.645 204.47 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 78.74 205.74 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 76.962 204.47 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 78.74 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c32c7cee-d849-4806-8239-b92eecbe979a)) + (pin "2" (uuid cdcb499e-2a61-4df1-97a6-50f81a167521)) + ) + + (symbol (lib_id "Device:R") (at 83.185 200.025 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068478503) + (property "Reference" "R36" (id 0) (at 84.455 198.12 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "470" (id 1) (at 84.455 200.025 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 83.185 201.803 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 83.185 200.025 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 88e31fc2-afc5-45cc-b7f1-b3b922586df1)) + (pin "2" (uuid 555e2874-65b9-4552-986d-54e6d0e68988)) + ) + + (symbol (lib_id "Device:R") (at 93.98 182.245 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fed3d0d) + (property "Reference" "R7" (id 0) (at 95.25 180.34 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 95.25 182.245 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 93.98 184.023 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 93.98 182.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8813f373-ab92-4d37-a39d-cb4e4c05f4f0)) + (pin "2" (uuid fc1f96e3-17e3-43b1-b7d9-23f9fa303b38)) + ) + + (symbol (lib_id "Device:R") (at 104.14 161.925 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006b941bf0) + (property "Reference" "R26" (id 0) (at 105.41 160.02 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "470" (id 1) (at 105.41 161.925 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 104.14 163.703 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.14 161.925 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ffcd8e9a-e2bd-4416-816c-6fb8a5d15c58)) + (pin "2" (uuid 24f339bd-dd74-4bc4-8482-ac06938ad58d)) + ) + + (symbol (lib_id "Device:R") (at 106.68 200.025 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068494cbb) + (property "Reference" "R37" (id 0) (at 107.95 198.12 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 107.95 200.025 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 106.68 201.803 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 106.68 200.025 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e4deb006-9f01-4447-8807-0226ad53a1d7)) + (pin "2" (uuid 794cfd9a-7f55-4d6e-8b9f-9cb0b2fc2dc0)) + ) + + (symbol (lib_id "Device:R") (at 111.125 194.31 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006849510e) + (property "Reference" "R34" (id 0) (at 115.57 194.31 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "470k" (id 1) (at 111.125 192.405 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 112.903 194.31 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 111.125 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65bc398b-8dac-4d7d-a5cb-9e608eb9e4c5)) + (pin "2" (uuid 51f35def-092f-4b84-841f-50bb8e4b6803)) + ) + + (symbol (lib_id "Device:R") (at 119.38 194.31 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000784ee132) + (property "Reference" "R35" (id 0) (at 123.825 194.31 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "1k" (id 1) (at 119.38 193.675 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 121.158 194.31 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 119.38 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bc6a2e18-7573-4790-8f4b-e2c2839a915d)) + (pin "2" (uuid f5afff78-074a-4b90-acd3-704039f6ccd1)) + ) + + (symbol (lib_id "Device:R") (at 188.595 33.655 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006d31dc13) + (property "Reference" "R2" (id 0) (at 186.69 34.925 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 188.595 35.56 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 186.817 33.655 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 188.595 33.655 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f4679c75-406e-485e-bc75-aa72646cb8ea)) + (pin "2" (uuid ddfe55c6-e20a-4265-ad47-daad3b963fce)) + ) + + (symbol (lib_id "Device:R") (at 192.405 33.655 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006d31d280) + (property "Reference" "R3" (id 0) (at 194.31 34.925 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "10k" (id 1) (at 192.405 35.56 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 194.183 33.655 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 192.405 33.655 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9318fa9b-aef4-4e12-af75-5d3a8ad953eb)) + (pin "2" (uuid e5897c53-9817-40f5-88ff-405ea6b4d338)) + ) + + (symbol (lib_id "Device:R") (at 217.805 162.56 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000609f53ed) + (property "Reference" "R27" (id 0) (at 219.075 162.56 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 217.805 161.29 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 216.027 162.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 217.805 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8b51f0bb-aee0-4db6-bf43-aeffd9aad377)) + (pin "2" (uuid acd939d9-bbfa-42a9-adb8-08f7f81b274b)) + ) + + (symbol (lib_id "Device:R") (at 223.52 93.98 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 72deaa49-2680-4558-b7aa-ab5749d990a2) + (property "Reference" "R14" (id 0) (at 229.235 92.71 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 93.98 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 92.202 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c390b6d1-6b17-4367-8cc5-14190748ec40)) + (pin "2" (uuid 485d3145-7e20-4902-a82c-29b2e294d75c)) + ) + + (symbol (lib_id "Device:R") (at 223.52 98.425 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f035e80) + (property "Reference" "R5" (id 0) (at 228.6 97.155 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 98.425 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 96.647 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 98.425 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a6c49245-9283-49d1-86ae-6f7b4256c167)) + (pin "2" (uuid 6411726a-2cd8-418f-b9b3-8e920d8b8182)) + ) + + (symbol (lib_id "Device:R") (at 223.52 102.87 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005efe7375) + (property "Reference" "R6" (id 0) (at 228.6 101.6 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 102.87 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 101.092 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 78a53d3c-e1de-4505-abc6-6c16c90e4c93)) + (pin "2" (uuid 6bffefd4-8e5e-4445-9733-8147048e02a5)) + ) + + (symbol (lib_id "Device:R") (at 223.52 107.315 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f37cea0) + (property "Reference" "R8" (id 0) (at 228.6 106.045 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 107.315 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 105.537 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 107.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 58aa88c1-4e0f-4c25-922a-61a7782a3284)) + (pin "2" (uuid 297f0a29-dc03-4828-b25d-c143fcb963d0)) + ) + + (symbol (lib_id "Device:R") (at 223.52 111.76 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f51e5a0) + (property "Reference" "R10" (id 0) (at 229.235 110.49 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 111.76 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 109.982 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3f7a2cfb-364b-4f14-89c5-31162a85d95e)) + (pin "2" (uuid 8c0c60d1-ea2f-4be9-8f97-59bf41e8e864)) + ) + + (symbol (lib_id "Device:R") (at 223.52 116.205 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f55addc) + (property "Reference" "R12" (id 0) (at 229.235 114.935 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 116.205 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 114.427 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 116.205 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a170902a-37ea-4f31-bb1e-5f8d9224a719)) + (pin "2" (uuid 5c23a186-f642-4ead-acf6-b36e13788885)) + ) + + (symbol (lib_id "Device:R") (at 223.52 120.65 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f5985eb) + (property "Reference" "R15" (id 0) (at 229.235 119.38 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 120.65 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 118.872 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid da09c31f-81ff-4645-b4a7-6eae863aa002)) + (pin "2" (uuid f7538b94-c409-4455-88f5-64af7393a543)) + ) + + (symbol (lib_id "Device:R") (at 223.52 125.095 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f5d69c9) + (property "Reference" "R17" (id 0) (at 229.235 123.825 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 225.425 125.095 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 223.52 123.317 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 125.095 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid de52b57c-b02a-447b-82f3-064d4fb96085)) + (pin "2" (uuid 3288f63e-1ad6-4c97-9ba8-1d471863a89b)) + ) + + (symbol (lib_id "Device:R") (at 223.52 162.56 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000609f53e7) + (property "Reference" "R28" (id 0) (at 224.79 162.56 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 223.52 161.29 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 221.742 162.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 223.52 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97e7b2e2-5ad5-4ddd-98ec-6e44694118a5)) + (pin "2" (uuid 48b88705-1d0b-4f70-9c29-c426c65878df)) + ) + + (symbol (lib_id "Device:R") (at 233.68 98.425 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 1bd8e64c-5e98-4144-ab65-ea19371167fa) + (property "Reference" "R20" (id 0) (at 239.395 97.155 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 98.425 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 96.647 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 98.425 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d876ed66-50c5-4324-a3f5-7bbe82d62212)) + (pin "2" (uuid 7fff0646-7648-4154-ad83-4d21a2edcd60)) + ) + + (symbol (lib_id "Device:R") (at 233.68 102.87 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 2b7ce27b-6799-42da-b836-abe494034884) + (property "Reference" "R21" (id 0) (at 239.395 101.6 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 102.87 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 101.092 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 10008924-9f9e-4300-b854-779f97959521)) + (pin "2" (uuid cdc98f94-f6de-43ec-948a-d8b2ca1d6b51)) + ) + + (symbol (lib_id "Device:R") (at 233.68 107.315 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f37cea7) + (property "Reference" "R9" (id 0) (at 239.395 106.045 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 107.315 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 105.537 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 107.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 130bf311-94be-4d20-b848-1a3f70a15d24)) + (pin "2" (uuid 40614c25-e2f3-433e-9404-c4599dba2dce)) + ) + + (symbol (lib_id "Device:R") (at 233.68 111.76 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f51e5a7) + (property "Reference" "R11" (id 0) (at 239.395 110.49 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 111.76 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 109.982 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a67dda96-809a-45a3-b35d-ea08d38c1be8)) + (pin "2" (uuid 64e49af8-074a-4c8f-b010-ed44fd15c597)) + ) + + (symbol (lib_id "Device:R") (at 233.68 116.205 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f55ade3) + (property "Reference" "R13" (id 0) (at 239.395 114.935 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 116.205 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 114.427 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 116.205 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 67afd07e-cf81-4419-9b6a-df07925c7225)) + (pin "2" (uuid c458269c-37da-4b67-a71b-8ffe23be4ef7)) + ) + + (symbol (lib_id "Device:R") (at 233.68 120.65 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f5985f2) + (property "Reference" "R16" (id 0) (at 239.395 119.38 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 120.65 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 118.872 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0fbaa8ac-eef2-4e46-88ef-f4c95e84da94)) + (pin "2" (uuid d8f30594-4b5c-46bc-ab95-d1bb623d29d3)) + ) + + (symbol (lib_id "Device:R") (at 233.68 125.095 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006f5d69d0) + (property "Reference" "R18" (id 0) (at 239.395 123.825 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100" (id 1) (at 235.585 125.095 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 123.317 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 125.095 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9e0cf1e0-a9e3-4626-b3b2-e4763ca51b03)) + (pin "2" (uuid 09d1b0e1-ddde-48c6-a0d5-a8e18ed5caf3)) + ) + + (symbol (lib_id "Device:R") (at 233.68 129.54 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006fe39957) + (property "Reference" "R19" (id 0) (at 239.395 128.27 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "200" (id 1) (at 235.585 129.54 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 233.68 127.762 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 233.68 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bc432139-f768-47a7-8168-a7af81986690)) + (pin "2" (uuid b547f21b-bb2d-4b0a-ae60-43e8edff0006)) + ) + + (symbol (lib_id "Device:R") (at 244.475 162.56 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006205844e) + (property "Reference" "R29" (id 0) (at 245.745 162.56 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 244.475 161.29 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 242.697 162.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 244.475 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 148067a0-2ab3-4b69-9d52-dbed65b131b8)) + (pin "2" (uuid 00444165-6e20-41cd-a1b3-03a0536526f8)) + ) + + (symbol (lib_id "Device:R") (at 250.19 162.56 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000620593f1) + (property "Reference" "R30" (id 0) (at 251.46 162.56 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 250.19 161.29 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 248.412 162.56 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 250.19 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1f07a406-a2ea-4a50-b000-aeb9a7e80bf2)) + (pin "2" (uuid 19b694db-8a57-4170-9428-31fbfc8328de)) + ) + + (symbol (lib_id "Device:R") (at 273.685 94.615 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dfa281c) + (property "Reference" "R31" (id 0) (at 274.955 92.71 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "2.2k" (id 1) (at 274.955 94.615 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 273.685 96.393 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 273.685 94.615 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f01dbc34-2075-4a88-881e-82cbedd0fc3d)) + (pin "2" (uuid 5ce20cd3-b0cf-4577-9f49-5a8f8266cef6)) + ) + + (symbol (lib_id "Device:R") (at 273.685 103.505 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dfa3543) + (property "Reference" "R38" (id 0) (at 274.955 101.6 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "2.2k" (id 1) (at 274.955 103.505 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 273.685 105.283 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 273.685 103.505 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 684476e2-bef7-428d-babc-672e13a204b8)) + (pin "2" (uuid ac5eade1-c085-4ea1-a315-83cec794ba09)) + ) + + (symbol (lib_id "Device:R") (at 292.1 36.195 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b6c9dcd) + (property "Reference" "R4" (id 0) (at 290.195 37.465 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "1k" (id 1) (at 292.1 37.465 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder" (id 2) (at 290.322 36.195 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 292.1 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af4d74f8-beed-42a4-bd4c-4234de0c0e2d)) + (pin "2" (uuid 40b036e2-fdc5-4b5c-8292-1300a099f2f3)) + ) + + (symbol (lib_id "Device:D") (at 95.25 204.47 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068494484) + (property "Reference" "D2" (id 0) (at 97.155 204.47 90) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "1N4148W" (id 1) (at 97.2566 205.613 90) + (effects (font (size 0.9906 0.9906)) (justify left) hide) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" (id 2) (at 95.25 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 95.25 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6636ea3a-3f37-4988-9b21-1656b6a021c9)) + (pin "2" (uuid d38f729e-d6ec-450d-a839-bd6257d77061)) + ) + + (symbol (lib_id "Device:LED") (at 292.1 28.575 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005b653724) + (property "Reference" "D1" (id 0) (at 294.005 28.575 90) + (effects (font (size 0.9906 0.9906)) (justify right)) + ) + (property "Value" "LED" (id 1) (at 295.0718 29.9212 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + (property "Footprint" "LED_THT:LED_D4.0mm" (id 2) (at 292.1 28.575 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 292.1 28.575 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b1261543-7239-423d-b59b-97d9749ca1d3)) + (pin "2" (uuid 2334f01c-1032-43b2-b189-ce670e35a8e1)) + ) + + (symbol (lib_id "Device:C") (at 90.805 200.025 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006847932a) + (property "Reference" "C21" (id 0) (at 90.805 196.85 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 90.805 203.2 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 86.995 199.0598 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 90.805 200.025 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b142a158-8f7d-4c75-9bcb-9b054e1c80f6)) + (pin "2" (uuid dd15863d-3f5a-4268-b1a3-1df2b0559456)) + ) + + (symbol (lib_id "Device:C") (at 102.235 204.47 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000689d456c) + (property "Reference" "C23" (id 0) (at 106.045 203.2 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "10n" (id 1) (at 106.68 205.105 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 103.2002 208.28 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 102.235 204.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 85e7c1be-e418-42e4-a032-976bf236c7dd)) + (pin "2" (uuid a4685b0b-3799-4d2c-8818-0114c5696312)) + ) + + (symbol (lib_id "Device:C") (at 104.775 182.245 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fe8ce50) + (property "Reference" "C26" (id 0) (at 104.775 179.07 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 104.775 185.42 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 100.965 181.2798 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.775 182.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1ad4b3c5-5e7a-41e0-a0e4-db93497294c6)) + (pin "2" (uuid 7ac66fe4-bd29-4934-a67b-9c54f40ec09a)) + ) + + (symbol (lib_id "Device:C") (at 213.995 55.88 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 0b095a39-a938-4e12-936d-7bf2c5937aeb) + (property "Reference" "C16" (id 0) (at 215.9 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "10u" (id 1) (at 216.535 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 213.0298 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 213.995 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 26bd8017-aada-40e6-af30-9fadbce45985)) + (pin "2" (uuid d2e1f360-2594-4b63-8311-d9a869a07b51)) + ) + + (symbol (lib_id "Device:C") (at 219.71 55.88 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid da8ea041-bb8b-4add-a9c0-f3eacbb540ff) + (property "Reference" "C29" (id 0) (at 221.615 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "10u" (id 1) (at 222.25 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 218.7448 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 219.71 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 079c28d1-9b13-460e-8dc6-2d97ce724f86)) + (pin "2" (uuid 4496266b-d8d6-4e86-9aca-7e7d9f8d15bf)) + ) + + (symbol (lib_id "Device:C") (at 225.425 55.88 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 486cf9a7-c1f5-4b42-8207-e60d623bff8e) + (property "Reference" "C4" (id 0) (at 227.33 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 227.965 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 224.4598 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 225.425 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7d44142f-104f-4caa-9a6e-a264af7260ce)) + (pin "2" (uuid 0432db72-4909-4b3e-9c5c-727ad1eb5906)) + ) + + (symbol (lib_id "Device:C") (at 231.14 55.88 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006292270f) + (property "Reference" "C5" (id 0) (at 233.045 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 233.68 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 230.1748 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 231.14 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e6fb015e-0b18-48b1-9a85-b9d368d34eea)) + (pin "2" (uuid d212e6a5-ff84-41bf-80ad-3eb1bb062aa3)) + ) + + (symbol (lib_id "Device:CP") (at 231.775 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006c05b474) + (property "Reference" "C2" (id 0) (at 232.41 32.385 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "100u/10V" (id 1) (at 227.965 36.83 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-7343-31_Kemet-D_Pad2.25x2.55mm_HandSolder" (id 2) (at 232.7402 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 231.775 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 118006f5-54b0-4419-bc9b-cbd4fb2b77c1)) + (pin "2" (uuid 9a825b56-70c5-42b5-9cc4-4c972dfd9c04)) + ) + + (symbol (lib_id "Device:C") (at 236.855 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006169fcef) + (property "Reference" "C6" (id 0) (at 238.125 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 239.395 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 235.8898 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 236.855 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2461ac13-5776-4303-b480-041480674658)) + (pin "2" (uuid c3481270-859b-4bcc-b677-8e00fe49aabd)) + ) + + (symbol (lib_id "Device:C") (at 238.76 34.29 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00008f936d62) + (property "Reference" "C1" (id 0) (at 240.665 32.385 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 241.3 36.83 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 237.7948 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 238.76 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a4e53874-1da9-4ce1-9b8a-ddfdb52d287e)) + (pin "2" (uuid 06492a90-de07-49ee-bf7a-40eb3a361407)) + ) + + (symbol (lib_id "Device:C") (at 242.57 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006171d459) + (property "Reference" "C7" (id 0) (at 244.475 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 245.11 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 241.6048 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 242.57 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 003e889a-acdf-46f9-9501-12dd3a6eeb2a)) + (pin "2" (uuid d2f4b751-d971-4710-ae66-02c025691c4d)) + ) + + (symbol (lib_id "Device:C") (at 248.285 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e51c918) + (property "Reference" "C8" (id 0) (at 250.19 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 250.825 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 247.3198 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 248.285 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 87a568bf-7ea3-4c6a-867c-01565ece6cbd)) + (pin "2" (uuid ead25ee9-6d29-4184-8ef4-67ed5019abf8)) + ) + + (symbol (lib_id "Device:C") (at 254 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e51c92a) + (property "Reference" "C9" (id 0) (at 255.905 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 256.54 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 253.0348 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 254 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e2af14f0-ef28-4cb6-9c7f-6c43f476116b)) + (pin "2" (uuid 85e9ec84-89bf-414e-b5c2-09c8f12271fd)) + ) + + (symbol (lib_id "Device:CP") (at 259.715 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005ff4ff9e) + (property "Reference" "C27" (id 0) (at 260.35 32.385 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "47u/6.3V" (id 1) (at 256.54 36.83 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder" (id 2) (at 260.6802 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 259.715 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3bcc1bb0-fc93-43e3-bc3e-8b6e59d3240f)) + (pin "2" (uuid e774534b-74f9-42d1-b7ff-b1115550b769)) + ) + + (symbol (lib_id "Device:C") (at 259.715 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e5a20ed) + (property "Reference" "C10" (id 0) (at 261.62 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "1u" (id 1) (at 262.255 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 258.7498 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 259.715 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43ed510c-ce8e-411f-918f-2103758ecaf8)) + (pin "2" (uuid b59b10a6-e569-4cdb-ba61-fd096011eeb8)) + ) + + (symbol (lib_id "Device:C") (at 265.43 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000617ac36b) + (property "Reference" "C11" (id 0) (at 267.335 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "1u" (id 1) (at 267.97 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 264.4648 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 265.43 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a44d2fe1-f47d-4517-841d-1e5d7aef946d)) + (pin "2" (uuid d9159d87-fb61-4b52-92eb-fae9e70463f6)) + ) + + (symbol (lib_id "Device:C") (at 271.145 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061096021) + (property "Reference" "C12" (id 0) (at 273.05 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 273.685 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 270.1798 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 271.145 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7f3627cc-5e59-4a55-b1c8-e5e8f7eef550)) + (pin "2" (uuid 9e100cc0-48c7-4056-9d3f-f2536378cf09)) + ) + + (symbol (lib_id "Device:C") (at 276.86 55.88 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006cc09ed7) + (property "Reference" "C13" (id 0) (at 278.765 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 279.4 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 275.8948 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 276.86 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid be52d8e6-9ec6-421e-a0d5-9c9263d94877)) + (pin "2" (uuid 5e8a9586-376b-4170-a12e-30cc1a5aa4d9)) + ) + + (symbol (lib_id "Device:C") (at 278.765 111.125 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005ec04b3a) + (property "Reference" "C24" (id 0) (at 280.67 108.585 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "4.7n" (id 1) (at 280.67 113.665 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 279.7302 114.935 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 278.765 111.125 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a01a9e97-eaf8-4012-94ca-0927b396f650)) + (pin "2" (uuid 8a2b7c9f-6b2d-4ac0-8aa0-db4308a164e3)) + ) + + (symbol (lib_id "Device:CP") (at 283.845 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000907e426e) + (property "Reference" "C3" (id 0) (at 284.48 32.385 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "47u/6.3V" (id 1) (at 280.67 36.83 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder" (id 2) (at 284.8102 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 283.845 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 94a6d54e-d9ec-45b6-9cf3-77923f0c10d4)) + (pin "2" (uuid cdc4226e-a84e-46e4-ae0c-bd864d84b7d7)) + ) + + (symbol (lib_id "Device:C") (at 284.48 111.125 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005fc1e15f) + (property "Reference" "C25" (id 0) (at 287.02 108.585 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "4.7n" (id 1) (at 287.02 113.665 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 285.4452 114.935 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 284.48 111.125 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c433a76c-0468-47ee-90b1-59ae3a307f3c)) + (pin "2" (uuid e34fe7e3-92a9-4404-bce2-f903a95b6ee6)) + ) + + (symbol (lib_id "Device:C") (at 288.925 55.88 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 60be204f-c2f5-440d-9397-48b493cea047) + (property "Reference" "C28" (id 0) (at 290.83 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "10u" (id 1) (at 291.465 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 287.9598 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 288.925 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1968613e-1f80-42b1-a08e-a13db76f52c2)) + (pin "2" (uuid 15b055dc-96c7-41da-a382-6bf5c6363838)) + ) + + (symbol (lib_id "Device:C") (at 288.925 72.39 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006cc09edd) + (property "Reference" "C14" (id 0) (at 290.83 70.485 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "1u" (id 1) (at 291.465 74.295 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 287.9598 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 288.925 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b070f223-ef35-4dba-bd04-8dcba241c77c)) + (pin "2" (uuid 912f832f-e6d8-48aa-8826-89badf430018)) + ) + + (symbol (lib_id "Device:CP") (at 291.465 94.615 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005d1a1953) + (property "Reference" "C20" (id 0) (at 290.195 91.44 90) + (effects (font (size 0.9906 0.9906)) (justify right)) + ) + (property "Value" "10u" (id 1) (at 290.195 97.79 90) + (effects (font (size 0.9906 0.9906)) (justify right)) + ) + (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder" (id 2) (at 295.275 93.6498 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 291.465 94.615 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0ceb44a9-f9ec-4c00-83f6-2c774efd80e6)) + (pin "2" (uuid 384d6b4d-8f65-45c7-bba7-c640b6bb1489)) + ) + + (symbol (lib_id "Device:CP") (at 291.465 103.505 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061f7e0ae) + (property "Reference" "C22" (id 0) (at 290.195 100.33 90) + (effects (font (size 0.9906 0.9906)) (justify right)) + ) + (property "Value" "10u" (id 1) (at 290.195 106.68 90) + (effects (font (size 0.9906 0.9906)) (justify right)) + ) + (property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder" (id 2) (at 295.275 102.5398 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 291.465 103.505 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8c5ac5d9-eab3-4f0e-aa6b-1bb91a7734df)) + (pin "2" (uuid 833f5a82-2ca5-431f-bf80-b296f7be39b5)) + ) + + (symbol (lib_id "Device:C") (at 294.64 72.39 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e61af0c) + (property "Reference" "C15" (id 0) (at 296.545 70.485 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "1u" (id 1) (at 297.18 74.295 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 293.6748 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 294.64 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 61ca3f3a-cf5e-4a48-9f7b-b9eee28e97f3)) + (pin "2" (uuid 90f37fe0-9160-4fb4-9663-16afd74bb30c)) + ) + + (symbol (lib_id "Device:C") (at 297.815 55.88 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000613086c6) + (property "Reference" "C17" (id 0) (at 299.72 53.975 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "100n" (id 1) (at 300.355 57.785 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder" (id 2) (at 296.8498 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 297.815 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 10f9d531-c6fe-4329-9f01-5e84974beb9e)) + (pin "2" (uuid cb481627-ad97-49cc-a527-60b4e99b9a48)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x03") (at 229.87 22.86 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000067c72e24) + (property "Reference" "J1" (id 0) (at 222.885 22.86 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Pin header 1x3 Pitch=2.54mm" (id 1) (at 227.3554 20.828 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" (id 2) (at 229.87 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 229.87 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid acb6c7cf-3e16-45f7-9669-254ee88efa11)) + (pin "2" (uuid b5a027b8-c220-4449-bcc2-dca5febedcbc)) + (pin "3" (uuid c831abd0-82de-4faf-9fba-834d08f3f8ac)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x04") (at 262.89 167.64 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000633925aa) + (property "Reference" "J5" (id 0) (at 261.62 162.56 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "Pin header 1x4 Pitch=2.54mm" (id 1) (at 264.922 170.1546 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" (id 2) (at 262.89 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 262.89 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Desc" "PS/2" (id 4) (at 260.985 175.26 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (pin "1" (uuid 6f832ff9-9993-4b39-93ba-6d1b63689536)) + (pin "2" (uuid 7d4c6dcb-8165-4e72-8c02-cfc1f5099c4d)) + (pin "3" (uuid 19441742-9e57-4fbb-9b78-49161ef2c27d)) + (pin "4" (uuid b6700223-38f1-446a-af7f-049a8ae45689)) + ) + + (symbol (lib_id "my:logo") (at 175.26 190.5 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005e51c621) + (property "Reference" "LOGO1" (id 0) (at 175.26 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "graphic" (id 1) (at 175.26 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "mygraphic:black-mage-9.6x6.5" (id 2) (at 175.26 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 176.022 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Desc" "Logo" (id 4) (at 175.26 192.405 0) + (effects (font (size 0.9906 0.9906))) + ) + ) + + (symbol (lib_id "my:logo") (at 175.26 201.295 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068e940f7) + (property "Reference" "LOGO2" (id 0) (at 175.26 196.215 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "Jack35" (id 1) (at 175.26 207.645 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "mygraphic:jack35_4pin" (id 2) (at 175.26 206.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 176.022 206.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Desc" "Jack35" (id 4) (at 175.26 203.2 0) + (effects (font (size 0.9906 0.9906))) + ) + ) + + (symbol (lib_id "Transistor_BJT:BC817") (at 116.84 203.2 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000068496655) + (property "Reference" "Q4" (id 0) (at 121.6914 202.2348 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "BC817" (id 1) (at 121.6914 204.1398 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 121.92 205.105 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "http://www.fairchildsemi.com/ds/BC/BC817.pdf" (id 3) (at 116.84 203.2 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (pin "1" (uuid fcfaf699-b379-4b23-bf43-c3ff760a73f9)) + (pin "2" (uuid 840854a0-15ab-4025-be26-b19daadddc98)) + (pin "3" (uuid a0b6c011-810d-4d84-aab7-3b01ec323b20)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x06") (at 145.415 189.865 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005dddf159) + (property "Reference" "J6" (id 0) (at 145.415 181.61 0)) + (property "Value" "Solder points" (id 1) (at 146.685 181.5846 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "my:PinPoints_1x06_P1.27mm" (id 2) (at 145.415 189.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 145.415 189.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Desc" "JTAG" (id 4) (at 146.685 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cd49b0ba-c551-49f4-a514-eeda13191ee4)) + (pin "2" (uuid 8243b080-0ccf-49ac-9bd0-2352e36063a3)) + (pin "3" (uuid e4c6eb62-df03-4dd8-bae3-6ae2161afd2b)) + (pin "4" (uuid 2274edfd-c7b2-450e-9b9c-b35d782d01f1)) + (pin "5" (uuid 473f11cd-229b-4b37-bb5f-6ec774795579)) + (pin "6" (uuid fbac30fc-479b-4ae9-846a-4fb0b00ffa65)) + ) + + (symbol (lib_id "Transistor_FET:BSS138") (at 231.14 165.1 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000061601f84) + (property "Reference" "Q2" (id 0) (at 231.14 171.2722 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "BSS138" (id 1) (at 231.14 173.1772 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 229.235 170.18 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "https://www.fairchildsemi.com/datasheets/2N/2N7002.pdf" (id 3) (at 231.14 165.1 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (pin "1" (uuid b6dfbcf4-5953-49ef-b770-d2e04d7fd6b4)) + (pin "2" (uuid 2c861ede-0618-421a-8bf6-bffde3f2b0a4)) + (pin "3" (uuid eab059ab-ae06-432c-83b3-c169f7e615f8)) + ) + + (symbol (lib_id "Transistor_FET:BSS138") (at 238.76 167.64 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000615fbac2) + (property "Reference" "Q3" (id 0) (at 238.76 173.8122 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "BSS138" (id 1) (at 238.76 175.7172 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23" (id 2) (at 236.855 172.72 0) + (effects (font (size 1.27 1.27) italic) (justify left) hide) + ) + (property "Datasheet" "https://www.fairchildsemi.com/datasheets/2N/2N7002.pdf" (id 3) (at 238.76 167.64 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (pin "1" (uuid d7c5d9b8-3b1a-4f0c-9ce2-5fa777cf380b)) + (pin "2" (uuid d0753718-d52d-4a85-ba16-4f52faf8add0)) + (pin "3" (uuid ff9c2cff-e238-47dd-a95c-128129474a04)) + ) + + (symbol (lib_id "Device:R_Pack04") (at 104.14 90.17 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006b534bb3) + (property "Reference" "RN1" (id 0) (at 104.14 80.645 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "470" (id 1) (at 104.14 82.55 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 104.14 97.155 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.14 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ca885eda-6a5a-409e-8068-9edf62766020)) + (pin "2" (uuid 8368cad5-e9d2-4e2b-821f-82c459d15420)) + (pin "3" (uuid 9ece1bed-c012-4c26-a3bc-a542268a3fb7)) + (pin "4" (uuid 1660ea98-20e8-4d72-aca4-750ff51791a5)) + (pin "5" (uuid 16e733f5-ab0e-4723-b0fd-4c77f6fdda03)) + (pin "6" (uuid 5e484b38-9d8c-475a-9055-d6c519707122)) + (pin "7" (uuid 2980dece-8dab-4329-893b-bd688b4fc9ec)) + (pin "8" (uuid 3147707c-5f27-4b6c-bdf6-b7a9aaf6d5db)) + ) + + (symbol (lib_id "Device:R_Pack04") (at 104.14 106.045 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006b3a9338) + (property "Reference" "RN2" (id 0) (at 104.14 96.52 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "470" (id 1) (at 104.14 98.425 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 104.14 113.03 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.14 106.045 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6a09e26a-b734-4da3-85b5-adb7e370940e)) + (pin "2" (uuid 8926765f-c3d5-4a17-a265-7b3f658dd550)) + (pin "3" (uuid 1d52a91e-30ba-455f-9f7d-681bc851a209)) + (pin "4" (uuid 18dfe939-2a2f-4696-8be6-84c5905417b3)) + (pin "5" (uuid 2683da8d-5b0b-4865-b1a7-5b5a71562f34)) + (pin "6" (uuid 4fb61a45-b64f-49c5-a7f3-08f520c992d4)) + (pin "7" (uuid ae3cd3c1-8326-4e40-aee1-58d3e8c57aae)) + (pin "8" (uuid b4c3086a-b069-40a4-b729-5dd3ddbd1168)) + ) + + (symbol (lib_id "Device:R_Pack04") (at 104.14 121.92 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006b4f253a) + (property "Reference" "RN3" (id 0) (at 104.14 112.395 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "470" (id 1) (at 104.14 114.3 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 104.14 128.905 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.14 121.92 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid db9d50f3-6f93-46fd-bdc3-b13ccaff6446)) + (pin "2" (uuid 04d9ac42-dadd-454d-b3ab-258d70d3685d)) + (pin "3" (uuid b8d13e06-530b-494b-a0c0-51c532b07f6c)) + (pin "4" (uuid e6e59a89-0ce1-44b4-88a6-434b1fe7774e)) + (pin "5" (uuid f1254c5b-deb8-457e-bf4c-22605a5f6b23)) + (pin "6" (uuid cc2364ef-d703-471a-a8ed-32fce3374e77)) + (pin "7" (uuid d8b135ef-101d-409e-b872-f249d0e5adc8)) + (pin "8" (uuid 1116b50e-9729-4c33-8dca-6872b292bd00)) + ) + + (symbol (lib_id "Device:R_Pack04") (at 104.14 137.795 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006b83ea8f) + (property "Reference" "RN4" (id 0) (at 104.14 128.27 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "470" (id 1) (at 104.14 130.175 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 104.14 144.78 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.14 137.795 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f160e450-a950-4edd-8777-88b4d43406a9)) + (pin "2" (uuid f5392c1c-4c94-4a11-9d7e-94bdc7bc3c94)) + (pin "3" (uuid 2422b56e-ffb2-4e10-ab61-20196259918d)) + (pin "4" (uuid e73cf220-2ca8-4ace-a2b2-671781ecaa46)) + (pin "5" (uuid cd3cb31e-0fc1-4a38-b4ce-62d57a83a7e3)) + (pin "6" (uuid e5715de0-3eac-4200-a79b-88a1f00cae0b)) + (pin "7" (uuid 6ba5784d-3367-4b6f-8cdd-d8e6ce30d70c)) + (pin "8" (uuid 9527311b-97cb-4730-a4cd-142602a2abec)) + ) + + (symbol (lib_id "Device:R_Pack04") (at 104.14 153.67 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006b3ab485) + (property "Reference" "RN5" (id 0) (at 104.14 144.145 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "470" (id 1) (at 104.14 146.05 90) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Resistor_SMD:R_Array_Convex_4x0603" (id 2) (at 104.14 160.655 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 104.14 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c971846c-6ca3-49be-813c-83f9ebc29dfd)) + (pin "2" (uuid bdbda8a9-a8e7-4cbb-9ca9-d19d2a7ffb2e)) + (pin "3" (uuid 37fab32c-f75f-4465-8768-59a3d83ae7c0)) + (pin "4" (uuid 4c2acc19-0301-415f-93f6-0704995b7e7d)) + (pin "5" (uuid de7f1639-2df8-42c9-af83-394b85a731fd)) + (pin "6" (uuid 105c6a7c-de81-4d79-9db1-6079b53c85c5)) + (pin "7" (uuid b6ac85e5-84e0-44ab-b7da-16f2ab32c36d)) + (pin "8" (uuid 5c01b020-4303-47e1-bf04-cc603b08d014)) + ) + + (symbol (lib_id "Connector:AudioJack4") (at 288.925 149.86 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006047590c) + (property "Reference" "J3" (id 0) (at 288.925 144.78 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "PJ-320" (id 1) (at 296.037 151.5364 0) + (effects (font (size 0.9906 0.9906)) (justify right) hide) + ) + (property "Footprint" "my:PJ-320A_D" (id 2) (at 288.925 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 288.925 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Desc" "RPi pinout" (id 4) (at 278.765 156.845 0) + (effects (font (size 1.27 1.27) italic)) + ) + (pin "R1" (uuid 16d0577c-f2e7-4388-9962-8de71bed1229)) + (pin "R2" (uuid 8d9e0ad0-7753-4310-bb74-12ac11ed1951)) + (pin "S" (uuid d33a386a-8490-4f2d-a155-6b48a00322fc)) + (pin "T" (uuid 0979b757-d44c-4283-802c-16db385078ff)) + ) + + (symbol (lib_id "Connector:AudioJack4") (at 288.925 167.005 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000606fada5) + (property "Reference" "J4" (id 0) (at 288.925 161.925 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "PJ-320" (id 1) (at 296.037 168.6814 0) + (effects (font (size 0.9906 0.9906)) (justify right) hide) + ) + (property "Footprint" "my:PJ-320A_D" (id 2) (at 288.925 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 288.925 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "R1" (uuid 232f515d-3afa-4efd-8280-b37c86cec903)) + (pin "R2" (uuid 417c660e-c10d-4065-ba71-eb3f41e93a74)) + (pin "S" (uuid c0e0a4b9-fbc4-495d-8a0a-26f269cd54fa)) + (pin "T" (uuid efbf6c64-6e96-45ea-8004-0d63c4a01d25)) + ) + + (symbol (lib_id "Regulator_Linear:AMS1117-3.3") (at 248.92 30.48 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006546187a) + (property "Reference" "U1" (id 0) (at 248.92 24.9428 0)) + (property "Value" "1117-3.3" (id 1) (at 248.92 26.8478 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 248.92 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.advanced-monolithic.com/pdf/ds1117.pdf" (id 3) (at 251.46 36.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d75a61bf-c9c1-4983-b78a-e9a8d38e6197)) + (pin "2" (uuid bfaf3bb6-f069-45e5-8940-e06d784a90cc)) + (pin "3" (uuid b993b4a9-9795-4f1c-9112-e9b9f7aa0ddc)) + ) + + (symbol (lib_id "Regulator_Linear:AZ1117-1.5") (at 273.05 30.48 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000065ff82cb) + (property "Reference" "U2" (id 0) (at 273.05 24.3332 0)) + (property "Value" "1117-1.5" (id 1) (at 273.05 26.6446 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-223-3_TabPin2" (id 2) (at 273.05 24.13 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AZ1117.pdf" (id 3) (at 273.05 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c9f3fbe-33b5-498b-b68e-9dfa8551a9fe)) + (pin "2" (uuid c59c59c7-57bd-4b54-b554-3371baee528f)) + (pin "3" (uuid d555dcff-9c63-420b-bbfe-0482507601e1)) + ) + + (symbol (lib_id "Connector:USB_B_Micro") (at 215.9 33.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006176ef62) + (property "Reference" "J2" (id 0) (at 215.9 20.955 0)) + (property "Value" "USB_B_Micro" (id 1) (at 215.9 23.495 0)) + (property "Footprint" "Connector_USB:USB_Micro-B_Molex-105017-0001" (id 2) (at 219.71 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 219.71 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 80a87069-2b47-42e0-8b53-aa7b319d7048)) + (pin "2" (uuid 16bbe4a6-a27e-4acb-a8dc-ea88c8c08350)) + (pin "3" (uuid 3b49ecc8-e379-4f14-a086-cdf44b5a2b78)) + (pin "4" (uuid 6802eff0-92d6-41bc-9314-0db322c15552)) + (pin "5" (uuid 4020f4f8-2e49-44e8-8454-4b0dd7210e51)) + (pin "6" (uuid 8cd0d949-82ea-4413-9d5e-254b3c889d66)) + ) + + (symbol (lib_id "Oscillator:XO91") (at 102.235 61.595 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00006847651e) + (property "Reference" "X1" (id 0) (at 105.41 54.61 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Value" "28 MHz" (id 1) (at 104.775 68.58 0) + (effects (font (size 0.9906 0.9906)) (justify left)) + ) + (property "Footprint" "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm" (id 2) (at 120.015 70.485 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://cdn-reichelt.de/documents/datenblatt/B400/XO91.pdf" (id 3) (at 99.695 61.595 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5c45665a-d8b8-4761-864f-13a384447a09)) + (pin "2" (uuid 8207c668-721e-41c3-b93a-a7ee2b2c5b50)) + (pin "3" (uuid fdeade85-ec0c-490f-9edb-f073375182d2)) + (pin "4" (uuid cf74bed6-fd7b-4d83-bcdc-b9c982711239)) + ) + + (symbol (lib_id "my:EPCS4") (at 103.505 33.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-0000661d37ca) + (property "Reference" "U3" (id 0) (at 96.52 24.13 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Value" "EPCS4" (id 1) (at 110.49 24.13 0) + (effects (font (size 0.9906 0.9906))) + ) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 103.505 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 103.505 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16d2c85f-fd13-4586-84ca-a4749dc1f1ff)) + (pin "2" (uuid d20bfcc5-848b-4f4c-ac79-e9bce9e2597c)) + (pin "3" (uuid ef2e97ec-1050-4e0a-ae9c-ce25b2e6e95a)) + (pin "4" (uuid 1b28909c-a77f-44a6-b049-aa86c07eb32b)) + (pin "5" (uuid 21275fe7-6e55-4493-9c53-300054197a6f)) + (pin "6" (uuid 1bcbd03b-7b02-4fab-9455-5bb0979e6748)) + (pin "7" (uuid 135c4a50-4e4a-410d-9e9c-bc1b88d4003d)) + (pin "8" (uuid 933bd97b-82eb-40df-bb26-09727de86caf)) + ) + + (symbol (lib_id "my:Micro_SD_Card_Det") (at 48.26 196.85 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005f9359d6) + (property "Reference" "J7" (id 0) (at 50.8 180.34 0)) + (property "Value" "DM3D-SF" (id 1) (at 50.165 182.88 0)) + (property "Footprint" "Connector_Card:microSD_HC_Hirose_DM3D-SF" (id 2) (at 100.33 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.hirose.com/product/en/download_file/key_name/DM3/category/Catalog/doc_file_id/49662/?file_category_id=4&item_id=195&is_series=1" (id 3) (at 48.26 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d1ac1eed-ddf7-4cd7-9da2-a6ae0629690c)) + (pin "10" (uuid 709959ff-dba7-41cd-ae30-7f674eb31a8b)) + (pin "11" (uuid e8aa4e38-ae11-4f38-aa6a-8af44b70b06e)) + (pin "2" (uuid 13ff518b-2c8f-4c44-9d30-990f92ea0c5f)) + (pin "3" (uuid 75fe61d3-61db-433b-9e80-482cd708dedd)) + (pin "4" (uuid 33565465-d26d-453d-a9b4-c8a8e962a200)) + (pin "5" (uuid 67144f17-7e00-41d0-95d2-2bbe95341f02)) + (pin "6" (uuid dada9b67-cacf-4f20-b55e-02811dce975a)) + (pin "7" (uuid e811dad5-7d65-4ead-a261-53c5327ea3f2)) + (pin "8" (uuid f494df82-2876-425e-a65f-9b1234af0c38)) + (pin "9" (uuid 0d4fc1d5-cf1f-48e8-ad66-20dec56ebcbe)) + ) + + (symbol (lib_id "my:AS6C4008") (at 40.64 135.89 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00007366fa7f) + (property "Reference" "U6" (id 0) (at 31.115 109.22 0)) + (property "Value" "AS6C4008-55SIN" (id 1) (at 50.165 109.22 0)) + (property "Footprint" "Package_SO:SSOP-32_11.305x20.495mm_P1.27mm" (id 2) (at 40.64 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C4008.pdf" (id 3) (at 40.64 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "16" (uuid f7e24dea-9c94-41c4-aa97-3a3644e57611)) + (pin "32" (uuid 651edfda-b876-47aa-a07d-e481a644ff98)) + (pin "1" (uuid 59f24916-ac00-471f-8c06-c8a04294a4a7)) + (pin "10" (uuid db0f4bee-b82c-45a3-b586-bcba5aeffdb5)) + (pin "11" (uuid 0a539cff-480c-4743-9f9a-c7c90eedd0d2)) + (pin "12" (uuid 5377869b-714b-447f-9ff9-b6bf27fbdb73)) + (pin "13" (uuid 8929cf85-f3c0-4478-8bb3-cacffaf1a42d)) + (pin "14" (uuid 08c896e2-538a-465d-8a08-ff265638560d)) + (pin "15" (uuid 156ee264-1b4c-4e83-9407-c56a14f9796b)) + (pin "17" (uuid 547009d3-2d12-492a-9f8c-ec966729f552)) + (pin "18" (uuid eb0a3bc0-f261-4159-b7d2-a27fb1380569)) + (pin "19" (uuid 037065b0-f04c-4130-bdc5-17aed120b6ea)) + (pin "2" (uuid 3f820a03-3b51-4af1-96e9-2fb556e91e21)) + (pin "20" (uuid 4a082fbe-2d4f-445d-a261-46dd91498777)) + (pin "21" (uuid 0640a562-a26f-45fa-b3da-371559c18706)) + (pin "22" (uuid 8078ce94-098a-460c-8bfb-22c959e5c493)) + (pin "23" (uuid d05322d4-242e-499b-b2a2-cdd3b232ae42)) + (pin "24" (uuid 2fd8f77c-1765-4637-80b4-d50e66a33f4a)) + (pin "25" (uuid b3ae86d8-14fb-44e8-b375-76ba4e9934df)) + (pin "26" (uuid ccd627d4-6605-4b69-bc46-8d1c242e1e5d)) + (pin "27" (uuid 90581cd2-3902-4248-bdd0-caacf933ae01)) + (pin "28" (uuid a6fb4e47-1398-4fa4-9bac-22ed2252851d)) + (pin "29" (uuid 473ee876-3b9c-489a-a86c-7885f714af9f)) + (pin "3" (uuid 23af78d3-b974-42b3-a1ca-eb92f14eb9a9)) + (pin "30" (uuid 7558bd61-a781-488a-865f-42a082db38f1)) + (pin "31" (uuid 8b5b4b17-3771-4db1-9e15-48341b371e8d)) + (pin "4" (uuid 95346e9d-fa5c-4ac0-b53b-ba1295acb8f5)) + (pin "5" (uuid 51e263b3-75fd-41e2-86bb-1d4bfb30bc58)) + (pin "6" (uuid 65c9e52d-c2b2-4597-b7bf-0c54a16c1a5e)) + (pin "7" (uuid 85d554b6-87a6-49a4-a9ac-b822c8112bc2)) + (pin "8" (uuid 87ab815d-d9cc-4a5f-bbae-84c19ccc0c0a)) + (pin "9" (uuid 04500a60-88d2-4274-b879-82bedb5e85d6)) + ) + + (symbol (lib_id "my:Z80CPU_QFP") (at 40.64 60.96 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-00005a82bf7b) + (property "Reference" "U4" (id 0) (at 27.94 24.765 0)) + (property "Value" "Z84C0020PEC " (id 1) (at 51.435 24.765 0)) + (property "Footprint" "my:TQFP-44_14x14mm_P0.8mm" (id 2) (at 40.64 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" (id 3) (at 40.64 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3e4023a3-340c-4340-81b6-a8eb66fc288a)) + (pin "10" (uuid 665bb0e5-64d0-4da0-8c5a-77aa34e7ac3f)) + (pin "11" (uuid aa2bd1f4-29f6-4965-bd4c-368d9de42730)) + (pin "12" (uuid c47082f7-2361-4f42-a4ce-9cb6cb9a51bb)) + (pin "13" (uuid ea60eecf-5d09-4865-b9ca-1ac522b0585b)) + (pin "14" (uuid 308a7592-ed17-4bb2-a16a-05c62fadcb89)) + (pin "15" (uuid 6777b72c-7c5c-462b-8834-ce69fcb2cf2b)) + (pin "16" (uuid 3472b7f7-88eb-4bdf-959c-1a846536688a)) + (pin "17" (uuid 52e90f90-7fb4-43d6-87b9-51e1b51fce9e)) + (pin "18" (uuid fb0f7910-c7db-4b2e-9a1d-67325adb77d3)) + (pin "19" (uuid 745686c1-66d8-47db-89e7-261795bcdef2)) + (pin "2" (uuid 0ef1e79d-52ec-41f7-b0f1-4c15bd1d8755)) + (pin "20" (uuid f3da65b9-3182-46de-a1f6-ee11f4c3cd3e)) + (pin "21" (uuid feeeb376-5c18-4d93-a262-ddecfb16abe9)) + (pin "22" (uuid 0d72db56-fcd1-4298-abbc-262e31a33068)) + (pin "23" (uuid 56b51197-5a9b-429c-bad7-632c03698dd0)) + (pin "24" (uuid 7a39f830-8dd0-4618-8526-2679f7bad5dd)) + (pin "25" (uuid d158422a-b516-4eaa-8da3-e013a7a7b0fa)) + (pin "26" (uuid b7288ca0-bc60-4cd5-94e3-59229eccca0a)) + (pin "27" (uuid fa478779-a31f-45e7-b1ef-bc9f096772b8)) + (pin "28" (uuid 9f195292-1d57-4419-9e2c-8c2001f8d444)) + (pin "29" (uuid 38817b71-f7ad-4c11-a518-ed78730f3973)) + (pin "3" (uuid 5a1ae5ac-3fe5-4e0a-8554-91a5ecfed8fe)) + (pin "30" (uuid 471b83eb-ee92-4f89-90d9-797b62476c72)) + (pin "31" (uuid abb707f8-1485-442e-9b95-6d0b25500598)) + (pin "32" (uuid 41535b97-8db2-47a1-b50a-5c5aa4d63e92)) + (pin "33" (uuid 32ac7be8-f1d0-4eb8-9939-08ef7deb2c63)) + (pin "34" (uuid 8c340164-997e-46ce-8aaa-724115ea31f5)) + (pin "35" (uuid 0fb86d2c-ff45-4f1d-b180-b7b5f7353afd)) + (pin "36" (uuid 7a9f3608-3a89-4cf2-8bc5-bc017008f6f6)) + (pin "37" (uuid 5b4e4cb3-6560-4df4-bd8d-945a467f4633)) + (pin "38" (uuid feb0a206-87ef-4035-b070-88f0d3eec95e)) + (pin "39" (uuid 60c36435-5085-4f32-8afe-8deba13e383a)) + (pin "4" (uuid f15f6388-e00a-40f0-9e47-7a8619dbdf32)) + (pin "40" (uuid 1cba99e4-7f10-4615-868a-1b11ca4175d4)) + (pin "41" (uuid 995646bd-8c86-41be-8674-f359cf542a4c)) + (pin "42" (uuid 85ae79dd-a965-4a16-a3ed-b8681f50c651)) + (pin "43" (uuid b497624c-70d2-4c28-a38c-5600ca6fd0dc)) + (pin "44" (uuid ab5ad8da-c5c5-4c91-bd9d-a2d64152cb5d)) + (pin "5" (uuid d21f8660-33f7-411a-a8f2-7417c012d292)) + (pin "6" (uuid dd2df620-d644-4ea9-841f-2173c118f49e)) + (pin "7" (uuid e9805164-ca6b-458e-8b98-95313d4e704d)) + (pin "8" (uuid 4bbc08be-8113-4595-a799-ca38033ca725)) + (pin "9" (uuid f6126f8e-71ac-4933-81af-1a2bb4b744e5)) + ) + + (symbol (lib_id "my:EP1C3T100") (at 165.735 89.535 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 00000000-0000-0000-0000-000065eb9dff) + (property "Reference" "U5" (id 0) (at 149.225 27.305 0)) + (property "Value" "EP1C3T100C8N" (id 1) (at 188.595 21.59 0)) + (property "Footprint" "Package_QFP:TQFP-100_14x14mm_P0.5mm" (id 2) (at 165.735 104.775 90) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "" (id 3) (at 163.195 67.945 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (pin "1" (uuid 6c3a1334-07eb-43a9-844c-e69823293d1e)) + (pin "10" (uuid 1681224a-0afd-4a6e-b541-82626a81f070)) + (pin "100" (uuid 76e46bb3-3ff5-4ec8-999b-9b85b664ec98)) + (pin "11" (uuid 0a6b0d38-e346-4b8c-b6d7-b2f29fbc27ef)) + (pin "12" (uuid 2c233334-a20c-4a79-8692-40749f23701d)) + (pin "13" (uuid 8cface74-ddfb-47a9-a113-e57ffbc44502)) + (pin "14" (uuid eb5f3a92-52c8-4ceb-b6ab-2d13f975744a)) + (pin "15" (uuid f6ff8b44-18ed-4e68-83b7-10ec0eeff6c7)) + (pin "16" (uuid 3684c4e3-5b1a-4cad-b588-67b5af2b197b)) + (pin "17" (uuid ec6f7b36-32ad-4dba-af4d-cb35e7d035e4)) + (pin "18" (uuid 6831d1c2-9618-4033-8941-b0f39d3893ad)) + (pin "19" (uuid 2a3688f1-61e1-4dea-b320-5fd9443384a2)) + (pin "2" (uuid 6572ebf1-d5fe-4110-8f47-6df3bde565d3)) + (pin "20" (uuid c04902a8-65a3-44d7-bf8e-26e27d4c4322)) + (pin "21" (uuid 8d869360-425d-4ad3-b8be-e93054819fdf)) + (pin "22" (uuid b55d2d48-51ff-4850-a866-5e241a538a74)) + (pin "23" (uuid c7a7b3db-596f-451d-a8ca-86fc9988cdfc)) + (pin "24" (uuid 25b32693-eef2-470d-b45e-33138b2d1620)) + (pin "25" (uuid e7ffc9c4-fd81-4949-b474-9a6880295318)) + (pin "26" (uuid 97206e3c-ac9f-4279-ac8a-6ad0a897ab91)) + (pin "27" (uuid 30588048-570b-4fb7-9536-3aa4930cf8ca)) + (pin "28" (uuid 173e2d1a-6f77-4a8f-8b65-380d352a8df5)) + (pin "29" (uuid 63ea5a4f-9897-4d29-b61e-5ca204755234)) + (pin "3" (uuid 22789aa2-6a02-4283-ab74-225197e2c048)) + (pin "30" (uuid 9588e3de-e3fc-42c8-99a7-162d7966f9ca)) + (pin "31" (uuid b1e70e3f-8031-49d0-b66e-2b960c240767)) + (pin "32" (uuid 90401d1c-2e11-40d7-a39c-c2288efa784f)) + (pin "33" (uuid b8522b48-046a-4fb0-9961-941424349384)) + (pin "34" (uuid f6461e8c-d9df-4190-8999-1a2add00fdc0)) + (pin "35" (uuid 785338b2-0102-4ad9-9455-50e9641dcb27)) + (pin "36" (uuid a19f5c8f-c941-46ec-b882-db6c3f2138b8)) + (pin "37" (uuid 6df8e5b8-ae6a-49ec-8a07-29b640b3f60d)) + (pin "38" (uuid 1cdd3a69-a7ef-4076-975e-d92db3d40c2f)) + (pin "39" (uuid ded8d2cf-59b0-4473-b0aa-eb2d107ba636)) + (pin "4" (uuid 99a9092c-8aea-40ea-86cc-d2a42d02c3da)) + (pin "40" (uuid 9142e5da-8b69-44b6-92fd-2de2d27a2e64)) + (pin "41" (uuid ac6d687c-d524-4a76-9618-0bc651e650a0)) + (pin "42" (uuid a22c658c-b13c-4d07-83cc-0980134c742e)) + (pin "43" (uuid 4a00af23-9631-40ed-8397-cc2dfe82cb6e)) + (pin "44" (uuid 485283f7-6f9b-4f64-b08b-273ef480e6a3)) + (pin "45" (uuid b5c79f96-0d03-4a07-a8ff-af6d57ae5860)) + (pin "46" (uuid c99fb015-e34f-4256-93eb-35d051479d03)) + (pin "47" (uuid 9f5b2a33-2017-4de8-8130-039815ac797b)) + (pin "48" (uuid f2ba3de8-d471-4556-bb12-13052340a297)) + (pin "49" (uuid 78e116a3-0cf8-48f4-b22a-898f45244098)) + (pin "5" (uuid d517fb9b-22e6-4b38-bc90-3655e17f7652)) + (pin "50" (uuid 5cd9bb80-ce49-441d-b492-e7dc21350d23)) + (pin "51" (uuid 90b8dcf5-00e4-4290-b0ca-db46cab47671)) + (pin "52" (uuid 4d036ae8-32df-4333-a312-bf7b20d14fdb)) + (pin "53" (uuid 8734f86f-5618-40a0-9e9c-fa3b56c9fe21)) + (pin "54" (uuid fe8beae4-504d-4e68-b910-107f8460c13e)) + (pin "55" (uuid 734734d2-9a5f-4fd9-8623-79cc83838308)) + (pin "56" (uuid ac480750-16e3-40f6-9170-7ec6d29a1c7f)) + (pin "57" (uuid a5b9c1ac-a7ff-47d4-9227-72da7b700397)) + (pin "58" (uuid 8b96bedc-402d-4166-882b-926a8673fb12)) + (pin "59" (uuid 9d1c1554-d006-461d-a8a6-97f6b70393d3)) + (pin "6" (uuid cef7837e-4f8d-4942-bd0d-da1e30a07959)) + (pin "60" (uuid 935cc71b-a3de-4c89-9343-448c76b5d219)) + (pin "61" (uuid 4859e6a8-ce4c-4473-a389-df0a431e208e)) + (pin "62" (uuid bafc5bd0-e676-4c22-976d-0afee23617b6)) + (pin "63" (uuid 82505ac7-164f-4ca7-ad43-81bec2475256)) + (pin "64" (uuid edd566fc-ff60-4586-ad37-8a40e44de4b4)) + (pin "65" (uuid 2dcc8de8-a230-44cf-bfe0-f1e01a5698fb)) + (pin "66" (uuid 2d6e8a8b-daf4-4460-b3e8-8045a09f985e)) + (pin "67" (uuid e21266aa-62dc-49b1-bfd3-679c789c91e6)) + (pin "68" (uuid 989c32e2-23be-4d1e-8128-ebb81d69c646)) + (pin "69" (uuid 13c03864-555e-4a4b-b62a-ff229c07a652)) + (pin "7" (uuid 6eb8e7e4-8d72-4624-b861-72600669b738)) + (pin "70" (uuid 61bf941a-5905-4643-9e71-1e9f65d92094)) + (pin "71" (uuid 6ea452e2-d39c-48dd-be12-380f3213bcce)) + (pin "72" (uuid 10d926eb-cb95-4f3c-a3b5-7b54b9b4c8f5)) + (pin "73" (uuid e88b8eb4-030d-425f-ab90-7a6f3e13b966)) + (pin "74" (uuid 0b16ff06-1cd0-4874-8485-815610bb5d46)) + (pin "75" (uuid cdc0be7b-7a83-4879-911e-baeb60782dc0)) + (pin "76" (uuid f77c951f-961a-4cfd-b00f-067e757cc3b5)) + (pin "77" (uuid 06446e89-c690-4d23-a39a-ff14aa33e96a)) + (pin "78" (uuid e3bfa349-38f7-443c-8c76-3a5a49040548)) + (pin "79" (uuid 078750df-2ebc-4dc1-a67b-ec0c8d209e95)) + (pin "8" (uuid ccc11818-bdf6-4363-bb68-90c4d51b884e)) + (pin "80" (uuid 9d0c4c0d-62f4-4dbf-b9ca-6dc7c4d1f052)) + (pin "81" (uuid d32da137-7918-44e5-8563-56f15f7cb4d1)) + (pin "82" (uuid ba2b760a-22ad-4d56-bc0d-fa92cf89b88d)) + (pin "83" (uuid 60f22616-f819-48b8-a846-0f61ab83d433)) + (pin "84" (uuid 89cb31f9-0ee2-403c-ba51-ff7d12950dac)) + (pin "85" (uuid 1613340e-e42f-4ffc-925e-b7b816747044)) + (pin "86" (uuid 27ecb23b-8ab2-4d28-a3d0-82f11d1de586)) + (pin "87" (uuid ac954aea-f5f0-4261-b203-b3a51f72522e)) + (pin "88" (uuid f11cf86f-e914-4483-9269-4c20223f9e8d)) + (pin "89" (uuid ac7a9fb6-961e-4bff-94d0-5495f3c8df3d)) + (pin "9" (uuid 86c32e67-29f5-4e08-b4cb-fabf400c1223)) + (pin "90" (uuid f6d006b1-dd53-41cb-a524-ab83a032fe65)) + (pin "91" (uuid b644780b-1c8b-427c-8f77-e7f2cd272632)) + (pin "92" (uuid 5d5b2878-cc38-4f5d-b345-deec3e3025a5)) + (pin "93" (uuid f9c02db5-345c-4b9a-bf49-8518ea49fcbe)) + (pin "94" (uuid 0204a664-2ac1-4d91-940f-cde5af074cdc)) + (pin "95" (uuid a4a3ca9b-e10f-4393-8cf1-0c344a5c9791)) + (pin "96" (uuid 24cfcd00-92c6-4fda-9f8e-7ec85055458f)) + (pin "97" (uuid aa93581a-661f-4ba1-a628-2b277bc59e3b)) + (pin "98" (uuid 6407fc1b-6fa6-44a1-9358-e3a52e220233)) + (pin "99" (uuid 29ec8c8b-0391-4ab2-80e0-af43ff4bb719)) + ) + + (sheet_instances + (path "/" (page "1")) + ) + + (symbol_instances + (path "/00000000-0000-0000-0000-000061612f70" + (reference "#FLG01") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005fe78f58" + (reference "#FLG02") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005b6c7c72" + (reference "#PWR02") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-000069a8c07c" + (reference "#PWR06") (unit 1) (value "+1V5") (footprint "") + ) + (path "/00000000-0000-0000-0000-000065ff8b36" + (reference "#PWR08") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000780a82c3" + (reference "#PWR09") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-000068fb0b41" + (reference "#PWR010") (unit 1) (value "+3.3V") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006beb40fc" + (reference "#PWR012") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005f86bde7" + (reference "#PWR013") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000661d0406" + (reference "#PWR014") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000725a3f1f" + (reference "#PWR015") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006176fe1d" + (reference "#PWR016") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006d2e1c10" + (reference "#PWR017") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006d2a5a4e" + (reference "#PWR019") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005f3425b2" + (reference "#PWR025") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006dd69ce1" + (reference "#PWR026") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000060f2341a" + (reference "#PWR027") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006d9d4399" + (reference "#PWR029") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005ffb0cc1" + (reference "#PWR031") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006fe3696c" + (reference "#PWR032") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000061100997" + (reference "#PWR039") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005d12ca06" + (reference "#PWR040") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005fa70966" + (reference "#PWR041") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006246e7b6" + (reference "#PWR043") (unit 1) (value "+5V") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000713b4e3b" + (reference "#PWR044") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005e2bdc4f" + (reference "#PWR045") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-000063b8e2d2" + (reference "#PWR046") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005de095fc" + (reference "#PWR049") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00006853cdcf" + (reference "#PWR055") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005e51a17f" + (reference "#PWR056") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-0000648731bc" + (reference "#PWR058") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005fa44d9a" + (reference "#PWR059") (unit 1) (value "GND") (footprint "") + ) + (path "/00000000-0000-0000-0000-00005e519bc3" + (reference "#PWR060") (unit 1) (value "GND") (footprint "") + ) + (path "/1f2f49c8-5038-4a9e-bde6-be0121e24ffc" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") + ) + (path "/0a379dd1-452c-4810-9279-37a33ffb1f7c" + (reference "#PWR0102") (unit 1) (value "+3V3") (footprint "") + ) + (path "/1d66de1a-d197-4668-a40a-91ccd4f2dc48" + (reference "#PWR0103") (unit 1) (value "+1V5") (footprint "") + ) + (path "/12d63766-dbc5-4d1a-9734-51449ada21c2" + (reference "#PWR0104") (unit 1) (value "+1V5") (footprint "") + ) + (path "/d0469696-817c-4e6a-9b6b-14d3c8de7adb" + (reference "#PWR0105") (unit 1) (value "+3V3") (footprint "") + ) + (path "/817272d6-78fb-45e1-a6ca-f690c827cca3" + (reference "#PWR0106") (unit 1) (value "+1V5") (footprint "") + ) + (path "/6094814b-cbf7-4f44-9d7c-9c98abdca059" + (reference "#PWR0107") (unit 1) (value "+3V3") (footprint "") + ) + (path "/45303fe1-c58e-4f64-9e79-ecf65dff9b98" + (reference "#PWR0108") (unit 1) (value "+3V3") (footprint "") + ) + (path "/09da9896-fd5e-4e59-8a96-7bbe883be027" + (reference "#PWR0109") (unit 1) (value "+3V3") (footprint "") + ) + (path "/59f4d9d1-354e-45f8-8e56-debe6d193e26" + (reference "#PWR0110") (unit 1) (value "+3V3") (footprint "") + ) + (path "/db4b9dc9-56df-48d2-b51f-0b34cf771f05" + (reference "#PWR0111") (unit 1) (value "+3V3") (footprint "") + ) + (path "/f958cd73-56f1-4971-ba88-cb6fb6639002" + (reference "#PWR0112") (unit 1) (value "+3V3") (footprint "") + ) + (path "/ee6042b1-6b47-42a7-a1e1-c2e78791152f" + (reference "#PWR0113") (unit 1) (value "+3V3") (footprint "") + ) + (path "/bd5e647a-9762-46fb-ad0f-5752fce87100" + (reference "#PWR0114") (unit 1) (value "+3V3") (footprint "") + ) + (path "/c05c53ba-5f1f-43f5-8186-907568ea574f" + (reference "#PWR0115") (unit 1) (value "+3V3") (footprint "") + ) + (path "/d08a3d36-dcef-4dd8-a779-81df1662ceee" + (reference "#PWR0116") (unit 1) (value "+3V3") (footprint "") + ) + (path "/2a28359e-b433-4aec-be26-52489fcca016" + (reference "#PWR0117") (unit 1) (value "+3V3") (footprint "") + ) + (path "/21acab10-9ac2-4bc4-a3a1-9783d8e667d3" + (reference "#PWR0118") (unit 1) (value "+3V3") (footprint "") + ) + (path "/5de5a0fa-5607-41de-b0a5-2fc49184bd9b" + (reference "#PWR0119") (unit 1) (value "+3V3") (footprint "") + ) + (path "/00000000-0000-0000-0000-00008f936d62" + (reference "C1") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006c05b474" + (reference "C2") (unit 1) (value "100u/10V") (footprint "Capacitor_Tantalum_SMD:CP_EIA-7343-31_Kemet-D_Pad2.25x2.55mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000907e426e" + (reference "C3") (unit 1) (value "47u/6.3V") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder") + ) + (path "/486cf9a7-c1f5-4b42-8207-e60d623bff8e" + (reference "C4") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006292270f" + (reference "C5") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006169fcef" + (reference "C6") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006171d459" + (reference "C7") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005e51c918" + (reference "C8") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005e51c92a" + (reference "C9") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005e5a20ed" + (reference "C10") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000617ac36b" + (reference "C11") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000061096021" + (reference "C12") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006cc09ed7" + (reference "C13") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006cc09edd" + (reference "C14") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005e61af0c" + (reference "C15") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/0b095a39-a938-4e12-936d-7bf2c5937aeb" + (reference "C16") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000613086c6" + (reference "C17") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005d1a1953" + (reference "C20") (unit 1) (value "10u") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006847932a" + (reference "C21") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000061f7e0ae" + (reference "C22") (unit 1) (value "10u") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3216-18_Kemet-A_Pad1.58x1.35mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000689d456c" + (reference "C23") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005ec04b3a" + (reference "C24") (unit 1) (value "4.7n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005fc1e15f" + (reference "C25") (unit 1) (value "4.7n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005fe8ce50" + (reference "C26") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric_Pad1.08x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005ff4ff9e" + (reference "C27") (unit 1) (value "47u/6.3V") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B_Pad1.50x2.35mm_HandSolder") + ) + (path "/60be204f-c2f5-440d-9397-48b493cea047" + (reference "C28") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/da8ea041-bb8b-4add-a9c0-f3eacbb540ff" + (reference "C29") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005b653724" + (reference "D1") (unit 1) (value "LED") (footprint "LED_THT:LED_D4.0mm") + ) + (path "/00000000-0000-0000-0000-000068494484" + (reference "D2") (unit 1) (value "1N4148W") (footprint "Diode_SMD:D_SOD-123") + ) + (path "/00000000-0000-0000-0000-00005e30ca87" + (reference "H1") (unit 1) (value "MountingHole") (footprint "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask") + ) + (path "/00000000-0000-0000-0000-00005e312288" + (reference "H2") (unit 1) (value "MountingHole") (footprint "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask") + ) + (path "/00000000-0000-0000-0000-00005e3124c4" + (reference "H3") (unit 1) (value "MountingHole") (footprint "my:MountingHole_2.7mm_M2.5_dk5.0mm_Mask") + ) + (path "/00000000-0000-0000-0000-000067c72e24" + (reference "J1") (unit 1) (value "Pin header 1x3 Pitch=2.54mm") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical") + ) + (path "/00000000-0000-0000-0000-00006176ef62" + (reference "J2") (unit 1) (value "USB_B_Micro") (footprint "Connector_USB:USB_Micro-B_Molex-105017-0001") + ) + (path "/00000000-0000-0000-0000-00006047590c" + (reference "J3") (unit 1) (value "PJ-320") (footprint "my:PJ-320A_D") + ) + (path "/00000000-0000-0000-0000-0000606fada5" + (reference "J4") (unit 1) (value "PJ-320") (footprint "my:PJ-320A_D") + ) + (path "/00000000-0000-0000-0000-0000633925aa" + (reference "J5") (unit 1) (value "Pin header 1x4 Pitch=2.54mm") (footprint "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical") + ) + (path "/00000000-0000-0000-0000-00005dddf159" + (reference "J6") (unit 1) (value "Solder points") (footprint "my:PinPoints_1x06_P1.27mm") + ) + (path "/00000000-0000-0000-0000-00005f9359d6" + (reference "J7") (unit 1) (value "DM3D-SF") (footprint "Connector_Card:microSD_HC_Hirose_DM3D-SF") + ) + (path "/00000000-0000-0000-0000-000060e95663" + (reference "L1") (unit 1) (value "BLM21AG601SN") (footprint "Inductor_SMD:L_0805_2012Metric_Pad1.15x1.40mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005e51c621" + (reference "LOGO1") (unit 1) (value "graphic") (footprint "mygraphic:black-mage-9.6x6.5") + ) + (path "/00000000-0000-0000-0000-000068e940f7" + (reference "LOGO2") (unit 1) (value "Jack35") (footprint "mygraphic:jack35_4pin") + ) + (path "/00000000-0000-0000-0000-000061601f84" + (reference "Q2") (unit 1) (value "BSS138") (footprint "Package_TO_SOT_SMD:SOT-23") + ) + (path "/00000000-0000-0000-0000-0000615fbac2" + (reference "Q3") (unit 1) (value "BSS138") (footprint "Package_TO_SOT_SMD:SOT-23") + ) + (path "/00000000-0000-0000-0000-000068496655" + (reference "Q4") (unit 1) (value "BC817") (footprint "Package_TO_SOT_SMD:SOT-23") + ) + (path "/00000000-0000-0000-0000-00005fd0e95c" + (reference "R1") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006d31dc13" + (reference "R2") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006d31d280" + (reference "R3") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005b6c9dcd" + (reference "R4") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f035e80" + (reference "R5") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005efe7375" + (reference "R6") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005fed3d0d" + (reference "R7") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f37cea0" + (reference "R8") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f37cea7" + (reference "R9") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f51e5a0" + (reference "R10") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f51e5a7" + (reference "R11") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f55addc" + (reference "R12") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f55ade3" + (reference "R13") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/72deaa49-2680-4558-b7aa-ab5749d990a2" + (reference "R14") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f5985eb" + (reference "R15") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f5985f2" + (reference "R16") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f5d69c9" + (reference "R17") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006f5d69d0" + (reference "R18") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006fe39957" + (reference "R19") (unit 1) (value "200") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/1bd8e64c-5e98-4144-ab65-ea19371167fa" + (reference "R20") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/2b7ce27b-6799-42da-b836-abe494034884" + (reference "R21") (unit 1) (value "100") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006b941bf0" + (reference "R26") (unit 1) (value "470") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000609f53ed" + (reference "R27") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000609f53e7" + (reference "R28") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006205844e" + (reference "R29") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000620593f1" + (reference "R30") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005dfa281c" + (reference "R31") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000070ed11c4" + (reference "R32") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000070ed09b6" + (reference "R33") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006849510e" + (reference "R34") (unit 1) (value "470k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-0000784ee132" + (reference "R35") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000068478503" + (reference "R36") (unit 1) (value "470") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000068494cbb" + (reference "R37") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00005dfa3543" + (reference "R38") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-000068476d67" + (reference "R39") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0603_1608Metric_Pad0.98x0.95mm_HandSolder") + ) + (path "/00000000-0000-0000-0000-00006b534bb3" + (reference "RN1") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0603") + ) + (path "/00000000-0000-0000-0000-00006b3a9338" + (reference "RN2") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0603") + ) + (path "/00000000-0000-0000-0000-00006b4f253a" + (reference "RN3") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0603") + ) + (path "/00000000-0000-0000-0000-00006b83ea8f" + (reference "RN4") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0603") + ) + (path "/00000000-0000-0000-0000-00006b3ab485" + (reference "RN5") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0603") + ) + (path "/0ec5c23b-4e61-4be7-932e-26c122bc1573" + (reference "TP1") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/19c32349-4854-473f-af3d-e27d549a9a3d" + (reference "TP2") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/00000000-0000-0000-0000-00006546187a" + (reference "U1") (unit 1) (value "1117-3.3") (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2") + ) + (path "/00000000-0000-0000-0000-000065ff82cb" + (reference "U2") (unit 1) (value "1117-1.5") (footprint "Package_TO_SOT_SMD:SOT-223-3_TabPin2") + ) + (path "/00000000-0000-0000-0000-0000661d37ca" + (reference "U3") (unit 1) (value "EPCS4") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm") + ) + (path "/00000000-0000-0000-0000-00005a82bf7b" + (reference "U4") (unit 1) (value "Z84C0020PEC ") (footprint "my:TQFP-44_14x14mm_P0.8mm") + ) + (path "/00000000-0000-0000-0000-000065eb9dff" + (reference "U5") (unit 1) (value "EP1C3T100C8N") (footprint "Package_QFP:TQFP-100_14x14mm_P0.5mm") + ) + (path "/00000000-0000-0000-0000-00007366fa7f" + (reference "U6") (unit 1) (value "AS6C4008-55SIN") (footprint "Package_SO:SSOP-32_11.305x20.495mm_P1.27mm") + ) + (path "/00000000-0000-0000-0000-00006847651e" + (reference "X1") (unit 1) (value "28 MHz") (footprint "Oscillator:Oscillator_SMD_SeikoEpson_SG8002CA-4Pin_7.0x5.0mm") + ) + ) +)