mirror of
https://github.com/UzixLS/zx-sizif-512-ext.git
synced 2025-07-18 23:01:26 +03:00
7 lines
279 B
Tcl
7 lines
279 B
Tcl
create_clock -period 32.1MHz -name {clk_32mhz} [get_ports {clk32}]
|
|
create_clock -period 14.1MHz -name {clkcpu} [get_ports {clkcpu}]
|
|
|
|
create_generated_clock -name {gclk} -divide_by 2 -source [get_ports {clk32}] [get_registers {midi_clk_cnt[2]}]
|
|
|
|
set_false_path -from gs_ena
|