1
0
mirror of https://github.com/UzixLS/rgb2ypbpr.git synced 2025-07-18 23:01:30 +03:00
Files
rgb2ypbpr/spice/Makefile
2021-01-15 20:16:02 +03:00

25 lines
630 B
Makefile

export PATH:=/cygdrive/c/Hwdev/modelsim181/modelsim_ase/win32aloem:/cygdrive/c/Hwdev/iverilog/bin/:/cygdrive/c/Hwdev/sjasmplus/:/cygdrive/c/Dev/srec/:${PATH}
all: testbench_pwldac
testbench_pwldac: V=testbench_pwldac.v
# testbench_%:
# iverilog -g2005-sv ${VFLAGS} -o $@.vvp $@.v ${V}
# vvp $@.vvp
# @rm $@.vvp
testbench_%:
test ! -d work || rm -rf work
vlib work
test ! -n "$(filter %.v,${V})" || vlog -sv $(filter %.v,${V})
test ! -n "$(filter %.vhd %.vhdl,${V})" || vcom $(filter %.vhd %.vhdl,${V})
vsim -do 'run -all' $@
@rm transcript
%.bin: %.asm
sjasmplus $<
%.mem: %.bin
srec_cat $< -binary -o $@ -vmem 8