mirror of
https://github.com/UzixLS/TSConf_MiST.git
synced 2025-07-19 07:11:22 +03:00
update JT12
This commit is contained in:
@ -16,6 +16,7 @@ port
|
||||
|
||||
dout : out std_logic_vector(7 downto 0);
|
||||
irq_n : out std_logic;
|
||||
en_hifi_pcm: in std_logic; -- set high to use interpolation on PCM samples
|
||||
|
||||
-- combined output
|
||||
snd_right : out std_logic_vector(15 downto 0); -- signed
|
||||
|
Reference in New Issue
Block a user