From a1d17ed38add8056339b0910eb6193ecad0970c1 Mon Sep 17 00:00:00 2001 From: sorgelig Date: Thu, 16 Aug 2018 05:21:32 +0800 Subject: [PATCH] Initial commit. --- .gitignore | 39 + TSConf-lite.qsf | 402 ++ TSConf-lite.srf | 23 + TSConf.qpf | 13 + TSConf.qsf | 408 ++ TSConf.srf | 29 + TSConf.sv | 366 ++ clean.bat | 36 + releases/SDCard.zip | Bin 0 -> 455755 bytes src/clock.v | 51 + src/cpu/cache_addr.v | 222 ++ src/cpu/cache_data.v | 222 ++ src/cpu/zclock.v | 138 + src/cpu/zint.v | 123 + src/cpu/zmaps.v | 59 + src/cpu/zmem.v | 312 ++ src/cpu/zports.v | 716 ++++ src/cpu/zsignals.v | 94 + src/kempston_mouse.v | 66 + src/keyboard.vhd | 195 + src/loader_fat32/.DS_Store | Bin 0 -> 6148 bytes src/loader_fat32/bin2hex.py | 318 ++ src/loader_fat32/loader.asm | 214 ++ src/loader_fat32/loader.bin | Bin 0 -> 8192 bytes src/loader_fat32/loader.hex | 257 ++ src/loader_fat32/loader_spiflash.zip | Bin 0 -> 16158 bytes src/loader_fat32/make.sh | 7 + src/loader_fat32/tsfat/DMA.ASM | 1 + src/loader_fat32/tsfat/DSDTS.ASM | 1 + src/loader_fat32/tsfat/DSDTS_DMA.ASM | 1 + src/loader_fat32/tsfat/STREAM.ASM | 1 + src/loader_fat32/tsfat/TSFAT.ASM | 1 + src/memory/arbiter.v | 256 ++ src/memory/dma.v | 310 ++ src/rom.vhd | 144 + src/rtc/CMOS.bin | Bin 0 -> 256 bytes src/rtc/CMOS.hex | 9 + src/rtc/CMOS.vhd | 235 ++ src/rtc/mc146818a.vhd | 303 ++ src/sdram.vhd | 254 ++ src/sound/ay8910.vhd | 314 ++ src/sound/soundrive.vhd | 62 + src/sound/turbosound.vhd | 78 + src/spi.v | 192 + src/t80/T80.vhd | 1139 ++++++ src/t80/T8080se.vhd | 185 + src/t80/T80_ALU.vhd | 351 ++ src/t80/T80_MCode.vhd | 2048 ++++++++++ src/t80/T80_Pack.vhd | 217 ++ src/t80/T80_Reg.vhd | 105 + src/t80/T80a.vhd | 268 ++ src/t80/T80s.vhd | 204 + src/t80/T80se.vhd | 200 + src/tsconf.vhd | 1475 ++++++++ src/video/mem/video_cram.mif | 280 ++ src/video/mem/video_cram.qip | 3 + src/video/mem/video_cram.v | 219 ++ src/video/mem/video_sfile.v | 217 ++ src/video/mem/video_tmbuf.v | 217 ++ src/video/mem/video_tsline0.v | 216 ++ src/video/mem/video_tsline1.v | 216 ++ src/video/mem/video_vmem.qip | 3 + src/video/mem/video_vmem.v | 217 ++ src/video/video_fetch.v | 38 + src/video/video_mode.v | 232 ++ src/video/video_out.v | 75 + src/video/video_ports.v | 173 + src/video/video_render.v | 85 + src/video/video_sync.v | 228 ++ src/video/video_top.v | 500 +++ src/video/video_ts.v | 403 ++ src/video/video_ts_render.v | 155 + sys/build_id.tcl | 69 + sys/hdmi_config.sv | 202 + sys/hdmi_lite.sv | 395 ++ sys/hps_io.v | 703 ++++ sys/hq2x.sv | 385 ++ sys/i2c.v | 69 + sys/i2s.v | 136 + sys/ip/avalon_combiner.v | 60 + sys/ip/avalon_combiner_hw.tcl | 204 + sys/ip/de10_hps_hw.tcl | 3706 +++++++++++++++++++ sys/ip/in_split.v | 52 + sys/ip/in_split_hw.tcl | 104 + sys/ip/out_mix.v | 44 + sys/ip/out_mix_hw.tcl | 97 + sys/ip/reset_source.v | 50 + sys/ip/reset_source_hw.tcl | 152 + sys/lpf48k.sv | 100 + sys/osd.v | 199 + sys/pattern_vg.v | 120 + sys/pll.qip | 337 ++ sys/pll.v | 259 ++ sys/pll/pll_0002.qip | 4 + sys/pll/pll_0002.v | 96 + sys/pll_hdmi.qip | 483 +++ sys/pll_hdmi.v | 256 ++ sys/pll_hdmi/pll_hdmi_0002.qip | 2 + sys/pll_hdmi/pll_hdmi_0002.v | 241 ++ sys/pll_hdmi_cfg.qip | 44 + sys/pll_hdmi_cfg.v | 86 + sys/pll_hdmi_cfg/altera_pll_reconfig_core.v | 2184 +++++++++++ sys/pll_hdmi_cfg/altera_pll_reconfig_top.v | 428 +++ sys/scandoubler.v | 190 + sys/sd_card.v | 538 +++ sys/sigma_delta_dac.v | 33 + sys/spdif.v | 426 +++ sys/sync_vg.v | 78 + sys/sys.qip | 24 + sys/sys_top.sdc | 55 + sys/sys_top.v | 953 +++++ sys/sysmem.sv | 531 +++ sys/vga_out.sv | 65 + sys/video_mixer.sv | 167 + sys/vip.qsys | 1177 ++++++ sys/vip_config.sv | 159 + 116 files changed, 31804 insertions(+) create mode 100644 .gitignore create mode 100644 TSConf-lite.qsf create mode 100644 TSConf-lite.srf create mode 100644 TSConf.qpf create mode 100644 TSConf.qsf create mode 100644 TSConf.srf create mode 100644 TSConf.sv create mode 100644 clean.bat create mode 100644 releases/SDCard.zip create mode 100644 src/clock.v create mode 100644 src/cpu/cache_addr.v create mode 100644 src/cpu/cache_data.v create mode 100644 src/cpu/zclock.v create mode 100644 src/cpu/zint.v create mode 100644 src/cpu/zmaps.v create mode 100644 src/cpu/zmem.v create mode 100644 src/cpu/zports.v create mode 100644 src/cpu/zsignals.v create mode 100644 src/kempston_mouse.v create mode 100644 src/keyboard.vhd create mode 100644 src/loader_fat32/.DS_Store create mode 100644 src/loader_fat32/bin2hex.py create mode 100644 src/loader_fat32/loader.asm create mode 100644 src/loader_fat32/loader.bin create mode 100644 src/loader_fat32/loader.hex create mode 100644 src/loader_fat32/loader_spiflash.zip create mode 100644 src/loader_fat32/make.sh create mode 100644 src/loader_fat32/tsfat/DMA.ASM create mode 100644 src/loader_fat32/tsfat/DSDTS.ASM create mode 100644 src/loader_fat32/tsfat/DSDTS_DMA.ASM create mode 100644 src/loader_fat32/tsfat/STREAM.ASM create mode 100644 src/loader_fat32/tsfat/TSFAT.ASM create mode 100644 src/memory/arbiter.v create mode 100644 src/memory/dma.v create mode 100644 src/rom.vhd create mode 100644 src/rtc/CMOS.bin create mode 100644 src/rtc/CMOS.hex create mode 100644 src/rtc/CMOS.vhd create mode 100644 src/rtc/mc146818a.vhd create mode 100644 src/sdram.vhd create mode 100644 src/sound/ay8910.vhd create mode 100644 src/sound/soundrive.vhd create mode 100644 src/sound/turbosound.vhd create mode 100644 src/spi.v create mode 100644 src/t80/T80.vhd create mode 100644 src/t80/T8080se.vhd create mode 100644 src/t80/T80_ALU.vhd create mode 100644 src/t80/T80_MCode.vhd create mode 100644 src/t80/T80_Pack.vhd create mode 100644 src/t80/T80_Reg.vhd create mode 100644 src/t80/T80a.vhd create mode 100644 src/t80/T80s.vhd create mode 100644 src/t80/T80se.vhd create mode 100644 src/tsconf.vhd create mode 100644 src/video/mem/video_cram.mif create mode 100644 src/video/mem/video_cram.qip create mode 100644 src/video/mem/video_cram.v create mode 100644 src/video/mem/video_sfile.v create mode 100644 src/video/mem/video_tmbuf.v create mode 100644 src/video/mem/video_tsline0.v create mode 100644 src/video/mem/video_tsline1.v create mode 100644 src/video/mem/video_vmem.qip create mode 100644 src/video/mem/video_vmem.v create mode 100644 src/video/video_fetch.v create mode 100644 src/video/video_mode.v create mode 100644 src/video/video_out.v create mode 100644 src/video/video_ports.v create mode 100644 src/video/video_render.v create mode 100644 src/video/video_sync.v create mode 100644 src/video/video_top.v create mode 100644 src/video/video_ts.v create mode 100644 src/video/video_ts_render.v create mode 100644 sys/build_id.tcl create mode 100644 sys/hdmi_config.sv create mode 100644 sys/hdmi_lite.sv create mode 100644 sys/hps_io.v create mode 100644 sys/hq2x.sv create mode 100644 sys/i2c.v create mode 100644 sys/i2s.v create mode 100644 sys/ip/avalon_combiner.v create mode 100644 sys/ip/avalon_combiner_hw.tcl create mode 100644 sys/ip/de10_hps_hw.tcl create mode 100644 sys/ip/in_split.v create mode 100644 sys/ip/in_split_hw.tcl create mode 100644 sys/ip/out_mix.v create mode 100644 sys/ip/out_mix_hw.tcl create mode 100644 sys/ip/reset_source.v create mode 100644 sys/ip/reset_source_hw.tcl create mode 100644 sys/lpf48k.sv create mode 100644 sys/osd.v create mode 100644 sys/pattern_vg.v create mode 100644 sys/pll.qip create mode 100644 sys/pll.v create mode 100644 sys/pll/pll_0002.qip create mode 100644 sys/pll/pll_0002.v create mode 100644 sys/pll_hdmi.qip create mode 100644 sys/pll_hdmi.v create mode 100644 sys/pll_hdmi/pll_hdmi_0002.qip create mode 100644 sys/pll_hdmi/pll_hdmi_0002.v create mode 100644 sys/pll_hdmi_cfg.qip create mode 100644 sys/pll_hdmi_cfg.v create mode 100644 sys/pll_hdmi_cfg/altera_pll_reconfig_core.v create mode 100644 sys/pll_hdmi_cfg/altera_pll_reconfig_top.v create mode 100644 sys/scandoubler.v create mode 100644 sys/sd_card.v create mode 100644 sys/sigma_delta_dac.v create mode 100644 sys/spdif.v create mode 100644 sys/sync_vg.v create mode 100644 sys/sys.qip create mode 100644 sys/sys_top.sdc create mode 100644 sys/sys_top.v create mode 100644 sys/sysmem.sv create mode 100644 sys/vga_out.sv create mode 100644 sys/video_mixer.sv create mode 100644 sys/vip.qsys create mode 100644 sys/vip_config.sv diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..a80016f --- /dev/null +++ b/.gitignore @@ -0,0 +1,39 @@ +db +greybox_tmp +incremental_db +output_files +simulation +hc_output +scaler +hps_isw_handoff +vip +*_sim +.qsys_edit +PLLJ_PLLSPE_INFO.txt +*.bak +*.orig +*.rej +*.qdf +*.rpt +*.smsg +*.summary +*.done +*.jdi +*.pin +*.sof +*.qws +*.ppf +*.ddb +build_id.v +c5_pin_model_dump.txt +*.sopcinfo +*.csv +*.f +*.cmp +*.sip +*.spd +*.bsf +*~ +*.xml +*_netlist +*.cdf diff --git a/TSConf-lite.qsf b/TSConf-lite.qsf new file mode 100644 index 0000000..55da076 --- /dev/null +++ b/TSConf-lite.qsf @@ -0,0 +1,402 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2017 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.2 Build 203 01/18/2017 SJ Standard Edition +# Date created = 01:53:32 April 20, 2017 +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name VERILOG_MACRO "LITE=1" + +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name DEVICE 5CSEBA6U23I7 +set_global_assignment -name TOP_LEVEL_ENTITY sys_top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2 +set_global_assignment -name LAST_QUARTUS_VERSION "17.0.1 Standard Edition" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017" +set_global_assignment -name DEVICE_FILTER_PACKAGE UFBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 + +set_global_assignment -name GENERATE_RBF_FILE ON +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL +set_global_assignment -name SAVE_DISK_SPACE OFF +set_global_assignment -name SMART_RECOMPILE ON +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS +set_global_assignment -name FITTER_EFFORT "STANDARD FIT" +set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT" +set_global_assignment -name SEED 1 + +#============================================================ +# ADC +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CONVST +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO +set_location_assignment PIN_U9 -to ADC_CONVST +set_location_assignment PIN_V10 -to ADC_SCK +set_location_assignment PIN_AC4 -to ADC_SDI +set_location_assignment PIN_AD4 -to ADC_SDO + +#============================================================ +# ARDUINO +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_location_assignment PIN_AG9 -to ARDUINO_IO[3] +set_location_assignment PIN_U14 -to ARDUINO_IO[4] +set_location_assignment PIN_U13 -to ARDUINO_IO[5] +set_location_assignment PIN_AG8 -to ARDUINO_IO[6] +set_location_assignment PIN_AH8 -to ARDUINO_IO[7] +set_location_assignment PIN_AF17 -to ARDUINO_IO[8] +set_location_assignment PIN_AE15 -to ARDUINO_IO[9] +set_location_assignment PIN_AF15 -to ARDUINO_IO[10] +set_location_assignment PIN_AG16 -to ARDUINO_IO[11] +set_location_assignment PIN_AH11 -to ARDUINO_IO[12] +set_location_assignment PIN_AH12 -to ARDUINO_IO[13] +set_location_assignment PIN_AH9 -to ARDUINO_IO[14] +set_location_assignment PIN_AG11 -to ARDUINO_IO[15] + +#============================================================ +# SDIO +#============================================================ +set_location_assignment PIN_AF25 -to SDIO_DAT[0] +set_location_assignment PIN_AF23 -to SDIO_DAT[1] +set_location_assignment PIN_AD26 -to SDIO_DAT[2] +set_location_assignment PIN_AF28 -to SDIO_DAT[3] +set_location_assignment PIN_AF27 -to SDIO_CMD +set_location_assignment PIN_AH26 -to SDIO_CLK +set_location_assignment PIN_AH7 -to SDIO_CD + +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDIO_* + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDIO_* +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SDIO_DAT[*] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SDIO_CMD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SDIO_CD + +#============================================================ +# VGA +#============================================================ +set_location_assignment PIN_AE17 -to VGA_R[0] +set_location_assignment PIN_AE20 -to VGA_R[1] +set_location_assignment PIN_AF20 -to VGA_R[2] +set_location_assignment PIN_AH18 -to VGA_R[3] +set_location_assignment PIN_AH19 -to VGA_R[4] +set_location_assignment PIN_AF21 -to VGA_R[5] + +set_location_assignment PIN_AE19 -to VGA_G[0] +set_location_assignment PIN_AG15 -to VGA_G[1] +set_location_assignment PIN_AF18 -to VGA_G[2] +set_location_assignment PIN_AG18 -to VGA_G[3] +set_location_assignment PIN_AG19 -to VGA_G[4] +set_location_assignment PIN_AG20 -to VGA_G[5] + +set_location_assignment PIN_AG21 -to VGA_B[0] +set_location_assignment PIN_AA20 -to VGA_B[1] +set_location_assignment PIN_AE22 -to VGA_B[2] +set_location_assignment PIN_AF22 -to VGA_B[3] +set_location_assignment PIN_AH23 -to VGA_B[4] +set_location_assignment PIN_AH21 -to VGA_B[5] + +set_location_assignment PIN_AH22 -to VGA_HS +set_location_assignment PIN_AG24 -to VGA_VS + +set_location_assignment PIN_AH27 -to VGA_EN +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to VGA_EN + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_* +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_* + +#============================================================ +# AUDIO +#============================================================ +set_location_assignment PIN_AC24 -to AUDIO_L +set_location_assignment PIN_AE25 -to AUDIO_R +set_location_assignment PIN_AG26 -to AUDIO_SPDIF +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUDIO_* +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to AUDIO_* + +#============================================================ +# SDRAM +#============================================================ +set_location_assignment PIN_Y11 -to SDRAM_A[0] +set_location_assignment PIN_AA26 -to SDRAM_A[1] +set_location_assignment PIN_AA13 -to SDRAM_A[2] +set_location_assignment PIN_AA11 -to SDRAM_A[3] +set_location_assignment PIN_W11 -to SDRAM_A[4] +set_location_assignment PIN_Y19 -to SDRAM_A[5] +set_location_assignment PIN_AB23 -to SDRAM_A[6] +set_location_assignment PIN_AC23 -to SDRAM_A[7] +set_location_assignment PIN_AC22 -to SDRAM_A[8] +set_location_assignment PIN_C12 -to SDRAM_A[9] +set_location_assignment PIN_AB26 -to SDRAM_A[10] +set_location_assignment PIN_AD17 -to SDRAM_A[11] +set_location_assignment PIN_D12 -to SDRAM_A[12] +set_location_assignment PIN_Y17 -to SDRAM_BA[0] +set_location_assignment PIN_AB25 -to SDRAM_BA[1] + +set_location_assignment PIN_E8 -to SDRAM_DQ[0] +set_location_assignment PIN_V12 -to SDRAM_DQ[1] +set_location_assignment PIN_D11 -to SDRAM_DQ[2] +set_location_assignment PIN_W12 -to SDRAM_DQ[3] +set_location_assignment PIN_AH13 -to SDRAM_DQ[4] +set_location_assignment PIN_D8 -to SDRAM_DQ[5] +set_location_assignment PIN_AH14 -to SDRAM_DQ[6] +set_location_assignment PIN_AF7 -to SDRAM_DQ[7] +set_location_assignment PIN_AE24 -to SDRAM_DQ[8] +set_location_assignment PIN_AD23 -to SDRAM_DQ[9] +set_location_assignment PIN_AE6 -to SDRAM_DQ[10] +set_location_assignment PIN_AE23 -to SDRAM_DQ[11] +set_location_assignment PIN_AG14 -to SDRAM_DQ[12] +set_location_assignment PIN_AD5 -to SDRAM_DQ[13] +set_location_assignment PIN_AF4 -to SDRAM_DQ[14] +set_location_assignment PIN_AH3 -to SDRAM_DQ[15] +set_location_assignment PIN_AG13 -to SDRAM_DQML +set_location_assignment PIN_AF13 -to SDRAM_DQMH + +set_location_assignment PIN_AD20 -to SDRAM_CLK +set_location_assignment PIN_AG10 -to SDRAM_CKE + +set_location_assignment PIN_AA19 -to SDRAM_nWE +set_location_assignment PIN_AA18 -to SDRAM_nCAS +set_location_assignment PIN_Y18 -to SDRAM_nCS +set_location_assignment PIN_W14 -to SDRAM_nRAS + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_* +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[*] +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQM* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_n* +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[*] +set_instance_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF -to *|SDRAM_* + +#============================================================ +# I/O +#============================================================ +set_location_assignment PIN_Y15 -to LED_USER +set_location_assignment PIN_AA15 -to LED_HDD +set_location_assignment PIN_AG28 -to LED_POWER + +set_location_assignment PIN_AH24 -to BTN_USER +set_location_assignment PIN_AG25 -to BTN_OSD +set_location_assignment PIN_AG23 -to BTN_RESET + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED_* +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BTN_* +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to BTN_* + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK3_50 +set_location_assignment PIN_V11 -to FPGA_CLK1_50 +set_location_assignment PIN_Y13 -to FPGA_CLK2_50 +set_location_assignment PIN_E11 -to FPGA_CLK3_50 + +#============================================================ +# HDMI +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SCL +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SDA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2S +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_LRCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_MCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_DE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_INT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_VS +set_location_assignment PIN_U10 -to HDMI_I2C_SCL +set_location_assignment PIN_AA4 -to HDMI_I2C_SDA +set_location_assignment PIN_T13 -to HDMI_I2S +set_location_assignment PIN_T11 -to HDMI_LRCLK +set_location_assignment PIN_U11 -to HDMI_MCLK +set_location_assignment PIN_T12 -to HDMI_SCLK +set_location_assignment PIN_AG5 -to HDMI_TX_CLK +set_location_assignment PIN_AD19 -to HDMI_TX_DE +set_location_assignment PIN_AD12 -to HDMI_TX_D[0] +set_location_assignment PIN_AE12 -to HDMI_TX_D[1] +set_location_assignment PIN_W8 -to HDMI_TX_D[2] +set_location_assignment PIN_Y8 -to HDMI_TX_D[3] +set_location_assignment PIN_AD11 -to HDMI_TX_D[4] +set_location_assignment PIN_AD10 -to HDMI_TX_D[5] +set_location_assignment PIN_AE11 -to HDMI_TX_D[6] +set_location_assignment PIN_Y5 -to HDMI_TX_D[7] +set_location_assignment PIN_AF10 -to HDMI_TX_D[8] +set_location_assignment PIN_Y4 -to HDMI_TX_D[9] +set_location_assignment PIN_AE9 -to HDMI_TX_D[10] +set_location_assignment PIN_AB4 -to HDMI_TX_D[11] +set_location_assignment PIN_AE7 -to HDMI_TX_D[12] +set_location_assignment PIN_AF6 -to HDMI_TX_D[13] +set_location_assignment PIN_AF8 -to HDMI_TX_D[14] +set_location_assignment PIN_AF5 -to HDMI_TX_D[15] +set_location_assignment PIN_AE4 -to HDMI_TX_D[16] +set_location_assignment PIN_AH2 -to HDMI_TX_D[17] +set_location_assignment PIN_AH4 -to HDMI_TX_D[18] +set_location_assignment PIN_AH5 -to HDMI_TX_D[19] +set_location_assignment PIN_AH6 -to HDMI_TX_D[20] +set_location_assignment PIN_AG6 -to HDMI_TX_D[21] +set_location_assignment PIN_AF9 -to HDMI_TX_D[22] +set_location_assignment PIN_AE8 -to HDMI_TX_D[23] +set_location_assignment PIN_T8 -to HDMI_TX_HS +set_location_assignment PIN_AF11 -to HDMI_TX_INT +set_location_assignment PIN_V13 -to HDMI_TX_VS + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1] +set_location_assignment PIN_AH17 -to KEY[0] +set_location_assignment PIN_AH16 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7] +set_location_assignment PIN_W15 -to LED[0] +set_location_assignment PIN_AA24 -to LED[1] +set_location_assignment PIN_V16 -to LED[2] +set_location_assignment PIN_V15 -to LED[3] +set_location_assignment PIN_AF26 -to LED[4] +set_location_assignment PIN_AE26 -to LED[5] +set_location_assignment PIN_Y16 -to LED[6] +set_location_assignment PIN_AA23 -to LED[7] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_location_assignment PIN_Y24 -to SW[0] +set_location_assignment PIN_W24 -to SW[1] +set_location_assignment PIN_W21 -to SW[2] +set_location_assignment PIN_W20 -to SW[3] + +set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:sys/build_id.tcl" + +set_global_assignment -name CDF_FILE jtag.cdf +set_global_assignment -name QIP_FILE sys/sys.qip +set_global_assignment -name VHDL_FILE src/t80/T80_Reg.vhd +set_global_assignment -name VHDL_FILE src/t80/T80_Pack.vhd +set_global_assignment -name VHDL_FILE src/t80/T80_MCode.vhd +set_global_assignment -name VHDL_FILE src/t80/T80_ALU.vhd +set_global_assignment -name VHDL_FILE src/t80/T80.vhd +set_global_assignment -name VHDL_FILE src/t80/T80s.vhd +set_global_assignment -name VERILOG_FILE src/cpu/zsignals.v +set_global_assignment -name VERILOG_FILE src/cpu/zports.v +set_global_assignment -name VERILOG_FILE src/cpu/zmem.v +set_global_assignment -name VERILOG_FILE src/cpu/zmaps.v +set_global_assignment -name VERILOG_FILE src/cpu/zint.v +set_global_assignment -name VERILOG_FILE src/cpu/zclock.v +set_global_assignment -name VERILOG_FILE src/cpu/cache_data.v +set_global_assignment -name VERILOG_FILE src/cpu/cache_addr.v +set_global_assignment -name VHDL_FILE src/rtc/CMOS.vhd +set_global_assignment -name VHDL_FILE src/rtc/mc146818a.vhd +set_global_assignment -name VHDL_FILE src/sound/soundrive.vhd +set_global_assignment -name VHDL_FILE src/sound/turbosound.vhd +set_global_assignment -name VHDL_FILE src/sound/ay8910.vhd +set_global_assignment -name VERILOG_FILE src/memory/dma.v +set_global_assignment -name VERILOG_FILE src/memory/arbiter.v +set_global_assignment -name VERILOG_FILE src/video/video_ts_render.v +set_global_assignment -name VERILOG_FILE src/video/video_ts.v +set_global_assignment -name VERILOG_FILE src/video/video_sync.v +set_global_assignment -name VERILOG_FILE src/video/video_render.v +set_global_assignment -name VERILOG_FILE src/video/video_ports.v +set_global_assignment -name VERILOG_FILE src/video/video_out.v +set_global_assignment -name VERILOG_FILE src/video/video_mode.v +set_global_assignment -name VERILOG_FILE src/video/video_fetch.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_vmem.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_tsline1.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_tsline0.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_tmbuf.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_sfile.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_cram.v +set_global_assignment -name VERILOG_FILE src/video/video_top.v +set_global_assignment -name VHDL_FILE src/rom.vhd +set_global_assignment -name VHDL_FILE src/keyboard.vhd +set_global_assignment -name VERILOG_FILE src/kempston_mouse.v +set_global_assignment -name VERILOG_FILE src/spi.v +set_global_assignment -name VHDL_FILE src/sdram.vhd +set_global_assignment -name VERILOG_FILE src/clock.v +set_global_assignment -name VHDL_FILE src/tsconf.vhd +set_global_assignment -name SYSTEMVERILOG_FILE TSConf.sv +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/TSConf-lite.srf b/TSConf-lite.srf new file mode 100644 index 0000000..c8aa08b --- /dev/null +++ b/TSConf-lite.srf @@ -0,0 +1,23 @@ +{ "" "" "" "Inferred RAM node \"emu:emu\|mister_io:mister_io\|ps2_kbd_fifo_rtl_0\" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design." { } { } 0 276020 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Inferred RAM node \"emu:emu\|mister_io:mister_io\|ps2_mouse_fifo_rtl_0\" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design." { } { } 0 276020 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Synthesized away node \"emu:emu\|pll:pll\|pll_0002:pll_inst\|altera_pll:altera_pll_i\|outclk_wire\[2\]\"" { } { } 0 14320 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "RST port on the PLL is not properly connected on instance emu:emu\|pll:pll\|pll_0002:pll_inst\|altera_pll:altera_pll_i\|general\[1\].gpll. The reset port on the PLL should be connected. If the PLL loses lock for any reason, you might need to manually reset the PLL in order to re-establish lock to the reference clock." { } { } 0 0 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "RST port on the PLL is not properly connected on instance emu:emu\|pll:pll\|pll_0002:pll_inst\|altera_pll:altera_pll_i\|general\[0\].gpll. The reset port on the PLL should be connected. If the PLL loses lock for any reason, you might need to manually reset the PLL in order to re-establish lock to the reference clock." { } { } 0 0 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Ignored locations or region assignments to the following nodes" { } { } 0 15705 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "RST port on the PLL is not properly connected on instance emu:emu\|pll:pll\|pll_0002:pll_inst\|altera_pll:altera_pll_i\|general\[2\].gpll. The reset port on the PLL should be connected. If the PLL loses lock for any reason, you might need to manually reset the PLL in order to re-establish lock to the reference clock." { } { } 0 0 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at de10_top.v(129): object \"io_win\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at de10_top.v(134): object \"io_sdd\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at de10_top.v(97): object \"io_win\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at de10_top.v(102): object \"io_sdd\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "LOCKED port on the PLL is not properly connected on instance \"pll_hdmi:pll_hdmi\|pll_hdmi_0002:pll_hdmi_inst\|altera_pll:altera_pll_i\|general\[0\].gpll\". The LOCKED port on the PLL should be connected when the FBOUTCLK port is connected. Although it is unnecessary to connect the LOCKED signal, any logic driven off of an output clock of the PLL will not know when the PLL is locked and ready." { } { } 0 21300 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at sys_top.v(209): object \"vip_newcfg\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at sys_top.v(601): object \"VSET\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Verilog HDL or VHDL warning at altera_pll_reconfig_core.v(208): object \"dps_start_assert\" assigned a value but never read" { } { } 0 10036 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Port \"extclk\" on the entity instantiation of \"cyclonev_pll\" is connected to a signal of width 1. The formal width of the signal in the module is 2. The extra bits will be left dangling without any fan-out logic." { } { } 0 12030 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "*" { } { } 0 21074 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "RST" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "sysmem_HPS_fpga_interfaces.sdc" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "altera_pll.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "altera_cyclonev_pll.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "altera_pll_reconfig_core.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} diff --git a/TSConf.qpf b/TSConf.qpf new file mode 100644 index 0000000..f1e58fa --- /dev/null +++ b/TSConf.qpf @@ -0,0 +1,13 @@ +# +# please keep this file read-only! +# Quartus changes this file everytime revision is switched, +# and it will be marked as changed with every commit. +# + +QUARTUS_VERSION = "16.1" +DATE = "23:13:02 April 27, 2017" + +# Revisions + +PROJECT_REVISION = "TSConf" +PROJECT_REVISION = "TSConf-lite" diff --git a/TSConf.qsf b/TSConf.qsf new file mode 100644 index 0000000..ed721a8 --- /dev/null +++ b/TSConf.qsf @@ -0,0 +1,408 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2017 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.1.2 Build 203 01/18/2017 SJ Standard Edition +# Date created = 01:53:32 April 20, 2017 +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name DEVICE 5CSEBA6U23I7 +set_global_assignment -name TOP_LEVEL_ENTITY sys_top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2 +set_global_assignment -name LAST_QUARTUS_VERSION "17.0.1 Standard Edition" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017" +set_global_assignment -name DEVICE_FILTER_PACKAGE UFBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 672 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 + +set_global_assignment -name GENERATE_RBF_FILE ON +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL +set_global_assignment -name SAVE_DISK_SPACE OFF +set_global_assignment -name SMART_RECOMPILE ON +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT LOW +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF +set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON +set_global_assignment -name FITTER_EFFORT "STANDARD FIT" +set_global_assignment -name OPTIMIZATION_MODE "AGGRESSIVE PERFORMANCE" +set_global_assignment -name SEED 1 + +#============================================================ +# ADC +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CONVST +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO +set_location_assignment PIN_U9 -to ADC_CONVST +set_location_assignment PIN_V10 -to ADC_SCK +set_location_assignment PIN_AC4 -to ADC_SDI +set_location_assignment PIN_AD4 -to ADC_SDO + +#============================================================ +# ARDUINO +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15] +set_location_assignment PIN_AG9 -to ARDUINO_IO[3] +set_location_assignment PIN_U14 -to ARDUINO_IO[4] +set_location_assignment PIN_U13 -to ARDUINO_IO[5] +set_location_assignment PIN_AG8 -to ARDUINO_IO[6] +set_location_assignment PIN_AH8 -to ARDUINO_IO[7] +set_location_assignment PIN_AF17 -to ARDUINO_IO[8] +set_location_assignment PIN_AE15 -to ARDUINO_IO[9] +set_location_assignment PIN_AF15 -to ARDUINO_IO[10] +set_location_assignment PIN_AG16 -to ARDUINO_IO[11] +set_location_assignment PIN_AH11 -to ARDUINO_IO[12] +set_location_assignment PIN_AH12 -to ARDUINO_IO[13] +set_location_assignment PIN_AH9 -to ARDUINO_IO[14] +set_location_assignment PIN_AG11 -to ARDUINO_IO[15] + +#============================================================ +# SDIO +#============================================================ +set_location_assignment PIN_AF25 -to SDIO_DAT[0] +set_location_assignment PIN_AF23 -to SDIO_DAT[1] +set_location_assignment PIN_AD26 -to SDIO_DAT[2] +set_location_assignment PIN_AF28 -to SDIO_DAT[3] +set_location_assignment PIN_AF27 -to SDIO_CMD +set_location_assignment PIN_AH26 -to SDIO_CLK +set_location_assignment PIN_AH7 -to SDIO_CD + +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDIO_* + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDIO_* +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SDIO_DAT[*] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SDIO_CMD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SDIO_CD + +#============================================================ +# VGA +#============================================================ +set_location_assignment PIN_AE17 -to VGA_R[0] +set_location_assignment PIN_AE20 -to VGA_R[1] +set_location_assignment PIN_AF20 -to VGA_R[2] +set_location_assignment PIN_AH18 -to VGA_R[3] +set_location_assignment PIN_AH19 -to VGA_R[4] +set_location_assignment PIN_AF21 -to VGA_R[5] + +set_location_assignment PIN_AE19 -to VGA_G[0] +set_location_assignment PIN_AG15 -to VGA_G[1] +set_location_assignment PIN_AF18 -to VGA_G[2] +set_location_assignment PIN_AG18 -to VGA_G[3] +set_location_assignment PIN_AG19 -to VGA_G[4] +set_location_assignment PIN_AG20 -to VGA_G[5] + +set_location_assignment PIN_AG21 -to VGA_B[0] +set_location_assignment PIN_AA20 -to VGA_B[1] +set_location_assignment PIN_AE22 -to VGA_B[2] +set_location_assignment PIN_AF22 -to VGA_B[3] +set_location_assignment PIN_AH23 -to VGA_B[4] +set_location_assignment PIN_AH21 -to VGA_B[5] + +set_location_assignment PIN_AH22 -to VGA_HS +set_location_assignment PIN_AG24 -to VGA_VS + +set_location_assignment PIN_AH27 -to VGA_EN +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to VGA_EN + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_* +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_* + +#============================================================ +# AUDIO +#============================================================ +set_location_assignment PIN_AC24 -to AUDIO_L +set_location_assignment PIN_AE25 -to AUDIO_R +set_location_assignment PIN_AG26 -to AUDIO_SPDIF +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUDIO_* +set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to AUDIO_* + +#============================================================ +# SDRAM +#============================================================ +set_location_assignment PIN_Y11 -to SDRAM_A[0] +set_location_assignment PIN_AA26 -to SDRAM_A[1] +set_location_assignment PIN_AA13 -to SDRAM_A[2] +set_location_assignment PIN_AA11 -to SDRAM_A[3] +set_location_assignment PIN_W11 -to SDRAM_A[4] +set_location_assignment PIN_Y19 -to SDRAM_A[5] +set_location_assignment PIN_AB23 -to SDRAM_A[6] +set_location_assignment PIN_AC23 -to SDRAM_A[7] +set_location_assignment PIN_AC22 -to SDRAM_A[8] +set_location_assignment PIN_C12 -to SDRAM_A[9] +set_location_assignment PIN_AB26 -to SDRAM_A[10] +set_location_assignment PIN_AD17 -to SDRAM_A[11] +set_location_assignment PIN_D12 -to SDRAM_A[12] +set_location_assignment PIN_Y17 -to SDRAM_BA[0] +set_location_assignment PIN_AB25 -to SDRAM_BA[1] + +set_location_assignment PIN_E8 -to SDRAM_DQ[0] +set_location_assignment PIN_V12 -to SDRAM_DQ[1] +set_location_assignment PIN_D11 -to SDRAM_DQ[2] +set_location_assignment PIN_W12 -to SDRAM_DQ[3] +set_location_assignment PIN_AH13 -to SDRAM_DQ[4] +set_location_assignment PIN_D8 -to SDRAM_DQ[5] +set_location_assignment PIN_AH14 -to SDRAM_DQ[6] +set_location_assignment PIN_AF7 -to SDRAM_DQ[7] +set_location_assignment PIN_AE24 -to SDRAM_DQ[8] +set_location_assignment PIN_AD23 -to SDRAM_DQ[9] +set_location_assignment PIN_AE6 -to SDRAM_DQ[10] +set_location_assignment PIN_AE23 -to SDRAM_DQ[11] +set_location_assignment PIN_AG14 -to SDRAM_DQ[12] +set_location_assignment PIN_AD5 -to SDRAM_DQ[13] +set_location_assignment PIN_AF4 -to SDRAM_DQ[14] +set_location_assignment PIN_AH3 -to SDRAM_DQ[15] +set_location_assignment PIN_AG13 -to SDRAM_DQML +set_location_assignment PIN_AF13 -to SDRAM_DQMH + +set_location_assignment PIN_AD20 -to SDRAM_CLK +set_location_assignment PIN_AG10 -to SDRAM_CKE + +set_location_assignment PIN_AA19 -to SDRAM_nWE +set_location_assignment PIN_AA18 -to SDRAM_nCAS +set_location_assignment PIN_Y18 -to SDRAM_nCS +set_location_assignment PIN_W14 -to SDRAM_nRAS + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SDRAM_* +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[*] +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQM* +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_n* +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[*] +set_instance_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF -to *|SDRAM_* + +#============================================================ +# I/O +#============================================================ +set_location_assignment PIN_Y15 -to LED_USER +set_location_assignment PIN_AA15 -to LED_HDD +set_location_assignment PIN_AG28 -to LED_POWER + +set_location_assignment PIN_AH24 -to BTN_USER +set_location_assignment PIN_AG25 -to BTN_OSD +set_location_assignment PIN_AG23 -to BTN_RESET + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED_* +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BTN_* +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to BTN_* + +#============================================================ +# CLOCK +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK3_50 +set_location_assignment PIN_V11 -to FPGA_CLK1_50 +set_location_assignment PIN_Y13 -to FPGA_CLK2_50 +set_location_assignment PIN_E11 -to FPGA_CLK3_50 + +#============================================================ +# HDMI +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SCL +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2C_SDA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_I2S +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_LRCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_MCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_DE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_D[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_INT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HDMI_TX_VS +set_location_assignment PIN_U10 -to HDMI_I2C_SCL +set_location_assignment PIN_AA4 -to HDMI_I2C_SDA +set_location_assignment PIN_T13 -to HDMI_I2S +set_location_assignment PIN_T11 -to HDMI_LRCLK +set_location_assignment PIN_U11 -to HDMI_MCLK +set_location_assignment PIN_T12 -to HDMI_SCLK +set_location_assignment PIN_AG5 -to HDMI_TX_CLK +set_location_assignment PIN_AD19 -to HDMI_TX_DE +set_location_assignment PIN_AD12 -to HDMI_TX_D[0] +set_location_assignment PIN_AE12 -to HDMI_TX_D[1] +set_location_assignment PIN_W8 -to HDMI_TX_D[2] +set_location_assignment PIN_Y8 -to HDMI_TX_D[3] +set_location_assignment PIN_AD11 -to HDMI_TX_D[4] +set_location_assignment PIN_AD10 -to HDMI_TX_D[5] +set_location_assignment PIN_AE11 -to HDMI_TX_D[6] +set_location_assignment PIN_Y5 -to HDMI_TX_D[7] +set_location_assignment PIN_AF10 -to HDMI_TX_D[8] +set_location_assignment PIN_Y4 -to HDMI_TX_D[9] +set_location_assignment PIN_AE9 -to HDMI_TX_D[10] +set_location_assignment PIN_AB4 -to HDMI_TX_D[11] +set_location_assignment PIN_AE7 -to HDMI_TX_D[12] +set_location_assignment PIN_AF6 -to HDMI_TX_D[13] +set_location_assignment PIN_AF8 -to HDMI_TX_D[14] +set_location_assignment PIN_AF5 -to HDMI_TX_D[15] +set_location_assignment PIN_AE4 -to HDMI_TX_D[16] +set_location_assignment PIN_AH2 -to HDMI_TX_D[17] +set_location_assignment PIN_AH4 -to HDMI_TX_D[18] +set_location_assignment PIN_AH5 -to HDMI_TX_D[19] +set_location_assignment PIN_AH6 -to HDMI_TX_D[20] +set_location_assignment PIN_AG6 -to HDMI_TX_D[21] +set_location_assignment PIN_AF9 -to HDMI_TX_D[22] +set_location_assignment PIN_AE8 -to HDMI_TX_D[23] +set_location_assignment PIN_T8 -to HDMI_TX_HS +set_location_assignment PIN_AF11 -to HDMI_TX_INT +set_location_assignment PIN_V13 -to HDMI_TX_VS + +#============================================================ +# KEY +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1] +set_location_assignment PIN_AH17 -to KEY[0] +set_location_assignment PIN_AH16 -to KEY[1] + +#============================================================ +# LED +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7] +set_location_assignment PIN_W15 -to LED[0] +set_location_assignment PIN_AA24 -to LED[1] +set_location_assignment PIN_V16 -to LED[2] +set_location_assignment PIN_V15 -to LED[3] +set_location_assignment PIN_AF26 -to LED[4] +set_location_assignment PIN_AE26 -to LED[5] +set_location_assignment PIN_Y16 -to LED[6] +set_location_assignment PIN_AA23 -to LED[7] + +#============================================================ +# SW +#============================================================ +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_location_assignment PIN_Y24 -to SW[0] +set_location_assignment PIN_W24 -to SW[1] +set_location_assignment PIN_W21 -to SW[2] +set_location_assignment PIN_W20 -to SW[3] + +set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:sys/build_id.tcl" + +set_global_assignment -name CDF_FILE jtag.cdf +set_global_assignment -name QIP_FILE sys/sys.qip +set_global_assignment -name QSYS_FILE sys/vip.qsys +set_global_assignment -name VHDL_FILE src/t80/T80_Reg.vhd +set_global_assignment -name VHDL_FILE src/t80/T80_Pack.vhd +set_global_assignment -name VHDL_FILE src/t80/T80_MCode.vhd +set_global_assignment -name VHDL_FILE src/t80/T80_ALU.vhd +set_global_assignment -name VHDL_FILE src/t80/T80.vhd +set_global_assignment -name VHDL_FILE src/t80/T80s.vhd +set_global_assignment -name VERILOG_FILE src/cpu/zsignals.v +set_global_assignment -name VERILOG_FILE src/cpu/zports.v +set_global_assignment -name VERILOG_FILE src/cpu/zmem.v +set_global_assignment -name VERILOG_FILE src/cpu/zmaps.v +set_global_assignment -name VERILOG_FILE src/cpu/zint.v +set_global_assignment -name VERILOG_FILE src/cpu/zclock.v +set_global_assignment -name VERILOG_FILE src/cpu/cache_data.v +set_global_assignment -name VERILOG_FILE src/cpu/cache_addr.v +set_global_assignment -name VHDL_FILE src/rtc/CMOS.vhd +set_global_assignment -name VHDL_FILE src/rtc/mc146818a.vhd +set_global_assignment -name VHDL_FILE src/sound/soundrive.vhd +set_global_assignment -name VHDL_FILE src/sound/turbosound.vhd +set_global_assignment -name VHDL_FILE src/sound/ay8910.vhd +set_global_assignment -name VERILOG_FILE src/memory/dma.v +set_global_assignment -name VERILOG_FILE src/memory/arbiter.v +set_global_assignment -name VERILOG_FILE src/video/video_ts_render.v +set_global_assignment -name VERILOG_FILE src/video/video_ts.v +set_global_assignment -name VERILOG_FILE src/video/video_sync.v +set_global_assignment -name VERILOG_FILE src/video/video_render.v +set_global_assignment -name VERILOG_FILE src/video/video_ports.v +set_global_assignment -name VERILOG_FILE src/video/video_out.v +set_global_assignment -name VERILOG_FILE src/video/video_mode.v +set_global_assignment -name VERILOG_FILE src/video/video_fetch.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_vmem.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_tsline1.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_tsline0.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_tmbuf.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_sfile.v +set_global_assignment -name VERILOG_FILE src/video/mem/video_cram.v +set_global_assignment -name VERILOG_FILE src/video/video_top.v +set_global_assignment -name VHDL_FILE src/rom.vhd +set_global_assignment -name VHDL_FILE src/keyboard.vhd +set_global_assignment -name VERILOG_FILE src/kempston_mouse.v +set_global_assignment -name VERILOG_FILE src/spi.v +set_global_assignment -name VHDL_FILE src/sdram.vhd +set_global_assignment -name VERILOG_FILE src/clock.v +set_global_assignment -name VHDL_FILE src/tsconf.vhd +set_global_assignment -name SYSTEMVERILOG_FILE TSConf.sv +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/TSConf.srf b/TSConf.srf new file mode 100644 index 0000000..35a7eff --- /dev/null +++ b/TSConf.srf @@ -0,0 +1,29 @@ +{ "" "" "" "Vip.Mixer: The MixerII register map changed in ACDS v16.0. Please refer to the VIP User Guide for details." { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.Reset_Source.reset_sys: Associated reset sinks not declared" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.Reset_Source.reset_warm: Associated reset sinks not declared" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.Reset_Source.reset_cold: Associated reset sinks not declared" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.Video_Output.control: Interrupt sender control.av_mm_control_interrupt is not connected to an interrupt receiver" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.Video_Output: Interrupt sender Video_Output.status_update_irq is not connected to an interrupt receiver" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.: You have exported the interface HPS.f2h_sdram1_data but not its associated reset interface. Export the driver(s) of HPS.h2f_reset" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.: You have exported the interface HPS.f2h_sdram2_data but not its associated reset interface. Export the driver(s) of HPS.h2f_reset" { } { } 0 12251 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Variable or input pin \"data_b\" is defined but never used." { } { } 0 287013 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Variable or input pin \"data_a\" is defined but never used." { } { } 0 287013 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Port \"extclk\" on the entity instantiation of \"cyclonev_pll\" is connected to a signal of width 1. The formal width of the signal in the module is 2. The extra bits will be left dangling without any fan-out logic." { } { } 0 12030 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Port \"trs\" on the entity instantiation of \"statemachine\" is connected to a signal of width 2. The formal width of the signal in the module is 1. The extra bits will be ignored." { } { } 0 12020 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Port \"reset_value\" on the entity instantiation of \"h_counter\" is connected to a signal of width 32. The formal width of the signal in the module is 16. The extra bits will be ignored." { } { } 0 12020 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Overwriting existing clock: vip\|hps\|fpga_interfaces\|clocks_resets\|h2f_user0_clk" { } { } 0 332043 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "LOCKED port on the PLL is not properly connected on instance \"emu:emu\|pll:pll\|pll_0002:pll_inst\|altera_pll:altera_pll_i\|general\[0\].gpll\". The LOCKED port on the PLL should be connected when the FBOUTCLK port is connected. Although it is unnecessary to connect the LOCKED signal, any logic driven off of an output clock of the PLL will not know when the PLL is locked and ready." { } { } 0 21300 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "Vip.vip: Module dependency loop involving: \"HPS\"" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "alt_vip_common_frame_counter.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "alt_vip_cvo_mode_banks.sv" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "altera_pll.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "altera_cyclonev_pll.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "altera_pll_reconfig_core.v" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "genlock_enable_sync" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "u_calculate_mode" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "mode_banks" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "RST port on the PLL is not properly connected" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "alt_vip_cvo_core.sdc" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "alt_vip_packet_transfer.sdc" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "alt_vip_common_dc_mixed_widths_fifo.sdc" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} +{ "" "" "" "vip_HPS_fpga_interfaces.sdc" { } { } 0 9999 "" 0 0 "Design Software" 0 -1 0 ""} diff --git a/TSConf.sv b/TSConf.sv new file mode 100644 index 0000000..a0381d0 --- /dev/null +++ b/TSConf.sv @@ -0,0 +1,366 @@ +//============================================================================ +// Atari 800 replica +// +// Port to MiSTer +// Copyright (C) 2017,2018 Sorgelig +// +// This program is free software; you can redistribute it and/or modify it +// under the terms of the GNU General Public License as published by the Free +// Software Foundation; either version 2 of the License, or (at your option) +// any later version. +// +// This program is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for +// more details. +// +// You should have received a copy of the GNU General Public License along +// with this program; if not, write to the Free Software Foundation, Inc., +// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. +//============================================================================ + +module emu +( + //Master input clock + input CLK_50M, + + //Async reset from top-level module. + //Can be used as initial reset. + input RESET, + + //Must be passed to hps_io module + inout [44:0] HPS_BUS, + + //Base video clock. Usually equals to CLK_SYS. + output CLK_VIDEO, + + //Multiple resolutions are supported using different CE_PIXEL rates. + //Must be based on CLK_VIDEO + output CE_PIXEL, + + //Video aspect ratio for HDMI. Most retro systems have ratio 4:3. + output [7:0] VIDEO_ARX, + output [7:0] VIDEO_ARY, + + output [7:0] VGA_R, + output [7:0] VGA_G, + output [7:0] VGA_B, + output VGA_HS, + output VGA_VS, + output VGA_DE, // = ~(VBlank | HBlank) + + output LED_USER, // 1 - ON, 0 - OFF. + + // b[1]: 0 - LED status is system status OR'd with b[0] + // 1 - LED status is controled solely by b[0] + // hint: supply 2'b00 to let the system control the LED. + output [1:0] LED_POWER, + output [1:0] LED_DISK, + + output [15:0] AUDIO_L, + output [15:0] AUDIO_R, + output AUDIO_S, // 1 - signed audio samples, 0 - unsigned + output [1:0] AUDIO_MIX, // 0 - no mix, 1 - 25%, 2 - 50%, 3 - 100% (mono) + input TAPE_IN, + + // SD-SPI + output SD_SCK, + output SD_MOSI, + input SD_MISO, + output SD_CS, + input SD_CD, + + //High latency DDR3 RAM interface + //Use for non-critical time purposes + output DDRAM_CLK, + input DDRAM_BUSY, + output [7:0] DDRAM_BURSTCNT, + output [28:0] DDRAM_ADDR, + input [63:0] DDRAM_DOUT, + input DDRAM_DOUT_READY, + output DDRAM_RD, + output [63:0] DDRAM_DIN, + output [7:0] DDRAM_BE, + output DDRAM_WE, + + //SDRAM interface with lower latency + output SDRAM_CLK, + output SDRAM_CKE, + output [12:0] SDRAM_A, + output [1:0] SDRAM_BA, + inout [15:0] SDRAM_DQ, + output SDRAM_DQML, + output SDRAM_DQMH, + output SDRAM_nCS, + output SDRAM_nCAS, + output SDRAM_nRAS, + output SDRAM_nWE +); + +assign {DDRAM_CLK, DDRAM_BURSTCNT, DDRAM_ADDR, DDRAM_DIN, DDRAM_BE, DDRAM_RD, DDRAM_WE} = '0; + +assign LED_USER = vsd_sel & sd_act; +assign LED_DISK = {1'b1, ~vsd_sel & sd_act}; +assign LED_POWER = 0; + +assign VIDEO_ARX = status[5] ? 8'd16 : 8'd4; +assign VIDEO_ARY = status[5] ? 8'd9 : 8'd3; + +`include "build_id.v" +localparam CONF_STR = { + "TSConf;;", + "O5,Aspect ratio,4:3,16:9;", + "O12,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;", + "O34,Stereo mix,None,25%,50%,100%;", + "-;", + "O67,CPU Speed,3.5MHz,7MHz,14MHz;", + "O8,CPU Cache,On,Off;", + "O9A,#7FFD span,128K,128K Auto,1024K,512K;", + "OLN,ZX Palette,Default,B.black,Light,Pale,Dark,Grayscale,Custom;", + "OO,NGS Reset,Off,On;", + "OPR,INT Offset,2,3,4,5,6,7,0,1;", + "-;", + "OBD,F11 Reset,boot.$C,sys.rom,ROM #00,ROM #04,RAM #F8;", + "OEF, bank,TR-DOS,Basic 48,Basic 128,SYS;", + "OGI,Shift+F11 Reset,ROM #00,ROM #04,RAM #F8,boot.$C,sys.rom;", + "OJK, bank,Basic 128,SYS,TR-DOS,Basic 48;", + "-;", + "R0,Reset and apply settings;", + "J,Fire 1,Fire 2;", + "V,v1.00.",`BUILD_DATE +}; + +wire [27:0] CMOSCfg; + +// fix default values +assign CMOSCfg[5:0] = 0; +assign CMOSCfg[7:6] = status[7:6]; +assign CMOSCfg[8] = ~status[8]; +assign CMOSCfg[10:9] = status[10:9] + 1'd1; +assign CMOSCfg[13:11]= (status[13:11] < 2) ? status[13:11] + 3'd3 : status[13:11] - 3'd2; +assign CMOSCfg[15:14]= status[15:14]; +assign CMOSCfg[18:16]= status[18:16]; +assign CMOSCfg[20:19]= status[20:19] + 2'd2; +assign CMOSCfg[23:21]= status[23:21]; +assign CMOSCfg[24] = status[24]; +assign CMOSCfg[27:25]= status[27:25] + 3'd2; + + +//////////////////// CLOCKS /////////////////// + +wire locked; +wire clk_mem; +wire clk_sys; +wire clk_28m; + +pll pll +( + .refclk(CLK_50M), + .rst(0), + .outclk_0(clk_mem), + .outclk_1(SDRAM_CLK), + .outclk_2(clk_sys), + .outclk_3(clk_28m), + .locked(locked) +); + +wire reset = RESET | status[0] | ~initReset_n | buttons[1]; + +reg initReset_n = 0; +always @(posedge clk_sys) begin + integer timeout = 0; + + if(timeout < 5000000) timeout <= timeout + 1; + else initReset_n <= 1; +end + +////////////////// HPS I/O /////////////////// +wire [5:0] joy_0; +wire [5:0] joy_1; +wire [15:0] joya_0; +wire [15:0] joya_1; +wire [1:0] buttons; +wire [31:0] status; +wire [24:0] ps2_mouse; +wire [10:0] ps2_key; + +wire forced_scandoubler; + +wire [31:0] sd_lba; +wire sd_rd; +wire sd_wr; +wire sd_ack; +wire [8:0] sd_buff_addr; +wire [7:0] sd_buff_dout; +wire [7:0] sd_buff_din; +wire sd_buff_wr; +wire img_mounted; +wire img_readonly; +wire [63:0] img_size; +wire sd_ack_conf; +wire [64:0] RTC; + +hps_io #(.STRLEN($size(CONF_STR)>>3)) hps_io +( + .clk_sys(clk_sys), + .HPS_BUS(HPS_BUS), + + .conf_str(CONF_STR), + + .joystick_0(joy_0), + .joystick_1(joy_1), + .joystick_analog_0(joya_0), + .joystick_analog_1(joya_1), + + .buttons(buttons), + .status(status), + .forced_scandoubler(forced_scandoubler), + + .RTC(RTC), + + .ps2_mouse(ps2_mouse), + .ps2_key(ps2_key), + + .sd_lba(sd_lba), + .sd_rd(sd_rd), + .sd_wr(sd_wr), + .sd_ack(sd_ack), + .sd_ack_conf(sd_ack_conf), + .sd_buff_addr(sd_buff_addr), + .sd_buff_dout(sd_buff_dout), + .sd_buff_din(sd_buff_din), + .sd_buff_wr(sd_buff_wr), + .img_mounted(img_mounted), + .img_readonly(img_readonly), + .img_size(img_size), + + .ioctl_wait(0) +); + + +wire [7:0] R,G,B; +wire HBlank,VBlank; +wire VSync, HSync; +wire ce_vid; + +wire [10:0] laudio, raudio; + +tsconf tsconf +( + .clk_84mhz(clk_mem), + .clk_28mhz(clk_28m), + + .SDRAM_DQ(SDRAM_DQ), + .SDRAM_A(SDRAM_A), + .SDRAM_BA(SDRAM_BA), + .SDRAM_DQML(SDRAM_DQML), + .SDRAM_DQMH(SDRAM_DQMH), + .SDRAM_WE_N(SDRAM_nWE), + .SDRAM_CAS_N(SDRAM_nCAS), + .SDRAM_RAS_N(SDRAM_nRAS), + .SDRAM_CKE(SDRAM_CKE), + .SDRAM_CS_N(SDRAM_nCS), + + .VGA_R(R), + .VGA_G(G), + .VGA_B(B), + .VGA_HS(HSync), + .VGA_VS(VSync), + .VGA_HBLANK(HBlank), + .VGA_VBLANK(VBlank), + .VGA_CEPIX(ce_vid), + + .SD_SO(sdmiso), + .SD_SI(sdmosi), + .SD_CLK(sdclk), + .SD_CS_N(sdss), + + .SOUND_L(laudio), + .SOUND_R(raudio), + + .ARESET(reset), + .RESET_OUT(), + .RTC(RTC), + + .CMOSCfg(CMOSCfg), + + .PS2_KEY(ps2_key), + .PS2_MOUSE(ps2_mouse), + .joystick(joy_0[5:0] | joy_1[5:0]) +); + +assign AUDIO_R = {raudio, 5'd0}; +assign AUDIO_L = {laudio, 5'd0}; +assign AUDIO_S = 0; +assign AUDIO_MIX = status[4:3]; + +reg ce_pix; +always @(posedge clk_sys) begin + reg old_ce; + + old_ce <= ce_vid; + ce_pix <= ~old_ce & ce_vid; +end + +assign CLK_VIDEO = clk_sys; + +wire [1:0] scale = status[2:1]; +video_mixer video_mixer +( + .*, + .ce_pix_out(CE_PIXEL), + + .scanlines({scale == 3, scale == 2}), + .scandoubler(scale || forced_scandoubler), + .hq2x(scale==1), + .mono(0) +); + + +////////////////// SD /////////////////// + +wire sdclk; +wire sdmosi; +wire sdmiso = vsd_sel ? vsdmiso : SD_MISO; +wire sdss; + +reg vsd_sel = 0; +always @(posedge clk_sys) if(img_mounted) vsd_sel <= |img_size; + +wire vsdmiso; +sd_card sd_card +( + .*, + .clk_spi(clk_sys), + + .sdhc(1), + + .sck(sdclk), + .ss(~vsd_sel | sdss), + .mosi(sdmosi), + .miso(vsdmiso) +); + +assign SD_CS = vsd_sel | sdss; +assign SD_SCK = sdclk & ~SD_CS; +assign SD_MOSI = sdmosi & ~SD_CS; + +reg sd_act; + +always @(posedge clk_sys) begin + reg old_mosi, old_miso; + integer timeout = 0; + + old_mosi <= sdmosi; + old_miso <= sdmiso; + + sd_act <= 0; + if(timeout < 1000000) begin + timeout <= timeout + 1; + sd_act <= 1; + end + + if((old_mosi ^ sdmosi) || (old_miso ^ sdmiso)) timeout <= 0; +end + +endmodule diff --git a/clean.bat b/clean.bat new file mode 100644 index 0000000..1de319e --- /dev/null +++ b/clean.bat @@ -0,0 +1,36 @@ +@echo off +del /s *.bak +del /s *.orig +del /s *.rej +del /s *~ +rmdir /s /q db +rmdir /s /q incremental_db +rmdir /s /q output_files +rmdir /s /q simulation +rmdir /s /q greybox_tmp +rmdir /s /q hc_output +rmdir /s /q .qsys_edit +rmdir /s /q hps_isw_handoff +rmdir /s /q sys\.qsys_edit +rmdir /s /q sys\vip +for /d %%i in (sys\*_sim) do rmdir /s /q "sys\%%~nxi" +for /d %%i in (*_sim) do rmdir /s /q "%%~nxi" +del build_id.v +del c5_pin_model_dump.txt +del PLLJ_PLLSPE_INFO.txt +del /s *.qws +del /s *.ppf +del /s *.ddb +del /s *.csv +del /s *.cmp +del /s *.sip +del /s *.spd +del /s *.bsf +del /s *.f +del /s *.sopcinfo +del /s *.xml +del *.cdf +del *.rpt +del /s new_rtl_netlist +del /s old_rtl_netlist +pause diff --git a/releases/SDCard.zip b/releases/SDCard.zip new file mode 100644 index 0000000000000000000000000000000000000000..82e73d9f1b80f8b9a0ad7068dd821fb0dc36b701 GIT binary patch literal 455755 zcmZsCQ*<0|5a!r$Leb5B~3f{BNhCD6h(-^uJ4BKw`6z z-kxUe4vfwYc2~Xdx~dv$zr7W9LDA4q_PTu4ca%vy{= zAy>fsW?cI++m@ZouV!9%&P@q{TRia_RBg-J%m3=r9>~d$`wV4<>c32?w1Anock4j-6Ht*m4EZq{q zgm%Q2x@R_r{BedZomJ0dJG;Hi%7N+_y`LWX8I%7<$j@-9gJpdA4t=KUA69Pg&wZ*x zja6h2D08AmHOP;%cV)v$!@ma3ctep1)YFKNmS}(73xc=vb+Nq~za&QoU~XcNivMB= zvgm;(?8pcdUiglSX1(NyVw|9ld}v z0@$#pfUBj2(W#rrUB)Ks8+(p4si`mPx(@#clN<&iK_eSII%uyQ zy6I&;bx=IfsaJo?IU%9%GjkJ^edKmXLa(5(4V0UqL`nq7`oh~hyY`=G95tnJwih7bU6j#qWSTO zBLB@CCL9FQA+d>jF~}WqHGuMLUqm>4NS;+7?!q>a&MOLNh*6Qg=i?w4^*Z`+zP9Zo zjq(w$t6!W`k1i|_y&C2rE80wPt(e?bL(bAPu}dlHzkB*{yVP7EDWj z5SL`T3^swIKY_?2Q$tT5#}EX4BgSW~&Q%ly0=q2y8@+PxLCFkIujS&}FBU{^e+82F zi5yH1XFQ&J zn^APGNq1rkI*9t$edat623J&836JDesA-(mt*KxxPsZP`4UlfF%#^FB|6s&@x`C9e zFu{I~VfVGums&D%sx$P_GlH8xuVMM&3R@j8TdFt1(scC7dziduQt9}c`&%&^v#Jwo zHLu<+o9HvEYFXZLqF(ds;P(#F&P8DK+@k&;gvZt>s4TBlXnPX^7$=#xoA$~Wy>^H}xhv(jJY6USSzkdgX#t2$G*4Kn@< z?^H)XC1XK|E!#d<;l7F)$HXx{DK4x8f+x{oF~4$18i&VGF&NsZ%^<*rvyISUJaRwC z7G=E$=|N`Cg#JwPN($dHvvSU3*Mozb@Q%}7uP?w4ju^~stik8(7(l@Lma0u>q1X>wi zwQRd*QTk9fqDa<*Fjy&$BM=*!Ee4B}Aa`fQn`&is)LYXgn9VTn_$b#U2M{p+b`7g= zbBz;NX3qVbf}ReVsJiLv6s&4Dc!-Enn{fz{r{ljcf}PI2$8DyMjDnw|TZ7i{;_BvQ zpyX(0O?13msK{lIc*H&f0fEfjsj&)Zma#WL2s;M->oD#rEHvvJMZKb?6Ptap>wD;y z>=ut=(-eyfVmAs!#W=|G1_qyJ%;e(CRPk;MRfu~Sz0SRAf@({+ri(;gc ziv0V1h`r)L_>L`SSFpO0K>_#yBdU~5J)LJ|w9R}WC$L_91t7yf%@2vH)De~!P)jIp#TO& zXXng#<0mHXrwQXSwJou;IvigyO9kh3S}6kFpp_W(PnqRr-n!2ix@f;_>7*EBQx(Q5 zbPUE`d(8w2MRhc!Jx~Js%IWtmPmi40I)>|0aCxjJ&m!9;{7a9S0=*}?a0c5T*L=8S zJ&Zkr3+@0jO?<13gPto)eqvkg>Lgfqias_(hn!m@O~n)PLZ?ZKIbz)8IIkOsdA))CE%_ zXP+qE%-;_DoT?HzA=Rhgl2rS2_iCiFnAV;1)QI9OguPgEq{5a+X$eqCwsQ>dmW8K| z%Dh6kuTp`VUJ2A#dD+gjg^d2 zhg&ccVpXxr(B7Umo&+Zr+QU<3=8CgPITl-e;m0(LeC>|%k%m7GJ{Osie+oP#iS;Q( zS5|4{n37MSyOv8m(Z}lL$6IXPTJ`)QW$`Tdf$~6`jUf98*>SRSO;;}Cf3JJrx5nKh z$Y!mcO4q7CNPVTzhzPC`N;KXYCGLIiJlB1cg18)<9x*@qv#+#EjRld0SudY~q+&iA z`T5s`Ae9Axlm4V7hzGPt`zp*A+lmSR8(R0~?vXFLAkx3BYv0-zkbwLbFMoz;l`Il$ zG@|ekRw>^E#C1@I^yNsJ(YebSC6)g#p*t6)!lcr96h7=2 z$|8ur6njQI^U}tan6JO_E=W<*e&3vD{6cJ}ptPCSIm-CvQy>@ivELX1vf>e+H7|gq zvH(68yLSWKxT!g^(E4JaP>^Naj8%^r8{urvpfl`~#5K!l1r>BLOpLW%=%Xof_3i$Q z#78?neY4{Ek=~O>{!rriZKyc8gY_!&%0*$djmnC*dJ4w%QFLM?=_ULkP*u|I%7RGO zk}~$f=`a8wUM>I?(~UA9;OQC$N03{1?4{UNq7ZSR4-cSEFG^5maTUkUU!*+E=fs7x z$O4)k)E=&q8!7;IMw$r-X-G>%N94K@%#-N?M#|TEGS+AJ8_0E3$a6^9E7|E$8}f^8 z(qEtl>mhyA2O2|}IRy`^rDYBZXBRRS5y_tIFz6OB4$os&W!2xXnHlIs^=S8W;WETr z>2HZB*=)iBli%Tl{mRhqef6{K&Ii@y8e)zkmyBrrGeleAh;~B}F`^RgZlhnpsil~v z;_`=a*GItERvjCBorYk7RvoS+{AxU#tD_-`%`}Ql_30t;5{hwqEz|a`hOdv0t}-ZR zfp@8$xhya8PFo!ld492KqPnfN&hVP;T}_>K#HDnm77=TC7dtZb#ia$!N0`nka}zrg z%&9gLvu6%OL{o9dO!2gJ_7(P|Shr;yJiO`){8Mmo)IW$DK#Ea{QX82Gv*Y8^;{s_n zx7f#MYxgzv^#qEAnB(b(=rxs%hp$x+&C$@~(${nhA9LdZs|&LH)Um(DvKgI z>$bH&TuBtjDW~;WbrW&3e}Ohvxs5um(f_)1?tZpCH$MN`1Ak0y>+Bt_Ze8ss zeq64~dCqOZ%wCf*w{5IB=g2g6dZ**0cZF!e)K-z#H&`}>50j3kqbI_z)duRmW~~@W zP8pH_O09PAC4G9D!n-MpEV(2;1T4}L87(GL9)#Wr^wnG1%P_IQ(z^^=ENU}xmET=W zrW{&bR>?SIWH)hFqszicy3YI^j;L^H>2;*BSktkFTORqJ!aS;a4AB zl41ze)2G;}>Ou_#RfTO?x+x*@VOZKOWz`j$Izeg}iO93{b=zy2@jH5d#OkVo+v>-q zFzPn6Tbm_98R|0}dWWMDOQ+LCds-l-_ZBs=2b(+#-DJrjBHInmg!Sk3EE^fOf-zTZ ztF{_jQRyaj8(ORIg!gp1-}faTf=WWaimE;sHljYN(!wulakM&$3sE;34B=Vm+@afG zbP(VH6bsjGIBDh6!{j`$>IHO4$`IkTRBI+GB2jASt2mtC!&Bxdt+M`%R!l!$+~Iz_ zKWh&^S@lEAD&oc+aO2dN&-Kse)M|Z<24)Mme-b}v)G(DxSJmxJ5rXJv|;5_3*!Dp_NsS@qMQIjB82*s>|a|;tA zQLn99Bl&RW(73I!-srG;ESBeZj)kxFpx3tTWV85d=c9KWhc&y#u;-JpBG6<9{<07f zhJ2)f!C>IDmt}TboeGz!i-}$x7e`B{=k=pK+dKLSkhj)I#V~w%?u6HVW$q>l!P1pc z^%|SeN_CNUF~`3l)l-Niv-##x$>yI&K#VZSz~H~b|CGQ<_({2;E-bpq{G1s=zAZ6b zWnr4dkr}qctxY#AITh+>@Q2l^i$6$9zIY@5+oRx#1god z-wtA9nw$0BT-tlwjt93A@449d=g=CZSMD$M_4;C?!}L{4$Im!Vs=MP)8P93R)-1?m z_NvFt)6@<`c%Pv#Qq2?N)#0M~y7Rc{`uUh#YbG+Pb%=PqYN3!#uiI;R5*-~~TFvS# zheF@I$BfYtHF)`ITuO2>KRavA z^g#EjHFzSFr#O55aT@vIQ0}D}TUzmdk_?GH*~HgZ^^(1YlfDadGX=^djrjDD7U{oB zpVs@od`<`Gb}B!xo$t{KTwMBE4Fl|W<&#f@=B^^<>Fv~V?Dx9*DdWF&E)!gVc~Lzh zY{%tKhb-fd0e*KR-dERbSnuZsz77u>mxA-3^G)IHguWl?M3t(%MB1;)j~{buFN%ab zz@E>#;Agtq05e&w?$^2#Dx!B;iS42BcK+9QZq9)F;rgQ=zuAuK=I(aRi0Cy7&L_V6 zarD=^8{O~Kxx9D$JcH%|hJfP%IxTE}WzW+fB9+TMhC056+pt5$Ot$!keRKnC#E$qe zzpssepReD~zTPLNSBDOeJWe!i#a_V2{;Nxz??Fq=a_{Ht1C0O~ov8`zhM0%Zv~t-R zvxj;AZ0lzBbfypaf-9kYnI_t7F~LHIGu zuZ#AP_z$d#F9FOK8>Yn^dtQ(AX`|aS{Eff-*n+&z6Ca3P(?2PspZYwtMLYaDtbfYY z^ELFo#K$PEtj`rM-QWXW235QEiBP5{3mqh1lrEH1`wrjmKfj&k4%P(N zv|skmC+*6TVFmrRsz1(sBHHQteZPI`yW+=<1=WpLUWb>MhRpnoB%7_Vv0hB}@8Tg= z9bWr>^7bQc`#pZXU-xYA@a!q{1Adm$4RZZ|HYT7&Y8)PkBrvdVybq#IsyXI=9^DW> zd!GK~wFu6;TC@awZw23N66FLOJvkju#mpyVsjk`9?e+A<#;yWQ=FS4&iD zy_7F=z~m?BiStYCq5GdJdxh{D$HOQ(hl+Np^{d z#Hl2_6@X{1VPju*e`>P&bhZ90YP*h5y26t$jltmP>mnY0NN|doUe~s|MN0874p3Sb zHP-bZId_@_sliBuKk0uIq{mxBdk%fwtbks(B=dYF=v$!MX!Ww{cs~935Aap;>iLm61(pI4H00~H5tpKlF# zX^9BJHLQhF$yQHFfMk<63s6Wui@U&SNTE?)6k`g z)|PRq7T(STq~;LMC-3}{aC75?SD7XtD8q@M*;<^eL}XW}u=)k2J0yTCc}14o8S64h z-ttJ;JzuZ~ElV&2VXsFeBDTB8SXIDhmFoG{JDDb5P&=pOUK zF9g6hb>;Xtr_06Dcwtz%;kO$j_XM#2r;CwpMCzv65CjTx^9Y_(5+d&9Mft$Dg?~a= zzJSCu^tp>cPmth^pp+_5%yhF&glzieyjM|TM$HTj?ClG$*Zg-v zm)2p$K~9+47_P22kMVOrYeiAgqdciW4>7>|&!s$jlnF@`Jz#(#>;oB zwRz>2XoR#(Db5q@=N*o#!r5&8DaYI^*6gEwKD5ZAHkRj4(lwA=N3j!=wr*)46*q43 zv=wfX-2RUg8B1GhvE&5j%gE@Zh?HrLr~ zGt;Q@aMpHiL_GH4+4m;u%9+~SlQ@dyKUJnTfcH>sHDu@@L0LBFBjYMoB=I^=}&1d~M# zr^4r|FG08eo&FA^2N~_;&?*Sk-SAzeDI2qkgc^$8=|(y^bMH?^AI7eCz2(jz^SL6B zr8T&6bxG9%6lZenUk3+n4ZAZKb-p&)3+(H*Sdeo%kHOZc|LJzfajJ86nQnSB#unim z!`A5f&-Kaq&-H;j+Bv24Rky@Qn8f2U$N+(;g$yX$*2xuDwznUqe>?gwP`gM1m1&rj;#6|Wh<0Vm*?^VS_7t2@Xx z2P~(%W_#y^oI0Dd3bTz%#SgyZDm;;_AkrR_I$1Qsp-Yun$254cNvYwe0)p4~fu2=| zLKs$InIecK7hAW?MMN!5eHUSh`p2&((H5>uwmC5pn-_Zt1Q-^op=CzS->J z9qEFxW}k2c?v=+1vWPjL3+egV{aV8+`@(N+%gTv!84Cg$wQ9;I{x-`C&X*HT z6clj7v1m>48-3LU`-GmxiyGY)ZJtn4i;kTSHzw>D+GdPRo8x8yb^)70&|SzO7>o^l zSa|j`4xa2ovg&jddNf03mCS+{c~ETZ9iYs%;f(``QBo2?7gkCWCB1b}@m;-wnf&F|-Ai5u^pS9sUJ|z=1j(^tQOO-A;dke>&O-5O!FXOkrr5V>ip_{kr)%hF`fUmt=b_Spf{ifSNQXZ5akC5?&Qz0XS_|h zzWg^GpN;uhw?`XzLLMHa4r<*D*W%$L#;^AIi(f_U(T4e@*94K=%SFF|6Khv^^^}{HtTsE_O?j;Cr*b-xRgAzSv`FhF9$~@FJUg_dp*o zon46>!09&`%$2S972}cjkqJ7s8I@@tC^_13QDa2|ptci$OW+p_>2WY<3Uul+zMlP) zRs0&2PX99BYV+d$f1S$LB3Ue-Ju#G%nclM`NIq|I$4lDR*>rjkq3(61f1Elm!9#&L z2nz|A(>sAv-tG8s`08DSErlC)dF|^;7n~Ni9Dq~K`p`AT@XAB`cCbTtGf1czNc)iG zopnf7t_~2-~OnX-wGLk0O$d<_; zPaCo|V0}BM@y=BN_nKX(-2EEVOsf8}gn1mAOOa$}j%HN-so9@l}KTljT=P z*nVj9v}$_!A@6J2Zb=_y%l3(dA!~9E`DjmyH<=GU9B2w@pU|FP3`Y`!a5IFx4wW3< zM5enO@z3sar@2Zl_whStQIV`Vr3X>XqjaS8v)NswL-P^juHr|j_zii>@?=SKC+qqF zA!#oQHid=|5~W4;&Z3WHq(~1r?udS|4xMoYK7rAhF-8dL0!?oi3?}?^-<2wjDPXV6RjcW&|?R-4DpCaE8zo8}==7t=mKmm{Lvqr0ajv$uU@?#>uXYR;1H+ zl*O+LZ*&_sjbR(!;Of$?hM?9#WJ34Qg-_t-A$6=`&1)S~1-R8u7qsIs;X86zdFgZ5 zC(PmNxNthw4J;??xcIql+;r3b44;gtxvWNir2ATRV2}$c%ase0}r#1 ze-e0RHZ~TG7+S*`r7MWWA>(BSauwWDqW(Nj@aAJ!!%^N8P(PY?FNI zxpV_s6#k;1hmBY)#n5Q8mq3B-P8!(%Lx}#Q(ZHq(n3%@%cFP4CRpMTgyZkdA<_Ha;jb@;LmCpS5W>r$ zhJt{2{LXF$^WJHE3Oh`8ei0u<=xNNdVuCwa1k!e%*sSxW>vC4zS_00PKaQ2>x;deH zxfiTd-ERk)>0qB|XZwKv9stx*xVPGERLZAW zngNE=EAr9_qVg}KmNvaPV(#5sIq;3~ki=O8B~IwGvKFqf;~4@RPH1QJ4T*+X#%%G8 znxkS&Q>b5^=Z-=K`^$jx!1-~d-D(2b`eJf)GfljD7Ed0(^KR}JerH$N$G)AL&LwAi zkPrH+edlBE3Yk<4c3qY*2$8ht)_uhvKz(KQq6By9<+nV6j8t?fYVB{c3 z*kq#@EF=?bi&D`<)xi@-bJ~zHDR;Q*qf#mF!uQXsW?ZN`j^!^-1u@5T=|FFsE6jx& z&LFt4&kT>Sg^JQo4zJu-;_`AI(OX_~_1e370@|Lkfm!*jC>HxHjQ5zc7OQUXeONiJ zYMLQ=a-zFLd9<~O4Au#Of&%#Q{;ncf3BVDroh;Ue&MwA>4^!j?KIWNqc+%`;IffXP zz=wo^`b-e&t$e9KL)W+>+9jg$$ZdF_NUt|eM!TJ904NYU#ftnX+aXw@Y;|IE15cwg zua`iQ0Y9_&l?(?v(q;8I36jo+y>9p&*j3UkPJ&wG?AY0`NLoIb+%?IVklrs_Kb+(` z{&!BO9mp!GXNW{_&)xO4TMVxRg?~1t_@kancKy)2!eWlaVi612FZy);zEfJ5h|WSuGy^~ew@yOqn(mtC_;jQKN3QgP2yjRG@* zlL|NA_ws2KMCHqwD7SDH$^izYk3p_{3xp`Q1vRWxOAO6+{n&fVQ(U1)F%0FVM5DqY z55FFz1zsj2nHjgg?NVD*&{+;e=#xD@QR|lgdA1!)@?z9yxPtEn13VG~4AK!XT(B~6 z)#F_ZVS8bJ0#v6FLQ@`Zu$0Btd52DPQaZjh;$;GwtyR14NZ7kM2b{UYxQ;J#nVWCM zUG6c*>X8waa8K+h;$PE1!lBDXT0OC)KZ=uUh1BhajHl{^_DN)RxW+ZK8dRmO3>R?| z-E=1eDmM*P>s}(vMU=H6t_itNQb;fUH6>1$DCh?dOV_m6p}~~g?1cA77##5=oyBWG zWzr)_1x7t#=VThaKj$#X)z)};Rh;Vy*%ud+5!F@gD=S zk#-+i@3N7qnj4~4JEA&BYiukBeq$x%q=*-5I!!xery(wQHL7?a5Mo()PAu9lzG$HY zQ$PbF^G~oc=%unaD5hasGkVZX(Bdh%c1#an7GrCUV#Tjs(XI7kBHTRF%7Kt5>g=V8 z>=x;mHnC#6#6`|&OEz3PnTt!lu&L!o)V`X`A-7 z47?NLY8(9awT&INXH#XnVXUT#K6i`Q+!6DOk$F=1&MrcAW>axaE#>Y;sM2F!$t|~z zqT9f38appPc|LVO&M<%0S7UF%LqmWMT@ z7N$TV)hXV;0pI-=Sx&F#G%el`YYVY6k+@g|y9;i}!&TV%L6s4e9)n%^O{N1pqLV6e zJ5gVras({LFPCDDuqCQQ7op_Ic^~Bp#B$0jkh#puSnNS6#5E*Ptd80YJ zLk^1kdtG|y$X$k2k2@`|-AR+RR{EWqQf9*DijAs_QKl{h*05B*4g5{HZ(xJ@;!*Va z&e6ZN%%C1ZZPvH@w?{`;nk+lIJ=2+aEA3 z1Y48~W68%1s0~42$2mT@$u^pE1S-B@dDcJ+OHTPu2@x39>bGCTxY##cDOJsx0i)hM zDDz0bYm`h$Hfc1VaetVeekiZaKP#WQfTqBNPJ5o*ETmcK+K0fmNY}9x@#puL zONrFiK3uLE+FMn&g8nnBPvYg!j~JZqKL~q6HF~XcJX1>7Xi6gxaLFO0*23_`)buh^ z?9#oHR^cS!OoZldV1~)L)2Jx*1|0V$FZCtEE~TJUwTHJ>#4*_azaa+>&?8y_+AWu%=y95|z zj-tVh!$2ik)Py&BmgkQYr2+GRfoDBuL8>VrTVOxq+dR(gAcPQ?UhKFJjgd7MsD&*5 zf2lfK_lAlRJ2S^QGYgXOQdh^z$OJX4=#ix}6eiLmqz^={xX2}eda+FymI)#i?y!~e z{7eV}t2%Th%{>_|MeXI;vn(oENDSw%VKwq34egqI=7|vk5K1)Vr1Pjig0Xu{t$yPgU`(q6oCeqH(hTFs8Hfri@|i#b7Fw*W5C#PDK3Bd( z=ZgT;L*h9Qwi)BPGGkOEF;u0V3l$MRBW_wja_zTepi6?V`rC>+w&oKj0;X~eys#`Z zDwJ45ySn{t|DsJ3F<5U|e&&`PPR6bsj-k?JPR_2y?@1JX5RTsY1?-|Y7S5XEP)5lv zm=i2LE3U0QIOhPVRb-Y9q)X;~le2A2BX-0T^qg1_FHyPEU|30*kemwUycV*BP;w3!XTHG#yOG@; zGG&Fw5sJ}9Fp)Pt2-xu^w?PvPRV51elcN2_)QO_f2(M5UX;93I?_dl(n?Mi$ z!35S_uPm)WjU027#IV_;niCKC$vBT}c~~Wx1kP;sin%6Ngu#KU^rV#;AdO!b%+5L+ zF-B*+%bVg2fMSa}ly13u2-c6BmsrV4j5Q7ta_uWFd6D40a=-PM)d$wCU!S zma55tEP)2GE87Sj%gR_0CC6*3zxWj| zk*H}4i@vBngwzgA+7@fXn&+)_SRPqnKUAkTELax#FlJ)^264oqBkNEBcfSsg^`Pyw zi65wwYs_8HR+7xa;~bp%lL=5`C<^q0O{r2jWSHI(!rEZ>5|Zmn6T?)Q8Pu0#Z@6i2 zZdFY`F`|rVjk8cf*FDkDk>WJFV0pqM`j_WK{!=c^Ftqg=wK}VcN5!2yX_=^l(D7 zVhY7rA}e)fF%o3t1)v-h&z`0znAz3K`1w&umJA&1(6HER3_qzS-2h`?Mx#_(!_n%5 zN1Z}cYzzi2acJiewJgw$k>R*U#X(TPZN8LZ1cGCP`VS`>)`y`;0fjldwTy5s*w~>L3CK-u);;N_TWq)B*7MaY#b-vCcRIR4ei|8+}KI*utnzzeLE%QT3(bp z!y2L}c1=KcmktC0&Fzvg1oH1|xOKRB5|u6Siq1D~JxcMIn~^YP*>*t#IOE5sfsgPg zH~uXX_~=LJz@C#~gQJ>6Q5NWA@o@rDv$K|H@p5BIZUVbjHrdMcQ;Ch{ZQLr4IObtj zg-Dj%Qh_`&DS1$o^uX+IVaN4x`4wN)Vza=~mO6D3+hHC_K`DqDPr7M4#mlE=sXjd` zh+EuIbS;#vQe`lcPO4>z)6u449rmlUOG~ID(!(EN#u1?}jj;tgELSDQXW66Nj zxj2F3sW^c|TKlYF>S=}ZuUIz$ZGZ2*c-K$`dyg!dEFY>^re7El@Te;#SkJ#K%}M$t zX9Q-&!UcqQKbrEwI`PIZ-BUv6v8AuhfPWi43SOU1n+QqK%iP&FN~s-9kqg4o>Q^z2 zbrfhTR9$*qmOs9j4gDqxNKmqt({64beg&$*mQS<}2TLT`AhYOl*!?7&!w@W=K*ft4 zenJ$hM&d{n!9MWiO695! z!y$Fi%0*7Owano)$Nkr0uwDzUGc54{U0`#TtPFv*VuA~&TR*fQ*8n<8LxSuv0yhkf zmlmF3r0s$ho@hvnT75JftscZL%=G*34BRaiU0s@(bg>zWbF4^pjM}-Qg1Es#eL<}* zs=VyKK|Pn8Ih@2rvw=R?4U5IhGZmYnYQdObGPjWt2){l-a96eMvPc>FeSI>_|McBM z$zPH?G{`wo^#N!!{v&Yez~XUnPinOO>H+ep!I2V}6&2K~RT#aeAEkmLI$mi{Fe9&s z+nLsUV;-JXyY5eySp#M`E1Li_!(jW{`Lh$SPLL(29gSBGH?S-s=6^>E$@H6idY-tDcU$3vZ2>L} z?r2VL>Y?X023wi7@eUqprsDo#ahd1nHJX!ja<0|_wv%XK_Npy*C@Pas?mUk=GwS=6 zjMnik9udizKX;luki=)ytdnl+KjiZHC08*FSAP0e0jhdV*1;KhK`*XCczEKo2Q?wy zn<<(8x>ptNSlm3mf=oRaJTJtU?BekV@W+&$4Ny^9tBmZ6I7UT?3f3}h=U7> zM0mlvL79fXJ7+b4n zR}Rd)vRJ3IRG^pb$lX*eI)uVzOgt@jiROaZU3pe7oN$eT;GE{yuEzQ3!~i767FbBp zNe9WcV`~tXDPyUUE;a3&6YB$8JK?}8sUfa{)vetQ*KO9vV#u_~FpHw#*jmT#*N~F8 zh%{qpk?FIlJ~h)5#W6vH|Lyl?>XWM0SfCm%E0Tm@-GowpBYhEKX$KV-;2Nf)?iWHA zG)Z4=#@~VEi-h)Ws@tqkMjb)Jj;Dh;R4yLvkGrgG&lRnNcdbfb85e z3-4&!>}4{C>dYLYtlxy8Z#~eK^Q%Btk`JqB&s0B4pxvWPz5=_ku%f6Skeew+Hm2uK zelwR3ZPUxO#I9^L!Im~_En4RpFm<76p5IezvO%CHX)Uniq0BBV9m9U#tXZomjSzAs zNyujS^>j+@0gIPh6b)IAZgs=xZk??V5rk;H9GDr*b0mrIBz7+XEjM!j87GA{VrYDI z1Ac69Bi!YgCEZj3zK|Jf=~eRy#Zaigwo;DJ??t{wTNkL@7=g;~ibHE!sAZ_hRh&77+3Lkr+fp4OumfoCIK{1Qjeaxlam%c7S&5gTV6PITo^ONq{TSs|H<@hL2FTiJq;MyA$V&ki6e@8J4q_ zpP!!JOEctq*y!tjB&DN^%Gc|xEi5DK1??zobkBa9Gj%rkPfyDq4s&czTpvs)uN$ih zVY=~NRNIXBKBW1&2){Rd89z3c^5RW)$)6GQ&!4QXYqTb8U*Jv3yYaT!`LsP0 zNw}^zx}GMiETsa`t=f1`Aopd7>NJ__hdLg?{u$_ z84cO2f)yGbQkaD^V=7&8&?0qt&%X%d< zC@eMBWmez?`yJ=uvYyoS#pz^cAunkib!bg`$b-SXj1|r&1!;E+f-EG)f#m*oJB2Dy zn!7b`b=h(bay!?aN`l?|es$x-f@8rSal5+8CT|t6A~PRFg*9(IWmCnORJz@!zlO2O z`6pWY6_H%sBH8pjxLDPKI$=R`kCiDvRL}3QCpEi$b#?v7IBWG;2Vg2H-}*V$8Lhdw z0y@)-lj^Y6e)<<0vv&L4VsUc`YgRM9ZfodUaP?op>3!_mPe zfxN(3_AoXagn9rW1O*|!eL6)1fdaWXyvY>|ya1g+1&e}c`$Oti>6q-zV#vq3*Rd4|5K4#bo2 z=ga0Ro@ze78`_o}gQJO}@i~dg2qeKs{Ua0tofHCwJfeQ0=0u-tQ@js!>Zcn#EcGS~xG3T1;@V@7BPg{NLGHD%K4m7--pTF)QOMd%XeoiEWC!P@ zb6A)Q?TUARxVjGZ`9xa0{IEfw57oJb@rBpCPM^?NW9ts#gA2phNnQ-WQ%QKZ@kq!r zG_FcQCzW>Gpi+0)|H&4_KOUyVK9N4B|2<<6Cr8K=K_UDCONb=HGDX%xsem{{jjTr& zrNdm{(ak~&Aw+j%2mT*9hw&))M+lnzbq2ol2nBvuFGDr$XD^}tj-TJetc;kr8jKB> z4-({(#@H>6W<>)23$fb!;eiMJ;B~UER{1|odimHN8VFuWJQZ*crNaKB5Cq?+jke5@ z%uqmxoq~(3fC*&K&z%`5q!yokLk;e*`bp}NaQ89TEX(l>q^vM7ask{YV}w7>py3E@ zrDVlVzr_Z4kahtIhAF}F-m<)fBv1=66%*8drbvrVpCfcWwg+y?{(d7gsBX(^7-BiP zHnv2)@i_Btb`v9>$g0y?|9Wfb0M{v{TvyO}m^I-ZYu`Pt31q34>!6r(PcjL{JJm8?l3)h7G#%mfQ z%sslPNur&ik#v6mN+ad(TY)?{+nYnnQ}Pg6sS zr4}z=*R*WZ*0gLK#&P|eLxiM;xY2u)8AVe$>9=ZFshJIEovovn)jMs4-jjfqa#vRi z0U^;&cayOjFP}iChuI`6Op2>uLyhn8L+f=B7Y>p^7rTJ}{edKr-`D+wl)>J>K%waA z{A<9$eb3XKxfY47tL?NzB)`ihCVu|c+TAciuW80<*Z}PUr z@M4^%!h8DF%qeM1K}F!wF`>;D zrtm-96KVuI)R(`+-(V2aDq;6(n)hKafpwA-GwFnlh0JztcYyzY0tUO+A9zWr{k>@J z9+mMQ{TQE+5|2t)vL+T|s1t(x<6bIsvO2>N>W|ko#(&h31KpUXlvu_ad$;1_`1Iks zKM_f{DV}|Y*cyDm{blTmUlIOHT`1E;1)RKM;Pc(-g?R=j@0?G-zo4{N2pgIg3{ZP< zjV+W5{DEp=j zF?&EB+=sX&cV;vu=08Q%Df%DU0Udu;7nR7vKAS^iON{OrL*=(X*0cAqrz~Sq7Ov%U z7;+hx!#R#PpuPUC8W_!BXOyU`?+v4nP}eG1nQf24S*f7TVlGZw{mMI&%KYO~CX&l4 zkIb^Mx8@skXI%B&c7s{&g6tkfJY`g6s5tV$AYR_&jTiF@bZ!67ak$h`kjS6&8zy$f2Jol$nn?K#D}Qs?}*tfXthJxbT(^wv;h&fXkHJyQ&((8 zLpbhQV5jDme4u6xSTYU=vk@|zAuyXIy=|6}8HgLq>w2{V_?H+2=$l-|rIXn5{?8DR ze3&>pLCu`Z6mnh>JT2PFlDr!(emVyrsrYxPL|(u;GL^hXRC+$%o$I>(WCjXRz`?kP zi&~l?Z-_LC2)rW}q2yu~$Wk8U!pzD09J-);-_8*T#nO=6ivySl5_<$3MCwE+o$+}` z8F>AezkNubZkzliL;H5%Der2w&Q8`Rq;L1c@GXA1Dn9+C$&Y!<57QoO2$;xhtzY*yb_H1uFqj{5e#TBf#>hCNi#vsrUN1(SQV>$ASE-7W3XP0Mig`!m zsvx9IAyKjBA3MKPsG0{IVo?g~)Z?9aIF}AL9U|}Zz~f&2z@To}`a`GoT4Ff;g92L1 zw-lncRuVaw*E7?bLwG+*_sTN7_h8=NNxf#m`wHQmk9*}&-rwuJrxe~^rT6zL?>W^t zeiu8~+%FJ90j-?Ep~sxm77Tw7y>R;@tIN4C?4)Jf#?&F|?AVauN#~F)3LCOTcMjQN zFzRd;jT^r2v_9>mop?Az}|FkX(l2;rI!ADN`0F+-)(W36&p ztDV*wCxx9gWQOT7>qaLPg&BVCH0*Ouy)ofGFFHG=Q$8!LacnC@-UE5b`eFjNYxMqvODU1$Wrwi2g$4*cUe)sFl9TBE>0^nZ|7Y~@? z0O^qVNhgf+Lu&4UG(c&$GfUAS$F;^K*BWOVq8SRu42jJ6fy8URC4Y&~@^x^95S0@p zq~*wt&LAX5mE+?HGvzDX>y%t4xiKD?y-yLjTkF@u(P&-j)C!U%ZSNPf4cj zC$FJ<{16;}L5J!zr3h-1Oh_Q5*A{7TDW>1fP(=)kv$&c+2yBJX4L3yf54^rvv<}BG zZ;6yIT*&|n&99kMD99J8kbKQ9W{et_2Fz9HB4J>C?fAANQZaroY5a?%t`^4uG9JnV z%uw{6I}9rsKNKNM=mMuS3tclD7{5Q!4@%b69S<0?viO4lI@Yy4>(Q)+tok7PjO+#3 zf6ETYx@4co&cNS<4422rjq-)^0(ptNN-plHku~U~YE6CJw$0)RB-z-qJ%D!6A{nde z>c~f`wzZHO&=+-T8Yje~ud1!}HB}p1B1d2oE4S^e+FHAj+*b8SK+N7)SJNOKgQEQN z)7cx*53UBLV;ib=Y$WTe8m}h+e2s{rWXB^LYU;^GsCh%}XbDQLi=466S8dxID7`6s zow~-_nh1u8sTVy|)NZTZT2)(r^J^YHPabI-IN6sR|Fk8Dk+mVFwMa~7z zvUVI(V9^+kAzz32#UVB_?4cw3R_i+FQX$3+T!H zNj_szrN75H01EhGAae5ma^&Pc$A9DKKcLqs2ENPzU4jxyKQS(7Oj;P51LNP1^~1>T zCx-km4qwoPy!SZ$dItcX>LL1Jq8NWB6=-2S6_rlbioF!I4f{kk5BK5^O3q*hWZSUyY8%!WmxQ&?ipHoaXY0!*g$*;dE@8P7 z@`*v-S?M%aI(U8CFf|LHQtg-V-A3L{Ty*KF0>} zYhk)wm4s$P^n=!uD7m*-saleeqfvPmDpegBIWiPj5*pldK?e8+H*CR`=>6~_Jd{sL zN)l^Op@dLyk`+;(6`vyjEWt$b_<~4XsH&tJb#+YF_3P=neys#PZMd-sP=)L@&JI(o z17-wtre3cKT4NbDDEvN0Ber6BG-|~qEV|e71A#>E^$^-}By_Go=FALH)y_rsjm|?B z6=zVva<Unp7uLCYj|5@y!5Da=psF zv>qZPB%0L1OlVf!DMD@4GPDFpVy_3**tHCRsDzoho%UE}4b=LsNn%l~*!BDx;+tI^ zy#|%N+WBtJ6h`8k&`nJREeWE?BQHWrqE~YBspb;YR67bln&*&7x|kTHoH8Iqn z7^+teHN*_nYsS<=J5)oB>aPEjvo`^3s>&LG-^-phNs}(=OAGCL3Ckm82?g3rX;aEp z8Kgmqpf-Z&05UQ~5bc75>bQ)~H#0ilj5FWNe4~zz)losz5tvbI2&sL<3lwQtG?vID z)d?X6Xl2Xmf6hw_INSe!KiZdfw{y=u_uO;OxyyM02wLDjd4>mXg$pJW_8g;^02|2Su_Mcx$wu_oOqd5PVam0 zg7ZP8^F}!%&?btJ!|Z~Uq#m@THjhbpjj1MUs*Ixr7`8njn6n#gsWoG4wsg8c+!?8$ zwaBR=Ycq%^GZo~RXLSPzym>(W+i*oFBoAc~Ult@WU*2@b?YAwz zb=jh&6ZkqeM@}1#ho-AKLetek#6XcihEpSsW3yasP^Fhky$5(0ne(EJmFt+WIEIz$ znUlc{tZKled&HfL_Vw1Bv}TFwBi5_{PQsV;TCeXByf_wWRE5^4!Q}Fa4ksg4IC5@572Q*Cg3Tc}Ac2qAA~rKmh$&k8kV znsgKyuM2rqO}3C%-2`c7Q$Drp^4FQ^=)G(qChTQ%Lo>{fxa;dsFk^lf@CmhpDTIg- zNah>CKn-cY-cXau1`l;;M&{QNg9S4>g-|4H0m=k9tpFG{@7kB0)go4&%+3;%fNRJr z+ts0>0^tc0BED24Q8MX#Dx8W8vlA+=5$+)!NFT`@08`+6;tx`mjpI}I^McgoSpa9s zO0yc6EFED)z)gzK3Ykmu!{xVQ{P25Gap(a>I+F%6sVMGC=&)4N1dKChV%FT-M&MLk z1|-w4XeolcWD;;>3B+PJ`G}spp(pR^cZ8Za(q+K(T?e3m9twb_mP055*{sJit(*1I z_dpm`XrnS63tT6zWG6!BNvLEvvm-r_61FUy9ScL=+ZQteWFC%;h5a)TkpFl3ke90z zGY?b%N}K?-!f>Y3XoTvN5zL*=7q;0VLiid3V<*s7N-Ic&HBnj>6{?d#m*B0Lm~ch+ zc;+*if6RO(b6;ku?lIjjb#LnaqC2Py>CWl~b(A7guhAJY=q!rcl@Z@5Xfr99LaB}b z^>hZUnOYGR+EiLyHZ#U?(_IRUQFI&^E2;%|$SZ$DY&%k#<;GrS4J}im+k(}>YO`u3 zkT|rFf@o2Gn0wBsqFQ?M97@xNS&Z5}>i5=p>+(E^fF0(%6oq%Npum^|Y zw}8x!-|kBXU@GlYaU%5TA@Jv8zr2NBg%&&smAfCH9Y-2R1PA(uw;Sb_lKi`X=sRi zHw`hn2T6#cGwg$WPFbM)db2~R2gas0jT3gHnnqAKd4~~j_y^{YJd)ufwPI{)**Kgr z!@LqWhFOuuQHwZAqz1Agi*b~82CGMT44n6hku78L{~2aZ&Zd$FptaW*33sUV%j^ky_)5k zK6Rs7u6|xMOBGc8sRP>J)X9>Y_fN-lkrqo~NFpenLG?d8sDqe(L+w_ox-r z?bK4rO)aEqsX0_NHIs5uGpJH(3N?wcQ#>`6vQZpWOo1Lm6;Kw6q0Cesl}qJN*^~)% z^(@Lj=_wujmkIx6P+Is;17n5Wb#d?+5J4d?B|R0v_VjoAP%NdB+pZ9B(O-k7iS-8E!ReiZ>h)OfC`C9ZX`VA{i!jQd{%b(-izt6s8ubAXY#d@GO&q zKT$@9KO&>VpFw>5zE9-#?UhnL@AvP`0oKm6Zf*cXN;fuy8Z$#{bkHw!_DGPO3OFOW|jRngi|Td}cW zH>-kD*-q5;M^`}3CblBD?ZBtm(z|3qjIwWOh&OC%c=*p?qb_k^RFQov1X;O#a|6I= zhnIQ}@#YBeg_{}z^2G4vM~De04r&A}3_wI(HAe@rNg!w83; z0(E4T%rB`c0aF%i-Spi=gejL-Y-<<*yVjO$>Xs$|tPh*^(~*mliHHUB0xFm-zVM+2 zyboE?(y%t#!5S-?8#Y*Hd%zB-u+%}kC;@m$>oLqbt?zV^g`7N1pggq9Eztt!Hjje}7QGURZ-Jf`ycjVzxMv#7p zmjy3DLKSa;QuIDcwp5{|4t0b~eCTYUjRqGT+{n|Fp(ZNUFHT^O_k%eX^IP)7Ke5Hv zz(QPFW7QRM)U42h`p{+rvq;>*y06!K6U*ulNeCpOqnIo(8T-VE{EcyneuxBEU_^#C zW`^$9NiX`){f4{Ng~}*7GgmyoYo*EzZA?Cv+=rmA7s9-dle&IsGW1|(XtPebHyL^` zE40}dLq=S=Z*+E=Vu|~;LSmI#;0DeHpMYLm;6XmLs?Jn=dTGG^o2$4Wa_kbZmXcma zau=@~AbpM)`F?4#ib;Y(`mUp5lp6=KO)pm`6klgjP(HS6~{FT`@76VHg%+@dP%+0%#u9B&!wMD9+VXZ}_ zt1qC=j?!%?P*kZtRv8|#D5%#*8=5)8e{qH$&Tu!Q`xB>^6&M~Y)KG0ja(5B6vPiEl zpzhOasn4uHsA9wG7DLP;pIxLi?B#UroMAI(*jShe|1{J^xz@0qQ%nCeRBMrn`i0f- zUj?d(8B|$55Z7#|QDscb(0yHC(2X)g3UwughI|_gzP*VnmK~+Fo~qIc4@37SJ~XGM z>n~?p`c#ytKX4BiDg56#j)}PYTY3*Vayk!kujPv`@n-IgeDP&I%b#s=bDx>Dby^1G zsK;xwZuZcr{D50z9XjsMjEaJ!NS{*Z+p{m*(vNE=l9bL(1v9++US;gFJ;8_hTuvOa z&hy|hAKr^AteERz$`Xwh_~l2nv-4}c(T-+58_Es&B++KS)#K`TrDKOkAEbcaVGWM7WF0i1~5XI^2v962+qgUt$@`1R=40 zl+d4O1ws7iD3q(2AXlZEx~ZV-30|I9q)&nv=xtA2 z#NJUt668fRt8`5RX53u5*>fOfsyc8E{w|sPRJKF}-e_O#F!!# z6<{y4s1N2A`%0K{#!(o9;?s|<#KLT5;>s9t;{F$zerCY+x5Rgfgv8n+u-X&ziWoTv zM_hl4FMQh*h&L=8ncfoj=@Ic#%F+!JvBv(cr+s)r&WqQ-?dcBtq($<8v~b=dbf?zn z$DE#q3)aI?SVb-c)2-kOcejczK5ORb7^VbpYTT3|^h5xdN@|_bbI3PnCTgS z_9j!?>FBKV8l%7&&Xf%iwm9*Y8Jb7Su`t(Ih+GT-&{6J_T~DPk6)%B?F}l7GS4+d3 zJSW2CP!Uc;MOZ_#F4LA{@KGPoK#lUG11GzpdhmS8kE-N}KOapD@w2=NCV!Tlxjt5K+NaNi6K z4#j7a^P^}j1zHw)V>Bp6m;!HP*=T8tDZb?q;B|n^rI%m@%0=daWh6keNZbYFZ!p%*$W}|Th&Jb+#{n@lv`UT?ebmRd2O4|7Zzx_LZzla zrvXhwl~Ith*VbXYB#`XUk`l;Fvi)0yfRS_irXfOjfS&tAwVrRad^*Pu4~ zBHXWs_a|2vL(8xd?4=i4-{ElsO<9yqd%zglWRjW^_Ax(7$4$?M%uo@$Ge>VgWsK8` zsAOM5h3Y|cV$7IfeBjmcFENUkMsOKvu!IY!Dq{hcXNPfl&=}gB#--Z_$b;T0i@z1~ z`OAxLz>7=c)ir=S#c1$z-)~5_B2<B0Ekb}oWC(0lU-^kbVVav=M>3s3VE}D zfm4wbZXIIhrW!L+YrxRfrNaM~FQh%jVZ54#d7?2Rv?epOOedwaLeroLEAh&a8X9)u zTJjAkC#0m1*Vsz~%Q|vHUK75V9AJuP<6E|KYW#NZMAkHhHE422N&;auC(g%jZ<@$v zjbU{+#-Cu`kb1yHak&&UE3`r%T4o5AFpR(+X(+~*bhA70Egac}?hwKeQ!Xfu;X>SK{9ywIaCl2NaU4gN za5#!Ve+flS4+9AE?9w|U0rK=HU)W(-eEaaLQyz-=Bs`hJ5w3&-J`Q)?wT{f-m^~Er z&&R(bf$)fxEAiDx&r4>SHxft~DQUsqrH41r9!An7)WSk~3b-cz7wHpy#gZBVF&Y<* zagBPIA?SpUMalmHEBL?GocI4P4Rbl`9I<33*#w;1)%e~tMi`0yg-rnajWq!@RukNr zcy0p5=1r6o8<>gacO`b{g|z;L>LmH873N6E)SC!e7CRod!yz)g13r>uGX10hlmJ?Z zD^~Hh{4Ohiv$*tRgB52<2W4&WMC`YCBCa9C|MaAABG24yZ$MR{05av^M$90(VoUGF z|9(K4hLU96I4KBoZg&_r^U+-tXq`W`ipdV#JG9{~kP7yjT|zDQfz@l<#VdES7n_B_ zp=P0El6}gGDp%!4T|%If694Eli2EHG;v2k5e3O@bD2RU)Y6n?yl~W~daX`3PoZLk+ zY^dC?LRfDF*O+icxo&=~}vmDZUN;~u3fPI-LO@e~-Qeop@6lN%&wHn>mlop{4) z4=^PtW*5W8EE)wZv5DG4KrPA za~Q}*TfCvnW9cUR5K}8Y<52W&V@$ozKzuiJ2MoF`o^nQQnlct*+BKH$?|QRsx&Qv} z7R_h#io>=X<9`W(^CL%n@Pv-$ydfpM`2=p+7!yAv9VCfx6UP`>FmeruJkkJKO?Swk z0Tt3&6ivV@4zU^tWZQC>J2lxk8k?BsSSlKwi%VFI&Fj`gFEVpP6Ps!C0(l_#5wt9u z)!MvS5ZK0y7jxJQ2w><##vD2$ zP#f)VHv_z=bEmtxxVSi~-YHO(ci+``w~M0I8<+mYx%APz%wO0u{%qGAvujV=-*nsG z7;E2gbi(%Vgjaqz;g7FRc=btpd#n9Vg%flo6W+;py!-x?w>CL;x*Yns6JGo6IJIKD zB6ITijH%<*`Q!EZ!)dOv~Y9BTmL@DEgf$? zGZ?{Pb1?WyNf{L;ua^1i$A!4{sc+{e_m<&qZzF$I8e_qS*xU-k8BxJ!h}6E1cyg1I zk&{JE((54B(sat~^wLJ2E>lb(sY9pJ4>8dki zN**~kfy|wN3gW$GWLw!l#dkd9%s3q5%-=C@-2m4#mX&kmW68m4R(Z64TvAB#${nRO z9s$$mgU;7KP7q&m{MDc2C4{<&!z>~1Jv*x@I*V75zm5Z>4~{Dr$Rkcn86=Y?lOI)( zKAy?M@OwZ`Xqo*tS0DcK{_jo$wEX8LR5(4s>JU28;o5YGKg&a5Arvt=D2|ddUz0Nv zBw_+7?PmsonB@{SKP~av|2`NQAg=Oc7!U?9CSi~kngkh@}uvShnva;B{h|& z*YmQ+d3kN2!py6tmO9ZnEIYJx)%~m4n>Vcb-s*E0H@l{Ofq%}b_4houe%0m;=cW(G zNcWjOkxIOvq-Kw&Z{cMoUVb;P7{#mH_oF|62iT!&0G6z7#1kp!>hIaKdiB}Go7Od6 znAg1S!V5AiI*ONHD{;LhDcwv{M#`klp_Xo7?^)G&&+7H;q+#y?lIs9=bJMzeBILK@ zm=!=;|CyO1)KpRCe6nI@`V<#g;>5#Kou=4$vQ-&;A~@($mm1E1B1KBd{mBCIBPaB0 znu&pHps#WZ)5otgSndhv8Vqg^z5;r~GviG!jAtfd#Mxjp-F1tcE(HJ_9tKnL0CF?A zIKidhg5ym`#zPW}fq4CyLBC=gDV|KWRrtRgLDB!_SQX6F3S%z*P&CaQ=S^n^{eP+E zx<_90XLjuh&fj-#LU3>JbLibO6Oseuk;%zs(p(Y_ibu{(Xg+yy0(3TF^*JPy>?!5V z{)NKS}yJeSTXD{-hXClGZ2AOMk$mL!J} zyLsXbSWdkmuu=j6VJv?`(M$H^wG57LWw-&2VR#KC>3+ob<`M};W{Ikp^hwqC02)X8 zO1gy}h&Xx_UdY1}9mN4?wbYMC+ot%qdS1v49US3mj8$jQ3TtaTkVX5-w2R|X_$?q#O}bcuU{Zgwn4_uorPqhTMmK8a}<3_cm`BcDtr7iKh<3t^u`h~~o* zU7L?@0oXm*b%3IO^~Ch+J;SdJB@Qzwu!}5z0pByEclH8Mhw(sibBUwydXyszKn&;M z6Xi_B(T0*;%ybDNCoNsGYG{`8YlfBTW-Ql}RzQ*ilax}E!`*^363V--Whn1rvi~7& zetDNmb{)7zf9{!y2FgWq)ESff%wSs+r~xhtpDj(C<4O)7ii`chr)Oy zl4a65<%%+C%GC+>dywlAtb*W&O}3l@>5tq~=~ToQs?OL|XF#_aNkJ}qW0K;lN%YSqkzJ+4FxjCNDgK+uw4hT_ z_D?SXZ}qDv0~LX>>D_}G&2CnSmFx{;?+)h=PnHR3+VaZD8W*Ve=LdD(Ju1p^5(?AA zKlM6f#c)nm3pG>>4DNB9l8OLNRv*5195A4wT%dD7exk_c3MZTL&Y!Jn4&-00yr zNkoWLc}MduULPsJ*KmgvVN*1V#m)=DwH)wZ)y=!QN|ek6fG@@4Obg<;BY+Y#N!~CI z#E7CSmaOKd4yY(yMc$b`PMddS^w{2}Ey06QCSD(W68PU?gb|QrJ_asLGM!1%IMpXW zvP&E2so@fn-2w8T+1KL8xM`TBn##L<0`>p$PfJla!UGa{>Rc3W)JbCw@o@ZIPlTyq zWb$xr%LmN^tb8t`9f(NcAe@YF7Y2i!ybPu|pLrnAgTeW%K^hxQHEEmD?PHW-AMUZ} zYs1OreWg>%eL{M)&u1qL1X^~nd4b`*q~Bbv`1F!n5A5J$6nd!9my}TY>3dBz*ynu? z|4nA{m?J`d>EKHxKAi+36}UHhN;nAvHY%ksQc6yG46CI?CW-?++&mD$jiu+HVR+=} zL!vbMqzNw!b8S+PKo{7<&~5zDYf#yk1gV_$r9ma7Y}ZDt4uwjgl=4-i85k`~3HcT9 zqEbREb0!S)-V`WYEp;=Be3^@1=Ju7TtY2u42wM&Y7&2|EPNd}g4h8*N=G8#Y0FK27490M9Mez45i`)xbpXT-! zG0$lz0h5=4&O*dG!@)Y71|UD%6X+q)8D*MopTzeET1p27gP#MEP@R9515m}5z+iUo z0=}IMMFu3!bQqEnLk`bcV{BevHIZhu!+UVZU;e4r`3o8}BdKRU#Ni^RKEK2jm6|@i zl&0mUmyni45-lZ01v32RD$vqqvpGyi&=`|p|LhWO+RZMniiI6?6YiSFzD-MAPsAo| z0{!M{xI4H4!Sd!2pi7YZ5g^j^1Ei^;G7S$F!U~ zrsdu-E$@zL#yh5&?wGdp&YYYaslGBAl$nhNT15qV(vv~{jBcMZ3n<{yvzq2zE*hmV z_dzL0X6I=B!~n=ZN0uv_Or7RbCS7{wZ%A$un8EA~w{(>Y1JDV{?M*FW?%}j(kZPg8 z9C>g0aSkttIfj4pXH-k>b)Ua#eeo){d75eaRr-B&nS{o%IX`}jxY#jOoaGoNIvj;y zn;S)=L&-fyF}yLheFh7K;2%z){o ztMU35uU7p2Ds+75@2|27-1_&{+Ol&X$2ZNhz-fwfdnh)gMipQw7m& z;;I6tEZVmhY-cPKFK2WLUgM3n+4#%MXSpk*VmA?H{oCIJWiO@_mb@S2Oz}HpxdZ z2m)`kqr9tHXx`h=V%uBNQ7)igYrqI<2@4UQiK6iE$cgEP$*Jkbn|Fd5A~gbojtheQ z#j9K2<%?h_zECUOmm7lWnuFVTc^XP|oBwAs?9W4{1JBL?G`ymL)kq#EZOnOS0yakc zqf^uSN3#8@KYxb!lG6xt_Ah2Ia_)CCNDAWyq~1!3dRBW4wQ`}nTBu(?VZ(oar58nz-+$f}Q0U z3VuHPju~9+?yfS*reH2s+?P^OC@#w=MHM>;BQqjR2Ft>%qJ|XB3`?W+dfe^g!-{{7 z>y+Zq(^qqioBS_^lGlQ7r-RVK8a(!$fnF88gSsY^QERw04Xix)gb?h6PD!s%1h-dc zJY0=*GTotvfzU6ioTtglWsc9oLgG^+SR*sbC1oVM!WQAql#^-YVfc#>tCPDdg?ebU z1{9NkO6OvaLC3W@m-$%pv6f>3z#i&4#$3e30Mp=Ez{*L-;xE91 z+lsH!-B0+{&}udL#mtbGZmV_a0s}pX-x_E&rR^r(@}y5$#hwvpg9hYsM1ugNBH=MU zV?5B4_>lob=JjX+FseGYv)o%%1#jgr-yJBgTn?eXw4Mt{_;w@0oceYXOSR*)$X<0d z?*+cS*KBCA<*`?w5rSE*#v^J*wTa51hfEY}QX8ouTCUD2uHH5zUcLDBsg5?vC}T}3 z&ZuBbO3p~JL(rp~%xU^{gXA^QUgn8#zrMp-=HarPNOpLW1oFD^jGo&xp3!hC#siZ- zHa>#uGcVksVqLTF+)}#FXetl-p_^<~8VYc|!0J@xne+Y9;sQ}tw7zqo<2&}}8WOKL zV)kD&SWL$8plct2xOz`ww*_Rx&l_yEc>R41-o$$hp4}vd?=a`%4fi$Jaj|Mij6Duu zW-m++Z$Lc_EZzXXV&P3N7onM}?asjkKei8z=Q%%bC>IWV9X=C}d}Fh=G{m5fIQ4hp zJ7XON3eSiZCJHAx%;ID|TQu<5gRHokRp2(cy`@2r+nCSrCQAg+A!QZbuvo#%MSX`Y z@$R(5A0|mRsQ3)8*am|?YdmAJHZ_pUiW`tJJXn8>&6Ct znsfZzMNmnkM_NqINiQbr;+v|SYH5*~F?ENb1?@uSX!a^(OnhmHH?%Z_eK1d!8GJT8 zh}}&qq1R#&`_CKj6sUb8(gD5A-`5atfPnSBhA_H`2gIbKX-&9I!WY0h==lMlxxJ|Y z=*lZ&J~@-<&JlpJ=(C;WFAF_1i1xcGXb|mpRTu{0v9;5YouiV6y`rN7eW`sT?9iep zpIwCsf`$V2qo^!OLz!vmMOw4`K?N|(Llvg=D9etu9xE53)uAR;xgZT4^1@6!jy@&Y z5qeM6bUji!{2m!cZFz=KN4DHn``_b++|7UG7xs`}&kWoQV=cC0Cofkpv=RqicZ-2l zX#$ZR6_BGl>>HD7JU1YXv(wU}Tk>X@^tGpp=-0A;?Vb}RyJyBKDm??z0#@)zQ0_k} zt@Ies0E($tZ#xVyR(!^hl`v8^dW$g9X9Dkw1>QtyXLC;b^!2 z1p4b|o)h-0Gw}w~87lbEe{1&$6c0(q}z5_{p+LNqzxdPQY<$e2Rez8#uFGcuS6o=)K`_jtNCAE#gnhseiL z+~$;ZYbuQnu3J;3kEHNU=c7{gZ7KWXDI1g`|6Ap*cq9cDWKBy{!OtLHRXOlur;ntV zkbPTf>%04ksse;m`6K`>QJOv8Ow6vsHxy^Sl46)QLHQkk9QD=^C)JA8&Wu5pbXGa@U9PA}ScmGitfhE2F+f=e#ARD!tWu(+yPNEiH7>9$m9 zTME%6zjK8_iR-X2NobR4>u^I3L@S#C?Tw0OWe{!#B+G@0r=f58jQGOGQ}!RHE=gFdtavQ7d<&SJ zY%BCWIyLTgmSp!kww3?^r$!qZitDdM&eqdsX=-q zV1E)=a-muaGomv2$YL-xWGKF^!74d~nj-bWJ%Bz7}9eQsJbiUC! z_>VCUq<8<;RD)pto*CqIEWSILog*#IKAQTc-9VSTw8G=(5V%S?{uPyjqr>V>4bBL`U^uvEp#AqZ~A} z`L?~N0rMK0aj?7^CT7qh$k}SA>_g$ha^Wj#(QK-IHdQl+T2f0bTtw05cYrqT51P_< zN0~YPb9vGhH*UR>t<+(%nPR>{Zy62Kr1*e+l_%o=y?G#FZ}!-%&C)s8)bv?koHjbU zec|L4A>5dHkiwHv{(yOS!yIzIg*oZ3!pZnN{9+KEOcO-zFz)UekBdz8ahqs%(zbH^ zj>U&d>h>?FTR89bmlq534=#2us5_c=llOAX(xpdgjxMd)x2)#om(i`>vYL-?o_DZ* z!NEE1PnOmktf@a(Gv||DeKyWpEWZ{FcK3zp2eIk2EExVUEVyd(2(uBq>uy<|b{O-C2hK_)1>Y~B&~ ztw(QOyaX`28QuBSFLfVYGOq^R0{WKBgV2&d-MnM7-Am@qJ2J;zw{$_>t%11<$dY+v zzWYe6n?+-Q1#4hI-C}^_uGug71e|wtam}shqwuz)=zdTFzxZbN(IvMYMDK=6YU<{? z7cICWu%L6!&7aI&Qgdw1>xGESb0T)+Gng=iuy{ZZDg$w02qDcW*79zGVKKMGF@= zr_Gyto8e~ncS@Jn-@)p^QoW_-^!`(Sd-weh{<`C>ogMA3?0xg?zeN7HFZ|9P-)`?` zCq28iY(4(r_CLKI`n+%3pL-wfx%1QaPBa|TsZ|Q4CL>du^@Y8fbbTCL@y4W*s>u^) zT0g4vy8=^$yE-clAAI$|Cr7)Fymn~v6yQc$6ywcPqQ$&n?bh6mUQMvlak+jadtp+L zazfF1`&Jt z@sLFk3wpa&HrdLQwhArGxv4sJY8f3N)8yf%QVkx4I4fY{jE;&7NcJ*+pKYeLh~p27 z4A?8p9h_2T@s_g{zqpWWibdh2R~0Mk?r7(V^(* zWQEQ@!WccSzx=;)*1|271@GlQ5uFZoFRSS4)Cj$0@FNPGLneNQ|BLA0-;1g{+Z0`A zvjjy~@Lq^#U+y)ER$e8t9j=vvYnE_+Yp^Ou1*K>&agtKXH$l1 z<-T6|S>q?-Tz7CSt8IOU*S0Jw41Kjo7y9aokeE8YLq7e?`d=3hC|=BRazFQgHnT$d zeqsLed)TG6z3k%V&dPIR6<@4Zs4gpdb&e~Yx&YUxAgbvElv{-}`9xEqLpIe(eo-W5 zI;@FPic{0u=G^*x8?Br^W24+j{--Daf8oWqx4zR==>N0)P{vcT!`V+fmGP^)9(*dh zC%^cq!e?q^PmMWTf8eRf|68{6Dd*4v*Hd$bzW=~e?sjVFQyyr`Q|odE$2|2=(x@a? z##GGoJbC%)QQ^Z8k}SFVKK(^)5C~VTjBstml5PHLohBz#a^5AYHHx2zXR}l`s@a%NA1}0DU2fS8eAf$m5!L|clh%+SLbfWsWEI)C19qWX7Q-w0=yk=IV*RMqf~D( z#Tr)a$O=73F;Y(5}MTd4twBX zkw%DzUFIq_)voAD{mBBYJI=X8LYq@%OXP+&=)%z61S>NPiVDXGQ-?M{GITyX z-TL*AMU~;LWaTYw?wo?XHkQ^MGY$q@*mqp|V__&K%B5CS9nfe**@5>okx=91(3&fu z6?pRR;Xm{G_!8$hUM=NhM~CqmRQeQRBosz^$;w%}-8BR_9Y0i%E_MB`lr9bI#_Li< zS&Y@T^DY3MGjd%j1Zo5M(h{<-l#Yvs>hK67UYrVfQv>9Ni&Ic*xogNT)5N5FP;59a ziCBD*0AxiNwoqMGXuc7zPywb7M?2UIKzjHFRrd&pky^fyE_|gS6PZT(%AFU=SnwP% zD=XMrSmMw`zznm5nKNXfmifZ#7TdZa&`)5K^JDk$ngAsFV$9dkQ{oG3c6U$22E=An z`HpYGj6BSoat(FID$B9#e%7b~tPvVCI0ndSG(8Z&)A;qC(*ccBU4ji}IxQ0Su|2{=q`V+&O zSKYsQ;*B4CKrbWrt!~+_U%Y9<+D)r|Q1OC(*ip$U{OSV)=iIw$)2e$m-w-pqW%KF> zDqbM+Op!fuB12R;*NKc{HJ6#O5@xRzmWW@TS-0FcVQKx6^2P3zEAI3(+_AJ?=d$i$ zO)xvwv08;e=3;iUa;1UupU{JWIglz_PJ^bsIx`7|Cf+fq+eZurj@+tKLJp{^rK&1w zWbSZPGwV%HW|U@mm`u|TG8j1q3?BO}`0YEvbU{nnGVBJ=@~xuzq{+%onEPE<@v}}{ zr_3$YY<8KSIr)51Wvv2;Hh6_QdBr>ajin$ZnixZA;_K=EfKP$YX!ZgSorn3!nDbF+<%v zJ7f^soK;}ukEMWTaI?Gwu0f9BVIkjTaX#Nft1;Ze|r5XwTif~Agnz4kP=VG2I{V$LGXC7?( z!0<@9s2d(BNWag6+fTrVIRSR?Jn;*@W{?#d`I(YDMg9w0f94^gJ~e-;!oUT{Zw=RI zU^;b2L7j??Wp6~A$tScuaL=X<>(_sHNGHmiZK)@w_F4|d=LTxAa{IHM2w9|W9^mft z%x|u2;-2#Cb;_r!7(yA6RqU7H)Pu{hCS)1BAw*7~Z>LV5u*3cniGg^<+Ek+fa&XUj zSeZ9#d-T@rO#<1W#}%0E!A<}UD3ZAbuH%l1pLmpv+Q61H0rMEYLEl(X!(m{+plFvq zY4xhH+Bryb`HUSG3${WXjwi@3^is0{79cj)kj@X;VP2tWj^U^ViLtY#J^;RfCNhCq zpo!1LJ&WVr|5=7ApWw1oAkrLFH?z7Jcj}@gMzd5^{4IDNvczhmYM`LI8CT6zYHjo) z`)PQLPtOwaBZHIyqUpeOAj6sUOYbbBa^i29Xtkv(da;&`NToi5Qg`2%Q!z{>IVewf za@A+x1nj7)!NqH1tM0{bJx-XrNC0kFj@@@^Lu-^7oV8XsuX+o=5E39448HJ~hofpX zV{0e7q5Apg#mCkf`x*UtXf%F31JaF`T#8SwTtO;4I6wVOE1=p>C9%~k%Ab$QKDn}- zEG>3sNcl*g;gIgrD^Ff@#0;PYt2DvvU{0{$(<{Y}E3KbgF@CLQzY^?Ko(Q+iDBWoS zCLAzRsTD(97e)RoPjb$z2*}c@qS`r0G&(D28MPb?TAe%l-`*ezxL&vQQdBw4hz{{l$O2-+Sn&eE}3KZ`}7QBWbjd zUz%IrIq)lE1Qm8JgVIW~Gx?PfxZrdI=0e&WE=kSEBDXTB=~?M-e%h=Jo-oP7Pf(UO zvKnrIhh$lpAEe4CBQu&zH8RSy2Y#p&LNrbJzqA0x@P9ZQwpMx2-&)5dp`85E6klSo z`HlzXF1q_ZTS4?|(a36T1-T%QGOad zqIvmO{f}fppRD0uM5k~_Op$x00Ep>#WJSm`rV>yL0Gm{qnnG`HvK9MBn@|Wgc2!8m z+V%m6Y~0_d2eIQ=A{rf&M3p0>HcGpji#xL!JFDfs?{R6iH!%j$aJ*N~)p+(cfr&^# zvClsgfVJH&WAHYXu1szG#H2SdstUaa#+uy?{~o1YSC*Seq1Mgl;!UG%48+)vzObkw;ZY&ynF_KzT`xF4wR^OdaO`F!CS8T zAB^w*x9$haTqT!E@S~_{FN9Zyy$kNh`@ee^{FYb2&b%AE3LbshnGe>@XEvf9eUEJ z|F*wB^h20Ilcx(Mw|n8z+7w?Zl7V;KWbXsU~UXeWqt~09i6~CPmV2`p9)R zeeg>D4{rMWZ)CT*gLU(6Tgo=A+k7v&nVauEvTW6+b*t`Pzxvvjy#7}I;N38Pt-F6S z+q7vzWB;%Vx*x3m!G=wjh64{sr-Q%kyG~m1sk;VR+E<>}_&vc(qs@>XqH`(Qe}dG%U=b))aQx($sFtcL&Db&ct>$?1c{lUQ848vcgE`C)`` ziE9L=Qv|+;!q|Z96U)PvM0f0&CTl3vZuHlMnTO*|xOmY8%^S*mFtl#k9 zxpd|08aHnM9T}D4_>!7M2(WPjyLQ8dzts;nZ8N)m!*w@+58&6&;`6VQW$wJr#h^L= zU^S}FX{UpDjC=itRo`3JxHcU#@de4RU+KU0>zDSjn3IF96(ygFx8_~ZHKbI?I#e$B8z(R=OmhUoWFcXeFCrM*ldiZ$nitz zU2;A((HuS@JlSd9Fb>15?|?0zUkK9AV!Z`bj8|~dsN*J?$!F%nW@?4ytA(oi?8Su$ zzjJuZL>a8-33LkTM`1}HlWi%#wAU|V z-AU;VjocCwC@N<9nHf#EZNNyYN z-PN7#+S#^k+xlj=v+a8J^B?@?-OM`Iyt=MggX8!d7!}ysi71}MKB?gl#~H7`^0Cq; zV)9vJnp53I*mDSSPH75_`5ioTvsVcBC{@GhF%4aCcYKprs@!o+gu0WwzPypp+G=0c zRuAc?msnV16PK?pJQE^KFa0*QB(E)VI)FoM@#^i116EEYVhRF81`k$o8g=@XDWwmj zxXV$dNvwie&UsK~v_8*IwNglJgd9;0^%;k7B&Jy-mj@}7QLx9wgz0}VEZ-2JpbbHq z!8iehEjgNmqQNy(kg?u~?jI*klZWO52KCjO3pim_nXlOCx~2yAgr-AGUZYYSq1^v5 zM1;jX8spVDfpI+VeGI7SY#XeoN(?09DcGl~J)()c!|F(i$5NFaW8}DEv#mV&tPbw6 z3b4HbC>WRSSy!_O%d7Cdmc>n4o5L_t)v%3Jjb%T?B?KG)%uszr@~Lo5>?3oZh*=G~ znj{dBY1BhXHv~lvHpI-y-?$*C`R=-ED8B<0QSYA!e_2$$(Dy7sHFL-4W0*2}d3vsO zQ}}={&s{%amv`5G_cca&420Q-VF!p@jKH3z6IVg6+H#DDEEU=5(q5x<^F|t6@p#ba^HzjggaWa25KLZ_(nDvTv(E`|(}s z&Vki1RskLEUTB~_HBpPQBX+V#gA4J$tW^XG+mF^dgd_ctf0brRKRJ0U%HD%SpG^8O zpQ(8WNzYApkJJ-$kh`!z)I&mAxvq7PppK3#*xoBOQ|bMBRRr(lSOt!MCsC&SeG=Ye zS2TR~yp|g4?gxWZ@BiIS=jj-6e7V+6$;_C~&j)Z$fDwBY)kwqTLEmSM3HC zl4%dxKX$L8N6)SQZD72I7HEV&3Jr+Eg61*MlKA;2gCjt*+x~4PY4nRBcuupiL;9El zot4a4WjMuAy21D=L zfaopAH1~y0eO?(BJEKoDiNe4MHk@6GUx19nb#z>FNwHV;G*2o0xu1mLnw8dz+`x^J z$Lx_N;ZD>eT}h@}7$w=UW}|}j)R{Ai+>F(d%VIpD&phvBfXSdN^~}{iYkXoMT=gLb z*)r#UEA?hKem`Q!l za6(d?F__{C%8MS=uqJpg{ACG8u}ac%$4@D9{L=tI2_;=2OeRE3Mktoaf5(jk0QV`P zYN?J{kj|K19y(Z!iyRUV96uDl!}Og5Uu2ue7@In9g(5aY4Q74_U@q)JG)JD`hY@}u z|7+Mz1&WvWDf>d+C<4qL(~wNbf9L9FqCt8&)a`ZXk#99gB`TPicWr)sJd|L$aeQ$XotC;1sIff*(O z{QF`V<;VS?xwLcaBGfU@guny8{@@ZI5o}>i_b*$k zF+UtUvPUg+?+C@f)C67gm`10|*}BB4+_$3oS?&~Uw2d~n{j^HUaJ zfx;#e@b@p7y);X0X6D?_vin@_ z2bk{nOv@x1AWE@rp#Q^1K-G`Jwn6m_^juO~LlDCcDR;W{g?C-Ig-<}$U|#*WWDiel zdLT=HD4h@g63}=Lc+1HZxPvp$Y*-L=APTL$5e^F~<~2)h2F}O*LqMO-IgdHxBZ=&0 z{|aQv=W{G&dBlL!hsB zvG{rPcEx1p*vJ7l*Je?%p#?~_!9-sT2uS4?umXktXDrSc!I$iv=Q8*Z3*lL;S}OSs z0AG!>R4~9*-89fM*fRLEc|54H)g}J=?^Lp`@;^MCLK{%8^!#A+x{dUII|Dyd39)LU zwBZxGCn;%0mZ|j0r_%FOC|j-f&^?Cg%l~NPzZKb}Yil?`;x~b9?aJRm@{pZV%pruB zwKrcBm+A_2uMwjEkh2da2Nag;NNAu5eY#`dsQ+-vQns#EPA<1^f=!gq3k0m(K-#L{ zTyNNK{{br3($Zao*iGa>Nv|6Bs<9KxF{9nR=0nGS1`7E-q^N@mM5vM^Zjx5f<3I8( z;b-;y7s-o$Q3{9oqu#{om3o+^DFQeMT$g`x4aF2De)7|G@Dmyc8iA~aV$SDq;u`7C z6AHqaBE55n{bsXZcPP6Pl+=p6bO9ot#bT0}-QFduLMs&!OJ#rQGPcCL&aFqV{8h(+ zjeRt|Ts7kxRIZRlaqyP%1T@rR6%hg)Dq7d%U8AotIRSq;)?D6oE9RLZD?T4pqBJC| zO12~a1kif7sO~3p)FK*jM&#;r8**Zl@Bh+KP?wwWRyH_=x;HUwyYwhudAih^DEIW( z)?G3!y|!WW+oJK`t@sZ82x01{-r}e7eXhwp9<$Mfie)r4rB+?TK8nG%K19j1=1F$QjICZ^fl9S?&YTRW5HbbU~G!Jge4 zBFSCjODub+$HQ>jz38tCOI&KsaP$d+u1v5{zC|9^>m8a?xcNH%2azUoxTd}8SAkBSzIn$}z7ol}vO;4Nl zfzeZ(_t)$Rfm{u&9r=2>*?h3es>pjL;i`d=)9ml6HC&NIibKn-IhH=20fh?wHOQ`S zdg+epqqB2cCV8TmTQs@ulR-XUsDOe#_oTQi#niQrjJgG~SEjL|quR>DgTv;BE?rMv zx(y^|H4x}}@tCH{J<;mwQ-ALSnGJ@8^NnFSs-kUHR!LcY7VNFT$)KAzY(vFpaDFD8 z?F#2;3FeEWm{EY-w4`PhDlA1f<=fRq8Mua<27wz;Pg(%A6A^l#UouBOS*B*WwS|S%MU5-z8P&V#UjtkMPO;g7p?(VKP ztN%z39*ExVC++5Zr6{_&zW%qbXH9rB;j)6?VFGzKa2^ZV3WAg z7D4ooC=`<2k{6d4f2AP%FI#9eNX{GTTptaTnY#(AlvjL(h?9m!4wIR;`?a}s9nRFO zjDW4Y08enl8H~ImVi|MMgXDhiAeZ15D4IN|AJM~`w8-VJXvcUdlA7T^p1WgzL39$- zsY;%=TM+BxDHU~PoJx?3vsLYF`=&Qe7|$iv%C|22(?9_BnMl~OoqATH{t!ak#*&I+ zDppR|7DY4*+ucpsnqg$b0y=_{@%b$5Nhm{%_nC)g1`Qyi=$Y!Dutv&&cb#A|Rdycv z!u5hS7Uxr4s+j6u1QZNOErB|KYA6|u=SmxZY9_m|p(j}vC}-FQ-; z-H$I2hji1LEmT!hf{2n&>F+|1TsnSaqpOhidRRdqOgDsJ*7lJ3V`#`&1j8*4$TCa23LrDGo0!iHDso{G570Z7_$FFaecux-5_)!j#> zI`_H!pqNh}%gG@!aa5;i1(19+Ick>NW~#JMw{B9$F~d`{X7w8dL{hH`%CIBs8LXFb z_nCpiYN2cs#KF+aojrB@U5m%S^OmEaz^G(pp)!U#{!KI6e*=`dI2f3y3hALKk%DHi zo+6P_igp6)oYrG(1F7q9ZEoSAM{jfgVv7@;GIObo{2$s)_-1#;ppsz?a_O;>L`-aO zQn*{P7pJlr$5;lg-Q;;vy$1S-*jLdK(IP7jUnctUg^M0qn_570%_sll-iHlhL>Th$JE_Fj*zJ)ZGg6th_ z^7u#7fv7#_I@btuX!0|69ZF$ufS6D7$;iE<8Y=Hio8VTX=SU+&zIMR@{F|@!*HTn| zpM|x)V4snPpL)+1WBDxrSU(jLIVb?8kU1hHvVbR392MHQA6ZIDh#nPJN$o)Ps>S`~ zI;yn`NtwB1^W<#vs@3DA)(7a(7Q|H2QnRq(?X#&N%7VY-tb{!5oVJpjlsh94%h2*4 z>h(*OQJatdR^IB#^_w5q!+Xx|=P^fL#>8~7XBS3f;>vP{6dlDsVqVg*g&dxz0v?ex zMZ1hnp}N1ubLR&bk4+xqj&-79o*hNaYH=R3$$RRozqYVb8@OM$(MA^_n40S^BgSN)b6P^7f%sg>{3BifX8MvmL{S%8=|O2HbP#0D%G56GeN)2 zGU6#SA{Uf_MSrj@Vw6Rsqv5MX;y)JSCv3OAL-ys*5OMToalf7 z=x_00yE!4bqB;0wXgz^mPpN*4r`R3WZoL~UU%H56`G4AW+XDYwLoF%kV;H-1|21p_ zryw2H${h5YVsWTMt~VntmQOw04aAd6w3iMmLH6PEuvbH@LUk**q#t`>d2ID;|M@At zmD^>5X6*T`c*sr4w%s&_5VEQvf(eW>e|Nu>Z76?%g79W(k?SVea}-0@ zLNN#2RXHu^c}hpn-Oz6Yk*2*1U0Z1CWdhsz)iqYiNZGl?sYaZNcQ`jnRYFZz@RHEGa!paj4OXRDgAz@1E7iXD+zj;$cCeCrdZbN?*OWqjV!Rb-yWNk%7 zvn=MwZwy>k{k#MQF-};pwuCO*RuPwVu(X`2Z+7~S(4G7fXPHG+gGBX2pQ4TY-5t}? z;S{?zp^i=P`24(^s|L44)V%tg{ zn$T&50jWQKbU+EZyc*l5w>X;xy~IAOoSN|J>5(72}M9qjuZ3u8Zn-FhC^Ac(CF7Y4d6r}2fs@8O^JmwY{{b`DcH?O_ zzb>Vq*Q|4ZS!zUb}{@|H|qeRSyY9fZQ*?MDavvXX6kX*CDN-QRC$BfehtK>{@`2+rim3ss*Lf7|_Q8xFJ^}LJWulAX{VC=p2 zYPuvjBFNFB>eBvKMH)qQ#8)Lr*=6G|TaAUE`Df%E!Xe3_vuSN4Ln``5bf=tR=6ke9 z7W&98w!f`+p_#${H>u^fBdl#K_o%OFW}=|_C5`VmgB*0?DAZPvChxjyQByg0GX}v; z$&+XEHR4fH1&c}@DtVh_CMN~#C}op{K}vtAyRL4O32NZcKpn^H)Oh~%6u`<b(y%v@F0dbJ&!*D(smutRXzOHYoiu@Qp>UlB#fp6`qOo>GYgX_Z+ zLa~}YVE%kP!v2w5xUzh*WZjOFbXGAg;Hn)34Z7Kch)Q5z}Ns=k@I^fE){jSC=*3+~-)`vu4N7bQmv zu&it{7WhS~nBC*#Dv8`U8!!ZK&W_EJ^m1}v+A8=txNoD_@_32pO}iA#+_+NBbsdq2 zVDp%`OlY$!he+so(}bvV1!XD|O;9`3?9;jOgD157UuYl0-Qy0BcgCC40MEMt?q zAo99>$%UeQabz$PT-tg(3WbX!%<=s1<65vTJ{8a>z#9n1n4FZzU!lmC)lY4>JVo}* z`JCAdM`hWcCO1pzQNWs5ftDA^${HeP!fa5->l&7pf=nyjCO3#(Cmpkj5N`%#NV`nC zO2df>P4?}(#5!}Fmeun-n}-DU_q^Or@#@@M95eQK&DY;t&2^ewI||NKyw9Vbz2$g5 zJcYO9^hq2^WPepf_W=%!J3v$Jl)e`%0d3Pt2ULH{Ln21aNQ=3ttI^$i0E16`( zOpq}A=QL@$^bYPx7pKBj@ayP(@kQs`{oQ=typ~Qz3junmwo6#GBo6$&k^{ z?PucR#hpkI^C24YGWBSF+ZqM{xz+m`0Dm%JJ0_7Yd3QNu_cxTWZj)_dsQCUG(|9YCx0t0EjIjZIhje__NXF}@t*b6v%Gz%Q{x?CTb6uP;AnlO@0r5CiK?;?^*nF-<=WQ#;<$BS z>ZAO<)|`PC%`?S!oe+}rpkLDTazNo@$}qjVli(RDsB-Lxbu+F@*hi-L@x^`#4^lB;RR%SWZ1kzVY5I78N3G`7R@{SS&=0siT2pz()t zfGH)ybAV~mh{KiQui>x<_r^$L<{PR@w!cEAj1tW&eT594?8a(0c(`x7`@}!%?sjR? zf?Q#;6=rjhK!w=u3Pr;&H0=1z?`t>`NZu5*5qO+#GLK#@Pk!m1B#OMnY;K~xETl8<8&k-W<@0~ z-;o~X_h)zaEuiff@W8*rVh`&s`=$M#uZ@UkwarHIzU`ms;sT~jHGx^{iikWi0C4xr=ScWY2AvYx zO0KOo+}fvFk)~F}rPH%z5Y}0B=)`JjRQA+ zkF1$%lrh7|2Z|NCk?*JWJ}zwB*}|w3L)w(6w}!fnhRL{2O%p4w&0xF{u1(I>bDJzK z0T#q~hTPnl7VlO9B+FX%=p{VWXxXs(nbAWXLYz8Bu_>sQ1OWB-iHAcrE->%*>lTVcuT(<2!__M$nnb?oEt6Pdv3o%i8#y`ycT?P(l%1(Z2L02yA9lGzo z|JeEiWU^F{XMAaIiRQnK^Q2yHZeV4e%u7Oif-GuV-y2#IBqO~#y6zRCBoyD(bwLNB zi!Ub84Ok5aF0L?2oHen`bZAWq$pKj;H1w87S#cs;!Jmv2JI)nXS9TBAoazH>2<@C! zR^r(;I`HG(gI7vRjW4ABJO#V2bOx&{_!#9p$>o^VH+a-f0(vKStnBfdHFDZG5mpd* zR`Q01wt2U-*sNM|9G$q@HwIc_hhpVxgVn3_pe}OAS~rAG>RY~>-+)se$A8>go&rnN z;|5BbV&&spJtR5Q`L)amDm*$@wEiXQu0r55+M4#S@0FJ!s{O}}N3a~ofv72OlryBR z%dmzY=c?XPTiGdO&%bJ9?*y;8s*ML_SG$p^PS)~74UNz>%W|p5tFpQ$!d+EWWsh%d z=aTEnU0rsyQfiR)tEB_%DUKy;$=nlOllvme=3*7{vZZ@!K$ADzHd}2;Tg_VUL)aep zOJ>ZPKNhXRHHKYlm9vm!HJ+X81}0+S_cFJno-Gt!%>@{vZO?W`sfTHPYhUGc_qI7f zRi&#|xPDw|3nTk3F8F38Lg_(iru$RXYAUw=f9kf^73NX+&rcQZvx|erqp@l-!8=ZM z?gx)Yio1R{U!Fk8_%|N|?zdxI|7^ZT;oH}~m+yg(6WMEj+g;bY<;0=7c>l*c(;K~0 z?Cr-gG?6K-Miml8C5jnoxeqe{grHN{=BGq&t?x*@2IDu9YaWGCzrz(1@9)?1@Ds_f zFhkz1LSmqW1ZuQ6A4Gv3&r<>a!zY$nwzhwjGu)H8fFyIl_HiIKKk^iz)$STTnf5n# zg`sFWH&e+n<^^?ywMD$dwO?N6BvVq3D8IrY-C+5Uv^NcGVmS9re=27Ujj(R&sl$@O zDqY#CH02wqc72V~QSLFhruJ6Tnqg{)x6j0!eTJBi-B|~=^aNMD;UD6e=hXVE2G&yI zqf}LisrQ^}O8Uel)@8as` zNDat^gwZhnfFMCU5+q4Um4hh6xp%L%{H)|>XE(VYz?Uxn&t6@Ux#=CBtCm#*+pDKF zHeAxoA^yt1CJA8A}%Y$>uAkj#=U+ca` zOj)rTESq4LPR^^Mg}t2zkEK}3j}?cN_VdWJJ;`gV_7odEHc{Xd<>{l1(pfyy(fu^+ zTT)2>=TH>w7x?n1Qk79MU0A!7bED$P3{`HfA6_*luLE-a$JCfo1k9()C+$mC!F?I1O^=_$hDTN>IdTTwnitfZ-rE@qB>tmm(`SQBP5fS}$ zM}tt_N9RuXSXHs?>v2aiZx(-+0noYE!85W{Ld1?F!2jXT{UUIc*`4C!J@EJUZ^7;5 z=1@~F9nv08p1yOkflaWH!R;Sxj&8DfhcQ23JOES1e0J>jl z!3=Io&Ix)f zh+YDFU?0EMhjWpj{$nnwaa0N3q`)`+44A4Yp!8P%Me}YLsdV{v%`J~IBYwqFAtTk^ zb~~oX+j@I|1S|gG){6VvRO!DdTKH7ip&}B}oFdjHIl~y9J82fi)0TnumW^R z1bv@%c0L3=gz$7N-?{Wh7B#|0*rEeGyS*s>oKfUKT+R<_zgrvte9~QvM`@8L zBwOc1|9+r6y#fD565a&VbGR{GEM|Dbwr}bBDH`v7v+pepH5kn2*n=Q5&m|vKqrf6?|i%i`&O6VawN?J zq;v#3EnjRN!z4=}!&khrutQs#%d9}B|}FD_xBVBR#@ zBHw?>ja_9lbUz@X`#5B!(SZ)<@(O~eL4HXpx#~0d8gw*}y9;5Go3(KQUiNP8@264l zPOa~+F01ewWTGnKBud;S&GLfp`6PU}BA+U1%3e&rVc2uHGV{(cB!slJwOLrnLg^t@ zUC*X%=yBzE`jlZ>Y>E zaxK*tTu|4>yG*R3;lY+MN5k4f!wmfb-rqL`7)O2ng5d1p#7BEM2_5&3Xma~ImP=V- zNeu6DFU5cP=&4;pgxkY%|1fTCjg`X9j&BjLj-F`ShfbP8a?k#o8@;Ml1c;Dta0C}Y~^bu~X9@0HDZ!HDwibnh)@Zdl& z4j|3vqWk9HEx|t!#;9f=GcAowrf;8ENVv(bECWn!#4#+Vw&3YnD(e#3*cWknG&}6b z)!1K@5;|P@>GxF^0rkf=B)7UAWr=`)dsh%`>?OxG9H<$+tn9}&KH7XsN_%}|FDzad zl`|NXi6EEA2U`qCuWH=9H*jwasvZUf%-*azWDO8ooa`a#PJpL_++|%*LM6_|!O;8a)BUu`7epArv3A9k7MN!dY;5;)77BAfc#_7WcDK%bR@_&$I){h9QsB zuS(7Jh7DvR@{%c}7T>#tukNX?mOF`cUv*+PSDJD)i!@edhj;Je{U>sZA@Rp(Ys=!i^P68pe4RHB*~srjKxrUE^vt$9Lk;Vc!`Nogssu`I~ZuXhAAn)uSP=@@e@YUs~brl%?j-TKQ z?$rn$ENuNzr8{yNU6v94{Gh(|M%Iv1DqIU)9JAXNm$5N*OueF6>`OS3?4~}W!c;GZ zZ#Mi4-G@Y)F1wj9lu>G!me-CstJFMQ_w|?j2t&9bm`Omd&5bOhjXMD%JKp{-9H^Ol zFm{gYrIQw%W;(CDF3vkfYEMo9r!ff=LwK^bI#@`tA}?kYT2nPqLMj_FD42V%t`@4c z*%r$JB|bd?$n3N95_UX1DF|OKE&b=a_tbAJgh(ER0NMFN_|nv!xr|M%OGO=jwiwbR zLZXO|2}vJiV8%Vely%(2c;Lop4IyX)z#pv_r1;iiQp9rtHAVOe@Nhyx4weV<*L$gsc(V!dP%q#$kDXJnF_)Fy^@9cjC{$vW>9{ z2$t6e$J`aQa!Z_Au28DbRE#`$foBC#JN#x!lmorEv2r%izT>yh`=g20u_YCHWZ)lc z@WP*clzWhb8dNs>_)1t8h?$UY!^o(MrTd|T^Uh9>B7~zPHTcsem&4AF4-t-r4}R;w?n=jEnsXi8=J4%YrG zx^Cw#J(+_t(qKzB!YiX^&6>?+!IkNJzTwHVX$lD)3}a8s_D>ll=w37IXMfRPTRQi& zY#G!NhP1>hxBq&KOPI`@5iDPwTMWf~XKxsa)j9kzwG@^>*-l= zeXLv2EihHz9LUQYZsoh9;?~{8|E!>i0rTKNSen?;{;Ys$-jl=jCCNo=+{dQekvDNk z9_$}$j6#ZB=LQoIwI1P2vzjI&%5XBFY?P{IPP9QHKZKSEK#eo)ReT6Ac?}aGkwu7! z1H9Kks~;#%-yH#BUPAheCxA$7Z;5jhXeFli@h83U%3Mo$b*m$KD1c-?=Qgn0ywR~sog8M!Ta+H=LzwJ5s{5<%YLd*n@cth*YA^^B_?BLHO6{ z=7Dwv{Oy~ipW1yVCz7a+h>ZWk!XhT}k%8Uc)i>9-mnn?0Nok;G!30T0V=#}9mL^K~ie1-nZ_&R(6>iBMocT94TtuoIu2z^}}3_mjV8v zXjNhM`JlLV_JZ$59ED@{4{A1F_ueEN{JQUY!*gIa^D3%(q@+Ad2&=Nka@!yd;Xvxw zM|44yMvk?24rYM(->jI?H}Nb=)0z#j15_bf{UX=g`a|{s z@0_YU%^)->Wj?u~3U|)%oQa}4q1@iue0$K+UE2et+c-wf4`(ped#aRYV7KH7Kx5(z z3=SM12S+5KU=&adfb=KGPbEY}aQJQbkFCbrP-0^;1ux5^c$iKR9zGyUSoaI@pZ{7} z#+3%qcKFUN4)=^zFb`t*IU^_H`1K&PTPtC%Ly|J}90s1zSe>-mF0j~CU4?UlVd+q2 zx;wE9?=>KN#Qp9|Xo6hnj&Apc%_kE~7Hh{H>c0-ZDbcHVhXPCvV7rO^*n7*1y;aG^ zMw=2+tKi17^h420;Ezt9Gu(gc3p<$h|NeWZ3P~Lz>zj5~^?>J7~eNN5GL`}*3y;CN|s zwDNz{v$=h;*WDnIkPvqE1#F{+)Ys(btnPeEKP)e|D&cMX-c8>3b|diK?nW4Y-Yry*k@Ih-V2a$WIutR ze3IBDQ3t=cS2PGOqZ8nlq5-oYrrE+8XEFM}@s~z;?6NXQA`Ap$5h(IIjU!o^D2=qH zKa22_2laIZsxkW?CulGZW0|z3d`x4E#7CjK2b1|5Cn+arbxW9s|E7k&CIn2EF=dZ= zc|+}(mroguMZ1dgVVRkHzpgg$r9uAKwj$zN+MzWmLl1?xTNSeKP+?hgCilDLF=+gz zOWP*_wYSgE>rWk$L9jo_%13x#4{_dt;OcsAVVFob>a>19UQp(y7hYDy{b1{wmPaLq9A`;J$Oi0B+xG_e@g zx+5)?5z7x_rO4Mx1ipjfDOUImvun-agQ>ax6io#ScSce8bj#@9j(ZdB=D!@b`$fs3 z$A&wK@f}=cR0e2_z~!111w&3^BJpTen_12*^8GNy^K%eYqCI4i4?6M z#3Q2qg~_{!As#bz5*-hes#qH0K_e|O$FMj;yBxoofLu;Y?Q6B*41sB*Wliy`)V%!a z8$bS4$82ZIRE5$*F0&S9%3Oh^Z1+Rz0;nc+!e_NjCnz~S{ssTKk}l~R4&FD0%F;j^ z&&N+L73L@L?G-{Cwf4&&QLcFK3S&qCUeCeGBpSaE3Ie(iM7ysm4#`UEzkLWZMBEF_ zt%H78PX1eEj*U`tjIAJJY+ET10)(-2wGfOXQEVkuW8E8bmEE!`4D%W_cqb_17SBd}yzrLiE{qFFOd7-OSy zy5IA&3Ln@1_5Gb0`!8MJczoKN@7b1oAiq9g0bybaRwIQg^W-LTX^p>38m5XBa}qD^ z2Bx6IEEuKGYQ6dXVCLvR_J|SV>kVdm!V(tvoxSg5m>*qut#8ODZ4)kBG}vGQOVx*5 z19)Yk+P7+l4j&tU3kwVB2^8$}EWfGw@%j$U`=ozbzZmsUH-JSex*0#q13#O|n2fmz#CE~3dlfy8aj;fB}9HK-Qt+r+>Zqp$4#a^N@ ztxvwf`c4TyhZ9BWK`E>H$=8UNH#U`$0WMLviR43l z&tY$(BR|5);=#KDBGw4KOY3k!nyGpn^dg6E^{4Aw0LGu_*TirZ);)aV86f6JK>$)k zUpuBCpVX&NRB#+q4y`Y5%qIR=Xw|O{D^%P+c}wZWr*vMcS*wi^xQX<;=V@43>*@v& zpUn_4fS&+-ggd~y3~7RGvK4OIEgLU-O~jfC_#LnOE?~xk%IS^vqhLwjn;+apzYkH@ z4z>>Nr*>8Am>p8+$}4kRa~{X3(d6fpGauNUMk9`{Wvg8iuEsk z3*bpco!79n5@}X^>{K-DK&%}l6wO_veYeS5cc)#*n ze0uRU$eXZckGa^r0Dl^3@WD?g7ZQb@pQ~%W6I?u&0$z#J@7>h2ZxG@zw_ad4D^`o} z6y(8Zx~=1tnv;;{s{u`QZ<1E-=o~{&T||vOv2AB+bUyV+W`}IX#C;?W#N1;yq`h| zg%1gv%y-W!v%MCZq7g2-;GF0Fx0k=~eSC_7pcTCn-=AwV0 zrEH=G$rZ24lb9cNRtLhsvy2XEiI*wuE#^T1gy3r)O`RBnu%nLTyEwF_lwMvI_R7v; z&&aY!OmgO;|EdoR$ih#_qe)A?A{jMq+y+~BmXL{IZ@HfgSm^Z(a>H?c< z;I910yqmJGM=C!RZHkHwbq`vKRwWN@N(1_`|Eruad<(V70=88a{u38My@-&if~>ik zhFWC-`~n``g*%^0v_naNXR9I-f^X{m8I3<;l`uY?unQgT z9`hn{C{4Vy`FQX9PJW5-WXvN0W^4#`n2k#O+LmOQ4N`wVu;aYZ%0LLj4or4vJI7wZ zV&H&L13KgpZ%$hFyF<}J?J<2ut3opxO8LD~laX|p2iTUImV%J_y|Wvq2-uIKkDO= z(T-XJ*q|cX5y1wq_UItX>5nW~`#ZzYo>)QZL?C#usn_MwanB??Nti>0f|7Yc7q6t_ z$N1R3G+rXOS^lIr^7vRu#F5~r;;3c~tSpW0Vq6Y>M5fVkbbIY0gKel>C5u+j>Ps5Z z#&c(oo3fp)sJLmkdNlh%I^!Yn77Kz06g70)LpIOTk;#o-nyPK>1B2Gz?PvYsonS;pZA>(R{q@gX=xmp&({$WAOx6(E$o$ixJzQ-FAYcKq;`Sb zg%>yQrprPWo8+e||SAzHp*>k0>P z2Sqgwty`Yha|?xoM*}qgPU6s-J{Vl{PD%oP_yRS)((1|wno3_$!LW{6?(e24EFnG^ zWd-sjd{z)5bB@$v}lw4YhBa zizoy{_&RHuYdRT{qhPCPCgZE9f&A|7pMq5nb#80yhq@GRyxwu7T0wfLA>r_WBCN!l z9k{-teT$QQvrIc4lNYYbQ{zB@>RB0kt9AVCq3J(XV)?`&pN}};pz0)LG)E*KEHt|- z*2hKQ(s+&3#~<9EdM<$0W+Wpm2wgQSk`o?ehLVs%*)LOS2Nxwbf2u*{IC|Ud00@3R z;@C#Hx4V)#F!*ue`f=A#mp@u%N6EC7`@X1Cl31dsTb+91gTg#kq0GQ!wH;6g$d5Uo zP*N?3&6jc$gHmYZ_Soh?v9GF(bIu4@PWb{x95tW)K0F0^&;d_9tKavNA6m=5wRuKE z5*=9;%3$|E!U*Wg-c+pChRiHL*VFi8%#7zskP7%tasp1~@iYvN<d(#&547~ms*HUQD~$WLO z?e}p=n|g>F)w!*dKzCoHt;5~VCYWyNId^c#_$e64KPp-jPUmRJj9H#yBG_-=xV#`H zr4wP-%SWk-?Xk!xe?AxwQ*5`6e~#W|=@&a}x)U+T9+(*6{hRENqGxEE_4#uAb7+Hd z0^C|ZXt`6OSZ^FyH%`75m->g8D5M`zC)YgK*J|tBMAJ;N{^s+{Kj3_K#hSbYw>NLT4fzsEhImr z8FREiUvpt*B-*rsJDiL{exZK2(THvG;V$T7J z$Vd3HLvq6ICEpKR9s(6%b%9Sw{m{@*cnmcIn=gj~rocu4$oEKPgX65{;=PTCHB*cU zyxjFJn<;Nv$SeQ|H1}X&J(a=4S3v^aKQ{(E8XD@W7Wx_k-PB?TM-0_+Dj$PIH0Hb2 z3Y`}PHnC}H_R|>B)3vb}SsES-feWDm-R$zfCW?Squ{tUMLpMlAD90E|@yXRRhJ~Y{ zZmFNrAzt4u9bv=%uz1#bCMF)Sy7JP+3sxQ2q&H#Q2g86StA24xD2iyvtj18YfWYCx zt7GaH$Hcu>1fT&ioy& zjSO&3=o=2p0S#J)nJASQ>e^eyszYHgA6q}dLPJ6fCCP}w0r3_4$?OsVk|~r{v8zEv zl^`t;yggkqxy(|IUC)qlkgW?=)?mM_Th2C6R300KUIoXAM%J8C$%|B0rU`T9SC0<_TSOMIH~x4v|kuH zgYKZ&6%9+y#2~v+#P@iILU1)8@7dUFHc!|u9=3TyN~O~GX&yHQ_qdO~Xt8E^{H87m1N3tkwV6XUM83Z6M`KcJRK^?dP zPLhVmcoU_Vt&T-!OoFhUjqjKDwrkMi8U^L^Pe^t1Kaf_Pkk-O!n;;#c^2`_( zJznuXMy18($G>^<4;3+TyneCIRxZtEjpEI4qSz?(by>(XbO!qldH(or$co2AOSvn3 z{Pb_s{VJm?N{&klS*6t4PfSK78>^PeDTPYz8t|jNuic%JXX&&(p806bx9{i2%J zKo%V6SHZ`7el)Ywrl&5OqJ8x5c=K+a(8b#glks*+rO%+!GP5QsH%(M_7_dMsi!-LE z`!)VJWbG64HgT$n1MOtpxZ-1%jGycI$!sieH7JhGnec3a#DoaKAS8ujif!We=d9TZ zhn4=I*OZ`l^W76mH|aV7H+d`xMJN%Nt}bEoypMGP-=(zqcC9Jl#8oi%#Kuh^f?y~Z zU;G*mz+IBgrINmI&FDQcp56D{)#Y;<$&7A@nLLbM9M3jg=FPb@!h8)|_}l6ITvdyKRKPd2!^{e1HApPv4Wg?=Na!7qXnT&o zF&HQhs-jAD^}~uJ8(MRF@a|=a0100-vFCj?6&}!=C+vh1<0$fW z>3K3gS^dVFl-A~ao=I>$$pm;^0WCJ+cHBN|c+TPHk-FJzurjx@xIS=|&_37WQr(k- zJ;!Pq18&f{t1|OF$9H`mZy1i*8Urr$k5xM{o9S9a!|EEj$-qq07r*O_4GH3lj+m#n zA4#$g_|{204+cs5W<6_azKeJ|rY^I85zryA#L!()+r}XQ+k@5a!Eig4xYc;_CAduc zk+ZsT_gtfW(B4;ZyQ^Sc>Aai2@^6~G{A=Z3&iiQIpDPzS+bY*PKbp72`F7Q-RVSo0Y$>{B7l%l_gGL9zL&s?k?w3&ck!JI*EB#Du3bpR@F4e zOLLpbf9klkvY@iO(pV|JH?PaFjt`y*#+zIDpoaaVmt}J5=b0?@_?Gt!s8C~{dLT24yxKdE9EPPY4$K`& z)R50daOYL1~;H6#$~{H3wXO-z>Q zQcP$RSI@05_A7QBtT?Uu*b6EC;kn{{bB*9x=A8{GT>>#l5@@v&82GbvrYq9(4y>O} zn*bWTqde5LcTQ@FBA8)_8ks=z*DLHA6Ve8>h| zy5rBe@}z8gc6H5nzx!S3WqSsC0`rL`JFbFo;ixX`Z}c$lpnqN7P=A#?43{E_RQEBj z+caSg>ts5a+|Cj>c7_CWhah&Mij2w^AM}zRr7ngG`Z5bLhlH-<+Q3Q*uQA%S$*@>m zITTAkSz}C!Mmsszh+?u~KZ@wKzkQ~QO5f{cRf+jzPJ+zZ%j)xAbm^#gdKPoWMvi$C zy>c*-{2M+mk^JkG%7pQ+_&nhTg7C0k*RbRgBmuBOQ!(Mx8Et%Dn+)d-$$RJqDq||~ z2GE#rG!5-lb{#&mQ>I0EDTLCtVU&eA^{G2trRaX5CUj#vIh9UJQ<)dJ3t_XY;?W}m zsmIF1Gv(+ZvZ2&%Re57Fmby*;;m%?NgUQB(7_l2yD|Q1d874|a-^vSK0u!Hd1s>{0HAz8r z{I@66L3*dlayu`YGWtM?Kq*u~;TNv!&l}oR)>J>gs4;m@c;xQvIU#bosidT2Z^ShR zZ?^jJOOw7iHUIuu=f6My{`{Z)yJYcK)z<_!wkq7t2@Y%(-e2vtSrscHL;H-tSHU*KBGFUX3Y8YYS1-D4`F+(;#{wRJsIFI3 z*Lu)7Ls1X)T9UoR19&{O+vRd~?e=MfLJ{G8`H$g|B}3ZBu2`ue!Ecp08w* zC4<#Z!J|_tnL6JC@__(2{;HRi+iv{tqkfNwUU>{VYdd$_(h4_?VB2)cQwaNwa>uP9dKRxOvm>_?-f>yX-yJVa^{+Z6)((z9+1%Z7;&L@b0Rp47c71@>p=a>I z=DU@{pP_mw^jQwBwo-R2FK=jSrdG6eP|n#Ej)hjezT&2vZlV^nKeDlXW$T6w_qRXN zIxF=+dEJKgw$|A>>h$H^Yqzd#%h9Oo7v51DEeE~F$0X-8$n+jK8@dc-N z)+RAjKGM$gVp(n2d}-Ff{31{u@xO{lr8rh3K3XKcT@(|aD1owxeMu=wjD+GZUKEfs%LL>F1d#7~t# zy?9ZDU@r29>qZC#l>D4~BrLkha6J|Z>z4Yv35AsA!qw6Pb~Q(hn67z&Um>sAgyoq& zAp669_Z6PL$R9WqsPTq;hv%RMA?(Lb?bf-J-jF~a;VZ>|z!eN8M8-a%*(ZnwE2ZKt#*pdU~r2Ddr9`Qo0N(?S5^okGXz}obMl*ld! zZcWR+!Jra~epo2-M8qd+I5!;VQ645jQ>>_&DK0ljcP9ZN;q6C&UhxS{pWqJj62mI` z1TGC!QGBydR!PA<%1c(DVT#`#DCAGE9A+y9YJe1Av%Uq0OO@REmTD+B0nw;4HW*S$ zID49o@a8y=Yq0p9sg7?JQfcB_YDz6Wp{Dx9Y67N0?ni2)TP^hrGBfEoZ;qt8#8>?t zv}~U>ZFPM4$%TcMwAEH(wI#-f#W|V~oUIpl3$YrON+tS%jNh!o;>{O$K!{kBQnJXH z)I4}^mQ+7DD_az09cs_{8m9wlp!q9wBA)xF=6Yjx*C*{wQ0a(z0;oS2Ql`k4nFuAB zhk&Vas^42O$k&kHKtvvLC-{8>VVY@qF=W393XOe^1n*CE_4=(x`EY#IIbN(OMzcIO z#rKdmzADCxOXSKJPyP}5ARLQAeWb6?p=lxWrdG;q6Do>x%EVoogPm%mEu*|c+tN>K zS}Of1etR?CrPMVJ-Riz1tAaHG^}stlkenF&P{ZMlQ9dcw7Q3}AaFt-yAUyJ2=xU82 zz9Z>?XmYST5R7j@apVi%f7XQk!%4843Gv4@a2f*r2Ubc-*1+jU=;d?AkQy#$m0)Y4 zZTjdB71Xt8vC?(XH(LDfMCJeV4_AF`lKbFTiQvHbgzw;NH_peK5vNa_-a_-1Kk1$I}`KkU2P z3YB3L;xNqikkoH?PGQ!`n02V9AD@6QG~P|T$4$n&xs~|Dj(E2>amMnAWRavZbLaZ7 zQ&YXN zCr77V*4nmZ;bZMo>jUeztZ#3FG^AoKHWiRmsPn~AwIiK1jhwo=Wl_it(MpfJ6jlF6W!u6?8x?bD=Q&hw4dwsl(`qQ0@dtrbJhuh0Cc@}DXm!2YQs?duhf%O@c`45`6TNcei@B4(&P z`_R4seng}0CqMrGE;SQxH`6G3gsD*|-j>s_F#V>a zF`WmUvoKvMm3!4BgDaq(e%~bJv1a;XlSHy<^hJ}D%PQ$K^Hi?)Thka_Y?h%_@~>`D z!aLhM<_!^$2bf_1|Nofq(SxS3P#D)?I{9~n-(aM>W>s;O(loF z38zwQAD4+9xmkoj)vQ6yrgNskKT*nJY?|p3B8tXzgdz-)KGze%F-3f@M|!niet+KH z0lz#9qCgP+Q!Gy;ZO`iPRYeOdo4BY}kP>+o+DqTFO(7Wub-N>CDL zCjx{AiMu1ngTUw(@%Cxfl9vA|--46ShoDn^Px3GZWUK!=vqQC}7RTTD|6Ph_DS<2$ zWk_ziWgnIG-{{|2^ws>S=|=wKc%Q$KI-QtyBYQ&qzw=N10r4T_w5g2hlW8}SD&OtX zzRaq8-<}5R#mu>bd?#8n^7Tpi`fHPQYgap>Jl&%JMa-r#H7KG1v@ngv(xe>7ck+uY zqkMev7$2{PX$n&!>_#O0^Tbj-zb*klEad^-e~HzoLi;!IdP|tMjPYn6EyP>S@Z$b# zwEUuw@2$389~M{(v;0zKV1un;e*4>k_(pb$%Xx@P|B@sG;zt}=N?@UQz4yb0ocQ9f zJYblYb5&q=d{v0Ao8owg{}R`~;``?^-_HR24aT40efzTk-SuJme3!GQb`x-+sn0Kd zmg^OoK+z!8VIE0mAMI}?#NQN}M|s?Y?PKv+e2)ME0ynUn6rCgx3nfzvI2>tmFr-BG zseaIkqp55~t>SB>kIqAH^F`aw9PT*Ii?JfKD=vUt8NfIiK%r+&Cd{KY~nNx<$V@r-8zB_lHHNvw>K!ujS zq;&tCAC9m3l&4hjRj2sIc=IV95xJm?n%?zCHoxYNU2HZ4ERwQ|)uS<&6=Mhnyk9|H zm-zeQMj3i&U|6b89>MbyQp1sNzJAck|mudzZo(5p%!F^Ug>xOqKv$TB~f*WfEv&&!o%_9@l_Fi7-i}* z<>;-pu<}mvA-PDYhlWCAu6QobI~4Lq!+BoOQOv{_f6AkmHCmf_0f_?f7v>`O7yvR5RViKsT8{c{S*{ML5~(8?Hl4DN+oAXuTo|j zs|7yOSOEwCrb*t6)a?gF&@O$#W=eWst+QS{kdJPgd{jWrbT*)ZvCv+05(1;}*HjuU zmIaP5k03_p`qry~OiP510nFoX!7Lt!^;NnZeCL`R?7H6!qnDYy%EVUrLGFa$n41l|DrxW`f4QW*xb5n8I3Rp7`#f$-&6VxWmHt4|5U~)MIYKAr2S=_f;1Bxw)A5Uw0@w3g6R@ps0OA|k*s^KBIVrpI zFnY`3uSAD@Q!Gf|H8W`AG7t3IeDmbHVyv1=cewd#`i-*G^xSTq9-JvnV?UQN*niL; z&XkmF7}zD@>_?<{!)_w+O-(xemXkG_XqzJ`83xUr}|Bq08Ji^zCi6weWW zX_uoUCWzlEiq0|$(TDXy^bJ;sw&{f?0`t96BoWTR*2vFVzEZ@^89`}=x_-RM9#nJa1FI! za#ae-Awzi>SfalqQ1#WvC^!OPwFhsS?>@or>o@G!c5J@*##}<_IkqNzp6fYQ;upj7 z0b~a(U(;FoIEWuG;MC|ffxu5qM*7*Sm*cmak^()#FA_g;6i@mKw-E(msRI}){@nqg zzSKhzNZ4VA*YvS~ZpVQ?o0AYXmL=Wq@X`gA>7&V(cbt=KzB{93AMNAKb6Y5-xpFm8 zzBIqH8{K2Rl=$k~rsTIu(emA5!EJ2Wmpo*p6Gw_^^!B-)8n9M}>qa=zConX@=W72X~EvWXX$R12yg* z0Z-kB9+mFoc7y~(Kn$Eqd~p*bEa+`0;u{u(@V7_iSlBcfH8)A&eVqy4MoSWH6@*2m zG{g{i0=T%OIB}$=SO7hTz=Hy|i2rE`S&)y%Oo(lV^2NIgkeB+DPo)v^BNn&wVVEey z4ID;bnFXdVLSeI^Mt)f;A)lNL@=qC8 zFpAw{v>XYe-`N@T<4ec!QcNcpN(sz*JFi1kmHps$OT{mk5CaaFEDdp{1N~uxaoi*A z2(cLeCWdAh4CB6TMm)+SkC3HMNF3V82u{q9H=aYS7Q}T-ycuG1EnF0$E0`{5rNK0Q zV{}8tIHEN*AR1^vseu{y>|=GI@H{klxN>UjBVI;80|A1>!52z>WT`w(C15j8Ap;5b zgNXkH{yqVuAkrWPh%!=h7=U8xU8y(Pd^PB)ZoF9DLV?*VQmc}jiPhZ*$P$7feca;< z)SyRP=mZr;cM@gQONcL(CdFkl27gtW5ObMMpOt|rd2j=!JJ1iPrmpCBcn>T{ZQwyx zbrBB9$WPR;9L^;=A2A~T$-)u?O|R4PW?!8w+d6+bS4aPP$&A|PSAopie9N9 zKhOb=S$=>cRRtw*jQ$}|{zZLA7fWAEo#N?}e0*KR=#V2|)a@Ze z4>t(|3Lq9RO9u{qdpgV(cM$)|T7zEsw~yXo ziZ7>V^xzNrO?M4A&>1eBEXgnx7JzBzqu()-pFuVGS<0R)o5AX(9S46^ip1f>AeU+@ z1?hT{;xCv)m4Jk`?<+P9vXm*4GI$J^-?itV4K;rb9b_#|jDhqUf)P|}Lo5IN8NfKS zu4fn1CY~_)QM!Qk>cFnJ%qwiGnQ#o6p%g-I4`jTi(UirD*QZP#ZbT|FfY>juMc)?; zu9sC8oxm=9mAkO4GRBvtj0$v$>=+4n`Wf;<{(=51#&kuXznIAp=n0zmOiqPNU_NAF znV_8L{dt%B94B~)KTef6ME;)PZO3+Sr1+mTZjq1l9NNVViH}ogt9$^o+1Mg9&0ahk zThZFF{=sf)VMj-M$Lwe8>lQ4&a~aieYxCk~Z*AM$+OdUdXx+SJecM`j6aInqn;+JH z<#q3OV^cuQ?T>cc-zv9LUu(C@ufMcDJN3HF<#kJ*twZm9zwy@42cB(M-yv^gcT*2O zx?#h!OWS2M&o-ksU_L^%Z)|OAeE@2=q_CrxbUrKZcDHt|-@IkBzUi^n4yv#gzLNCEn^i3qMGIQ-C@poAw0IN${6t$)EK<-vU_I|rnF*9Y(4YsyRz*vEm8wO6 zv{ID-!GWnJN3oyP;DH*&{hU%UUq#GU*Ax>=iZv%#EuW)%ASdnf!t`rw#yM7>R%I}j z84XpY%qnwkRpyMctkdkYGi>%vGjn1kxfe@FwQ5p!dcJN|AINO-YdaihlkQNyZ)U+% zHja`R-}`e>UF+O^AW}=J4*AC4kf}Wm)aT7Y-!2VGKh!GUn}vQt>luOchN~X&AiwVw z!o&U~ozVhzx+aX{xL=&e^M;&qZ09IOYKr4Jvg8I7mFt{@HmC({-v=iEk<~cimA5HJ zP)a!!&zd>pb0K%5-5~OwKpz{9N6xB56@YFpNsMylcJ*)1%_Kq#HZe7>b-qAWA)8EnY@Gfd|>;1dF zyze3pHgyB$SΞntN*H8v%m*ZKZS`JObY5zlc@Mw4<9P|%|waNI( zWPA;JtL{x<5l_R=TZ$pN>oFRQ&_!&WgF^CMoH-3cZ}%2Am(y6CIUR!waOzr2ESN)| zsr5K8p7B&71=<%g_hXR3hHC*H0RUipRj76tFaYq7_>J;m^i%_2P62?2gKBH2Hr^bn z4d1BST<-p)wnhxka@I10Mow0p3x>S%>jWTj=tu7sK)@JwYE8`=xr40LwRIJfb>ciL zjrI3E#WZf;*F{|hk~_onT{iiV*Ym!f+7M#Js}6fzj@pg$Z>)^p?zVfSR}U7<+8VjM zlIe)w4vwV%DQ3;~ecR;j2N;%^7PyBEXQMZmSIzR8t{5=UJx8=6G98M#YR4zF)^M#E zPtE-V%*Rq(Cl;4;E{C}el8vG|Kx4_PLpz@Zb%-Otf!hEDR0H*Qm80pWa8{PP6?HIk zv#Aai2CND+!yLqam?Ti{#_QtU*4nx&X#O%+r({wvhpSHd{ye*@#-Xfh1h9x^{{W}h zKY%W^EYT%t21r$xq#h7kW(hQ2=ZRcy>e}m1J&OS|2E`|s?fa-pg6Nqg{OcLk{K2JkWCz;~QG#mByWC)94cg^)96C)FrqZh#TucA4AJ`?D7u?#`jN#0qgON zAcj^jVr|a|KRR|1tt0!!5*ojCU||ptR@IqRC(PBl zq*=P;Svq_cI&hpe%NRZz1_=bTcwO`?sK7BZdv+_b5BwFcYah6UU5&9VNp+*O8M+az zOV`)b6+s|y?B6m@*L%+R?=nYjH{3aUEj5&wr5Y-(2Wo%3Ib)Prt={y# zMv7L8GBdBON~T!Ep&C^2u&JY}kcGT)MZ-o`A#-|@rc9`sH09KYVUw#y3_FJm{*9_) z&HhaoHLYsGsPp5CAP2Gqh%Ens3)%mhIBL|`Dr8=8V$s-9RmcDzvJv<+Ya;OJ5k(_M zRUt!#lO~L;nlu3!`Wse-u0oF(G~_=Mc|S9vXyS}2WQ&hAH#oT}Vs)^vXmU{%JboKD zrg(A{YwK^!gi%$RQ6=^xeG*CesnKY`wT{ zd$7Ui(>-f8M(dt8Z^XqGCR}%3jo%e&8>M<4A8!wqpAQ^2oUad9T^+WO#?d8fSI#RX zO4+j%kw5l0MIwf=l8P#h6OO}FvQezWLL#~HfmoR}Y~jLwVRSTp#iG(hGIY|yfJIux z0D!WI0mK9Ze+77CNm4=w0h6CvJHG^Z27zbvyme4E3c=J!&9A0{#%!DyG-`v!yQmgP zP>aC%fGw1UJ_lH-qlf6|UW3RAzb&EtUNx6C@tx_0RI~dZN@5%Y}!3K_B5?h6T`%6l9)IR z&S0P!;Wx-bY1O*F(4GN)n*4YWe#^)~uVxOH#}^1iVu>^=S|*QCa5gu}OHTJ;4}lNY zNSTouAvz3hL??47x}_)D4~a;)#VH6yi{r?N87VZQJ9d`C3E*CSK=b5GZrv2B$i z!v)M4t7AAC9z8S%t(f6{w^D{BPe5WhyBR(yS(D`}ZZKEq&YJ06NiH|L;f~a8UvZP! z>oYmcnTXx9JM-jK^I#VRQrOduC5}CFG@SSfM@;0OCuZw3cAe~JbffOIa|w)yP?2@m zX--~yJqG_3#-;5M?&H20)Z@PCl)Tb*xBwmv1^;_G@PGgQ_wRrI{`c>} z-{mw4%{ot@scpt}d(b!MUytQsIM+9)^+C8zei?b@AehvM%We=vwe79`{hi=Wru;0g_ z?F|aUFp3T4=T@J+bNLRFs^KwdwZCN>IXb_Y0!id@8>!D%zK#yWf~c`1pH=W|ubatN zvm#$ZPf2u>VUs(DBEL6tR%4V=W)p~QgYs;~JlpiVFopqU*Ax&gKAYygHaShtyS6dK z#VV665J9cTi1u5Ac4d`D zP~N{V+8q{6jAJwrb-Cb>Mj$#{P$4QpA|rV1zi9KV74$fxwc>1nfi~CFRqJT8kOwkc zIA75oQO#{_X#fpLn-F)oUcVD9h0UUjJ8@_h9b-e$#4Us&+ABbZOxQCK^iHEKt{~yW zV+9SUGr0t3F#UFZB(C0;P$1;9wTP?eshpFqvgntOo zjS1+&um9&4CRFRg+t4~kT3J<>%` zEv9N>0jM+MX%p~yr-Yu`3qbxC(}Dho=|KNOrURu+FFpTwJkC8X@<&VvLO^U|FLcrP z3cdtwS3-m0Lgj05{)k~gf5fn$|AApaaQgpW7#2iWKWlUJiCCtaz(bblpbF*tHDcuS zjS!>uWo^~@c@J#W`H`(U|G{K`#8w><2YK8XkoECFsxf94WWgUcU+4cnny;f?e6+ZHPpm-({olZ#EPspx}pXAQnC3zK>n$vPBUHt(8RfBl`bhC$NX7vf+F^Yg(E5 zH_Lv5Ow2Ii$RTp!enzH|18;Wwx5c=C^Sf}T3;1xG zB4~Tu$M4Uvai(+s!1nQ1VRI!pSZ!=FR@Em8Bj=ecdo;ovOl`@f=te>7MW1Po zDPxYwk>}`DBfNLt)R zXf|Y*2vP8fu_AG9nqDThWsAf$X?i|#y-#!Lm6PxUgk7biubUd*K)GDFT(>bb@OhR`Pk$pxmthJ~ghS~0B>gE+gu6o5I8 zkrDE0a2sXxr(&ZNg$Ri>-`EAFRIF!o#X*g@QLN!>@8P!^j0Al`RNt!(4>E}w36lif zsir81pnJ^{|Gk6&7xIbsBzaL(K`5*s#EKxULH# zek=G;S3yrgQw$~UKu;>U(6p1hfvj9%RI5E`WS{{ z6?RITIlMe)4kzr);m0_01OjIciFL^p@Jod7BzVI>3}m)C*9o0#Mb356&b2bH#QBui zSt_yG1&;|a2N`m^Bu=+=je2!W$e~EI3hd6+T;hFeC?~x~C`S_o@tjOd;w7`C92v~@ ziK5iI^d@;?RB9Mr@$kdP`9I?b1~)x*TmO_m|2Iol93_VHV0J-;gq~7|N_&Saicpy< zltXfmUBw0`%-O5dp~bz`>PS3A7fC}7RjOV{FOkybsE~u~s~6C#r1*9EwU`EacuYNj zKwlovZ^%2S6ncFOZH<8_{IADm&X_)J%GB|b`)MW=k@Qvh1?OO4t#h!5a?3H=F4c>f zzC?_%3W~Ilp&tMb1>VZ230^erVtNxi8Ggx8LI{J#=q*J7PgCQtOR5+~bVe0pN0V^7 zOk%NaK_8AeR|%bqMWAw77_A;>4BB|Lo1PfesO^iDB5lY5M|zdBj7L#UOH>{sIFS+KEQ?Y`V|047(;_TWJ1wFzh)Yx^VKw(!q9VaZ zh!hlYgot+zNrcFn7N%2-}geVNlj<}pzx41f|zL8&mDIT19R69jIQI+D(%R=X+J zeF8?Ez)@imifvdWCJ|!J30&wB^wo@)>WJPJ^=j0vsNGS=qIA*EME^7T>*&MLr=p$F zH>2C4F@BUx94(h}Vlc8s>f29AqA)IBAaX;iA+N~tR5}VA1&P8r(8SI)7z8uC)8r0?5UXfR&_O0n2N0wa2>>M62+-l7uP|*zwwHf zln?O=L|^xZY9?#L1Eh+!$e?6D|FlQqHaU+-2+3yW5i!Bb#ib~v1j!#YXyB?N>G4Zl z1GZn+JH6}Ox9gqO_11K~GrAEY5n}aHrb?4U6LPjyw9-WJ)@jb!e1!ih7Uv5H<=@<) zRq3I{8qPu_9JsA6Rs&DjaDebo%5a!|JOVMc0W~3Foh~b+({t_h53219t?Lt7+n1^i zm35Cy;*V({4!93==xwyB|65s8tQWC$Tc{1_;2 zw}QyQll~KCT-@5sbx_@+u0+ZTsCYC~oF&%`K#E0G2&mfXVw4;VF>i!sMyfUmu>3!^ z&&8uLzsp7Pd|74m$tYFSR;gDqOVTKwCT$o3tH*%+ObGV7zyPV%SR?g>~S2-1&g`A0;d`^E(3MY!wiQUBhz|LWH z*w5Iv*yq?s*beL^Y#V05%CObglh{IRHZ}vBj1^&Hu|jMFR)9T<>9HXIT|lD0m=4Rr z24ESO2J3^VF%nC|Kn}usV96McC1MF!JQjz=VoH$JV=y@;!=m9|6#SE768I;EvBIgj z+x9B3Ag2XmpM1w2#OWRBh%H5;tL{e|hoaCDBn*P=0|Ui)&sUMk>qUf+WVks|oK|v% zQW_Z~?w}|fOXZ@-rFsD zYUL6WM~YTI0n(~tU#EZ&rQBeOd_X?1r(uJs(6GjIkPt$ySUr;Udl?{Q8DVf#p12&# zx>*gPXU0a8&$QOG>3h&oCuvboYQ|>p61qHMWNRl*~7Fy$O8}X{azQ@zfFuL%FF089W7P4dpt8 zK9m5w*!39vz=i{L^w^$MmjYE61OYBUU8A?f)def|X?l^+j>Gkd4R&&gNhmcE=w!at z1r6-m7oea$$ZpMRD2z@+sdlGVmG5=}_<)0^_ z$ly)Kv(SrU%!m$E!e+ze_F%@looGSid^@eu(T& zln{f8OtZ~?REW7zCa9z9G}H=RG=L?eN*>h6?RFM@E8s!gwz_CMhxO-LAv>L^J8gHP zgeZ4vwzh8y%IUS2AJRm#`3_pkWry^)y>XDU|NWdUE7i~!TbewreD>O6bsi|KcQ!Iu zJ=H)$GrFf4({K?)9MtghGx0WvK{m;$pN`o6zu6?0FG&_g7x%z!_KYs+!P+F3Z%IZr z$>rsw{6$jUK+5Oi(O-}+4WGI|dwFD2z` zQtsO%mw!Y4-6pyG#U2lAlFNq)rTwMREj{GXJ>~9{=*$#(k{VfU@c+#&xj$l;JT{rN zOMYSjC@Gp7B#B21lWXISpCb1rF`sG@$*+=_&onW%*yJMe_r$-ODi0-fnJWJtf7n!c zZ1Od!$m&+-3mtvtscu~y!54>3AotvnX;g{>@W`+sGyyf!J`|3b1R3bH4n z++8-ye_#v{1r_K$Vsz>_qImZBXQw?UYew_sy37dx)F7i+8IZbC;pb2+E(< zld}4!BA!6`SM;Q=`8NO~-r5uK)hOVrRJRRs4bR_Yg?x{{lZ52|VTIg(q$d>se&LDH zEjFQqrMgn{39mB$#4Y%>D{WXT+Xxy(#9T+RYLVH0eek6PxXqdru|6(G>t8sIpf57B zAI6~X)*=p+IW zyg$ZSBi{Z;QYk-cVB3!jZ2SKQ1KTjY`Ts`)+o;S0U-7r*dY6@5B=0h*P5q~pZ9i*e z8>ZWCTiP+*wzRu!fj77Tn2@a!+?Z0mA#VKgD)J5{*++-Z)CdHWB zNa)NaASYyBvD=+dWJdCZQi^$#y&OKfXQKTO>ad>5gv?-Ju*V=GXQC+TtXl71Y&) z9!IO8k81c(3$dTTfFy7+n(lZ&%xL23gHyhBThRUz{Z>yTagcYyZDHSVy0s@qf`ODp zf7J^FBNPKJdTK9ri^;cf3(z`1CL>F*0LjROLc2koA^tNN{YD&GjqOS?C+$Is?yw=f zpgbs*&Q1M~$l+rl^l!zx?c|o8LaazKf!*c1yZ`<+pVdWiczmG<1DOUS5uvn4+!1xH zqMf2+d$B?w;dFDF3!Dy{I^FeTm!Ro%#2t59q|P#h(-P$@1KBy+ zSr!W#z06rAcb3Jlh#XE7)c;v5TNo9A;fNbu2ajhF!aJO+6wbwvDAqY! z>YN(o932g+*xQbH_?PAAiGC4*2oS!Xlr7Hrl+;-Y1w>QGSfmoG_4+1hdg)W#zTsNg z++|2Jyb7TWxW?sDVpu~1o;G(G$QA%zFSt5$Wvt%}QvKNkrb91Dq|AaLX79zvzJUWHJJy^jjkfY9;cy}R%M zXY&7ukz3h~OLv7?TtHOm1Gv1_h09Y4XK4hNdMh9wv3umT+HzAL!i$XHRTo4%#Yo{N zpEN~k;mnDJu8L42==l<_#LMm;M|P@#^988CWnzm%nY=*8c%9gYwbF*PfNHuaV~Yuq zczZ|2bW>1FMZRePAXZA;`fxmoHi{rqhnRXmDXik;w8Q`bhuk5wb%;7Qv`QLU42pJi z$n{_8g56{6!mF%{CRRzEi=&)VquIC(P&KH+9Q4VN2r72*e)K~z7uhJMMbXFslGVmL zElT84YC#o`MXp$Vwr_@|KcVbR$i?y9IiX?27h8QZ%K8&Ay@}|D!!P1ru{~hK$aoB@ z<(w^ZPL(?+#B2~bl<JENWO@aPKDE$$OuKpeUP-sGbR7Syit;vIdsU8UTA)71;srj#4ULGDR#oL*w}N*^DXxmu!^LB`P#a zYOx1a!Vvyf2e|zAt%UV}L~&>%rVU-i7TJyeFvw0r0eV?q)(dlPy$fyTqwAtT>U>$n z1ZvUVp&FgmH4t}ds6z5*l|@~n5ga7$mQ!uvaw>B`M%L_nW8SZIl>Ioy?9$7bU$j!@ zD~*u(TEjg`F}tY3HiDU_7cv{Q;4fze*3nWmp}Yo9%{}ljqw1JNb@*q%+Zin+GoWOQ z7W9-RI|zYRenh5dWi5R|jWeMwZQCUG4>{NzeONPS$zBSY0ctm0UGD;!0h!sA=%rqA zE0(P1`PNw^Nkp` zLNWQUe)86Ys5djD-)D%=XGpGQd|j0BRiBLNbN#+?_1pb)zg=JU`+R%Go(&mar1Xo< z>~|nZ``u4j`_^jr8?~~L{r0}sSHw@}M-5DuW~Ymi(q&2M^brlZRN&oC<5r!l89B$8 z>7Rzsvva5ir)kh=AxEaGZnTk;(^buFZZvF8Id*5}U@rRe99wZ;C%Gc@_w@AA9JJfJ zO4G@XF~@o$-XL#g_!=pL9s5;7Kc>fd^mskpsHIi9NX+oa(nbP%p~qNsy&WM$7>S!R z*drpK2V7^8WzM2{p@jw{z3?zdSw&(6Zg?XV9A+ z1x|F44p|lL|DNY`QBJo~i;)-)Z=sv}v5g5$nbF(^jhV}0^Kzyo<3^i1NE>qlEw?WOTE|4e5U0lY8!mTvu5xCBU*ZoD~}GHVv;Zg`cPqX6y9qM^E9&^Hwh+#+A%l zxabxN8$PUfjBUic6$_qPF|V}b*5IxX);!2rHpfl@Hawm4godls@aAjyJvG9j)#yHe zbwv0+fJuv1p%W>$iWjV1wCLu;t8(vLU z?o7w~qkx+ZPo(R{>y3N>*fk8YkSWP>`ffiXpLC=v&!j^Xi~(P9bDNFdmrff}uRbp@j7*sR=g+g;ZGY$U{#S#asr{RjvN(%)(ALW~|Z(5jcB z6)8)WN22LzfGl%>W?$~FO`ym1hZ5*h1BF;17jnVlR=)tE%?Odl5#wn7G>Cqa?o>V4z0`x+SyFj0C>rpZ4=a^ z)fP1cF7yF>8Rdp5p#?XKivk#P5~U5aHnUz^yAiUEpxZOF!UUpuqyC2mIx4ds1#GOr z_jad*AnlGwN^YiBgi=DI1_1&z(z(n)7h>c3KZIrWL!LP-2=Jr)4`pr72;5Jg{ab>E z(CC6^%8c|Qa+hbaFrqSrX>=1?d;_3yt|_ygYJh-q=fFX4>aR_+L#^3a znbQIK#tSTR8&9)^mtWW*#HeX}W7GHO@?6SgWgDXWG~2G_7d8Uy2Gn(cqHR(Ch;u=g)0C-Aqa+rP26val<)NW(_`=g-EhX*MtbFrEkFrwro84 zLDX!B(xNB0#g2sBy88)o>+slr3mctVXXHKrE|%STwZ9xQa!9OM$!l(_Dg!aV zh@odiS!~ywGiMOJB+u!F;eZxsMx{Aj=tv}iM`X&`IqaDE1!ksueSz+Qud}dvIF6R7 z<9e_^dO@C^?;9&@&JZ?(Xd84xI#)oi)MU8Jp^;o#6_Q+A@f5a;j<~!~G6-#MmR)EC zY$S?dfY^;mFrI2qAr6a11OU~5Bls*Zv?jPcG32%ZFptb~X}Ct&n#|RiL5rv5Kv{s| zalabCzdnHT$^g1XN6QClMGU67Gmt|?3$cvL?Lb@CgqR$2!`QSOLK4kELVyZ6;zGUK zmEJXwOGVmNBN!+)f{5SR7Hv5s#I%7{*v z0QtETCm#4GV%cQMKxINR;+=@`M>sv%t1FCU5e$}FTVA7)xigW|Rm%pb`Q=QS{x)?# z1w05*c}-oW0KX0J*>E(|0z0m?BMus%ze)^xX?~73P@u^U3bANILh~WL+A_y;{z%zI z$0;_EM&{TK^siOG2ngUOfl34T@c_Ll+e$&SQDScxM*0U9+3BYetrc47V_hUwmRoP7 zu>YGs35KB&ZfBckf)UdwqS-Nr@X+3n(Nwkz5xJ|d;^*=p!5fK7f^L=_xB_nScAMk4 zh6_`iH8E&!K;F@WoE;l_5nYv4Z^Z>JE81g`6}kfD$8=e_Rw^>uM-%;m_KF%J!QQo( z^lqo&a(j^s$ia&9Wl*9uz@qf>4`stppEqf3k0sL2Xx;QbwHh5urvqT50`7R&u!xPsJ!qi2%7bpSv9tp!hK@WPr3HyrcEWSPTpOTR&^#O7dyQjOJU_{sTryEgzlJTh0+gDi$&ciYI*T4fN; z_RtN%Hn*jQz}>(rGS0WtlLl#ds`Kq5$Ghl8T|S<`7Ea!6n>>r7#w47dxW0Y)x%IIS z0_0+E=$gxwM1s2kZPYaY7#H*q3#8uV7>(d-1V$_uKVf0wHE0J5@_K#y$i{XSCOXXt zP!Hzi;-A|af@mzdVKBNJvmGB21fpJ``UtgGFbR5jCaAm^bQVJFxXUpCO#_ggXs|cX zo*_BndMiu!Cn|KoHpdk}5{k3cXaQAJ6Bx`zH9)t+Axn^@Oszb?5@gq`)mv==Qe!xT zq-zfj(U&dz0ewM*h6H59Q8Zk5*p+rNRj0h%9%;+V?WiqN*|uZ}6`JAQPH0QDTCG*0 z2KD07jNjYQrrq$|e6LH(DMP#F-oLkHbc0*XZUVjA>8f|kMqo9G-9RTH>PG-koBoX2 zRGt?Bi9Te=#lLW&I$vprI*Vl|hj1dql^%j*BCj780q?!a)eQ9=HO;XN7&664@; zjat&k!J`|8k}q&@LE}X79H+2GBeAH_1s4K5sQk3kSNw6O;loboc-@Dc1RrhvTME^& zaggFpxu-HEHqN*+)+p-w9=*GKC`-6YSsLo$sbuE$&~rUaM?iN*nS8l;G+!gOc&gNz zd-(4)<%w+GCpswl#^4$#15LPXgSC^0X!HpI{o7#r;$ZDa=u?=38{AH}ll-*P3Dx!r zF(uwf|8ubN{V;mAvClAiIy0RXtgXsfhM~(^pueG^ywHrJ(x~d>JbkBcQvPu*#4=hU zs=S7f7%}kRTp`D){x>X6dN+TUdu;sR0{oa;&E8QAjKJcl&8;h-%8%4msE=gU=2GZh zYrqIfaZzro62s8pk&A;*(|-)UP`)3;5VjJ?tG!KSeB8PDJ54GK#hr!h_i|w^Fzt zJo5b^bO?n7+1?5;GD316wOlH-(!!9H@l|GLUr z?+Qrr2|r~_JYRmk;yeYg!*%EJyJ#^$*>)4K(sR7No$w$xBPaXqC%nZRk%)ftQKyAd zRcMU12OIqF$vGlSQcqiQ16Dyk(M)mVV&KbeF#^D5arL$;eD((ab8=vr4}vMcDF4>} zTuXjFxN>2>JCU0=4Sa_;+_JOy)+2;T(F`Sl?LpHb(P=C{0(84HQC_A_AUdEDRH8_P zj;JX_N-T~OR$_!wq`<-)o+u`*pfb#K-fj7#whB{l38j!!@Cl`WRA59HdX(hqm2aEa zYaB9i%x?1SnW`K!8LLOl4lb4dazylF~SD$+sLJ_BLJX8*xt z;1REz)M{Vxa+AgX1CGva((*^}R-b9PDFZE5P4W^K0L<{Qk>L%fM}YZE0L<%J3v&@t zb0zh0?EM-|NO>Odx+#}B(c)_Mx$me|6(%qA5h;4ax8GYU$Iuzk6o1M9Z6Y&J6U)do zv26sih~T4b@{9@-#Z%+Iqc2(9fDWBwu(%k5hR4Wi)&B1W`9B%JenF*?T9_&r{4wdc zQdMT6qYMurrCeun(|LyJJrl78_wv3N`pf_%2GtsqW{^I8@!uETvnJTbL3VvI*47*5kRkb-5IZ&&?(T2HK-lX zZS%`bJ`;FU%S|qHO*|mR9!)Dl+a#JE;08J04sD)MW`cIr2)tGv?yrxdpk>jW?S$qY z)xZJPKG(nj);`xDZ-d9?P27{}&jA#`Sc2u<0@RFH5*e8$? z7uoDa^0gu({;G2ar;xH&Pn_fE;YjKJk=XP?NwO7<_KcUHzg{t4%!q0BnUu{c@D_tt z1>Rz1Ga1)xc+EVuY=iDKGaCH#)I64dI@-kz_>~u>AcV<+EHh*_4HQ!Ft`BoM{g@;32 zD5n#Rryt6WUuQ$`uR-_?LJG}~cG^;4)mK1%(Bk7NJY3CB2yS)8=1?XSjX!M%?0lxm z(1xD~!N#8nPFxDkQCmEf?goHV@7i>j2yFh5@S!BA9+e^A*v+9RNR314_&9f@3a;{> z2vNn)$S6S?T66#b>n_w8-(m+S)<_yuH$KuM0Q*Rj*bd~;lLr(s1$t>4K_Ac8CmA^u zj5`^l)(G{jOmD((0^k zi0A=PAZJpzo5_dwuedX4%!5<`{9J$ysly#Xqmd0c27H=eZWIzCBOmpboKX={sIP~) z$pwEoAel=Uo`=5GNPT0sg)&|UwX;~vF+3BRwh`1$VgvMN{00w~5N&AV0?|+#=?){r zQX4!B@-m-ld!y*6h#$pL==-q_V?Bg;Lfv5-JfSvDwV3GB>40}L&TX8Ui#{!jjZ-V`QH-|WG-Ej3sLcflZM6Cbl7Ka0wW2Mz048RTBj}q2 zdhSu`XfAaf8$TQ?9*zwgflVsJ#*W80t&ct$3=A4nolk@wg+F`sS;n0qtx{Xmi%US5 zi*b%>}=m>& zeZgas4knI|O9A>1CuWZ@gH>!6j z@SZ>=@SUPk^7cBFaCdR&O5(12L+^FQ7^}`!a+*4Pb6+Td@h3!>MQw_;b^Ej+o)w_mC8wMd zmbS)czrKb%S)#hWf_{8i_MdYed4A*FPkvZW=Fd}&IACtI9oySX5{qm{4}G|9p5a&$ zhmVn+*3Jc5iLzmyZ{M;j;qwef&I`Bd3!KE0OX5U}uN642d(5>_%U+x=FFeV#ln(TB zM)NOOZjthtoDqV__xjJgH)`j`aqmSrpFH*Q{Oj{<(&bmVCiUO%pToYajLB)aJNgs* z^ceoq1=)vQYpwiap<>b2x#Ag0Uau6}qM!R8&0Dx~Mih7TloZaw#fioOENy6vXyT=J z+8-PD0q1%_-SE}JP34=a=D0tn-&^{#cmwCa4eCHj?W)p42kMX5lGn6W9({hgEM^|( z=;9Tt_O4%0zg{_QVevmUs$VUYeS3WB;$a8heREgmJ1Y`9vsz?|!f{i^5|fS&9W|3& z*Ajkd+1>V~%?sW0ug<&sizhsKT`4ha95)a?ePH;Ak=Kk;o-$f=dib)`qI0(vYE%6s zx6^wqxJf9Fm+t&FJaOR9O9rmK@y>`L*}B}a(zOfk6z?2-@ooL`-}jBV`eox_%gRNo z8WzlZOqWKebAEWbXz0n7Twata|_mfGx==t zD`Q7Z{N=?n;U)92)eF`wdur+0((>i&F<~?>K7GuD;!%?(6Gc;Yel*d@WDoc*ES4&g zUi)zAxRSEx*S|7mw`rzp$@mYxcrKk7x1n&9S)Y4trFOvbAv-^$CuNO{E?M|Pzel{* z_Y0d>7T?Lu(Wjjpy(~lj$i??^yhj(5te(-%U+7xCGrVH;;K~&XmMpHAQ1sQLFDDe9 z4G;a-i1Ee4uF9nRaX--`CXJf#{d)&$!b#%y(}W83$bosp_)%jf4>M~&p0wzTL#HbY zUuIqR?_@8C<|auNl-{an95ei*ar5386JEQ_b9_Ee+~Y>!zBhLkk8bJj**AI0m~7cn zjEo6>9F{k}xn|6eR&cN(&d0)Zfa_w&X-n37q zG+oi|Y`B)4vwzsFM}uRv#+!Rre1-qW!JJ`KmbFp{@d1dF&0evO=jY)Lf$_%Vl znH{e2z4_I1F{f=)Z>4_UTK#Kg>(q`hiPOh8UpO^=!RwCBRAiZN9_DVoNba|{|XyLwig#I8MKG|9_M}Av`h8k!j>_2f7PeUam*5zPx2S;8*g8$-1BWp z)cP;|%)$@eGQ`ZeS-pKTdMEPl?dTRE@9 z@cG(3wS5)Fnyq&a?Xw9JF*?=K&-kvc^|OzIB_B4wR-cN!{XR$d=aZ6mKiJb)`*ZG( zw0d{;?VBH;HVm78hI#i`|Ap1~$*aLljEpGQyz;GK23JZeCy{KXZ<{UNYTcv-8#=B% zp|&^*=A7F1#){0z8~^!5(Rsy$eK}`d+&gIgp6xFmJ@^As4D%F~=~;E|fpce>9PCCRySk2&^SF#l_w%5yOL@zbF+eB_G6toTN$ zw&S>gGETy|p`tOQrQm8SUe~d{vxXw?lmo^*(JB)q~94Gl^V{b$D=md_9V}`(^j%XbvJ#`+{Cy+ z)Sldb$P?x-r*jt*cgX?~`V?@U@aVGgC$hJ-dFBNB?ix_`aZ~`Ojh|;td+)Mp^5UP4 zl3KGHZ#weG^8$%2!?14Np1QPE3G|?~E|R;b^vIl&U)CR%UH>NM)z63@gHP^RE3baF z<(zR#X2-=%y6Al$1V2is);+c3yLr!%LR^TDvoJ)EKWTSntf?Chpar)vayuyi7m0^!1W?$F+rR z(L3K(y)kBW`33!p`59jMo}I00nKw_yY5A*bY#}tjTe%z-ms*$F@PG$%V^0YPM0ObS0iTmEIB( z4e_K5#qjydp8AM)Im|698+~D}Ha)ESY|-O8&ok+V?Umj)j;1cV)Ol}L`N^eZRlJ~~ zZ|d*mT9wC|6Q?G;pG-&42wm+BUt>vbaL<+YpJ2=eNNH+7!<$6jCVSr+qJ8J-dS;drn5}&&hjUezi1X5&78H(?J~O4v0nUbT2AkhTj?{N zxK5s5Ipo&96PIUl$F7_Dm%^9v?sv-^A=7U=gr)j-p1H*oRm*YZe0JfCTfwcJ#cRqO7Q(QmzXChNGQ$TR+p zuuxoYA2vblUgb?m?7sucJ(#4fE{RpN?f%xQ{ruwt0|p-3Yjys4=3;KqvZV)m*Szq} zQtqm^Hs>jZ22JWXWz}P|=NO0ey0wYCGH>hbf{rH*cg-1_N0s!Qo+G$7{90baqd$ya z_~U{;?;M}M;9mmoVdKc^MR!yy3*MuCd5kt<(C&)|~e0ms)^d`o(l_32MsrN#;8+T9Jq$0oi%yMK@Df_U!_oj)dU zqv^dBo|p^4HLe@h-kV-q^6m_V-lCx1IKGnnWR(*8>(=D2ceK*8vAcntx{|*{&qs6C zUaZ6H>!xl#tze8|>&yDwFBQex!k1edmBc;Ib!O<8cj|5S*T=M;uDfD7xvx5E@v9S7 zu3qsY@A;yMT6*7=w~1c{&2y8Oq&yF0wu4vx4@FI@ocw0&0=7rnkoKLDGFU)Xb zLQ+{tN_+S|Ch(lrxEoD>xx&IR2Fv7a+m#(8Kl}#e+Aun^d_TeMT_V|)wW&o*{o2qR zm@~Ka+j>3E(uzL*$|c2o-z06v*>ghi&Wic9zka`Zs@Jv!l;@ZRlhys%wud1lYW%rSSV*#Y(L z^VkjBx!C9Z;*#B*UHb8+4~UpJ&!$P5+b7ptbm6}br00L%X1kIf7&c{c(WD6jXHJ*3 z1q+V;*f#EUUr00PnU15UMjTiv7H6&as5Vsc=la&_B_)q!mL1V_v{Xop=jr;@m%MlI zq&?rPKi@iY$jx=J*}ip>HI|dlw~us(YD*Z!mF$Z}o?o+)>O>W9H0nQU%*PMi(mk@q zIi!Z%`o910p~oBhwq`SYZF*=lZcJU8XyyopHvIJ6^!hLM2#0?EA-bV@$K`sW>CVZ8 zvwo-#-)^HaHlLg;6obm2GOhpCD@PTrwy8hhJ<2klTJ*~61C={(E@rlcUnJnUv|BKJGCww+Mt3ABi^;Y}qiGu|r+fF^R>15NArq|wWI@t77=915- z*%gb!o91rzr1g8}w~|du_P)G8SiXR7cvNvO{<(1PO@}r7yz{>h2^)XbE1eeZwjVW8 zy|_Ft$b3{Dp!ns^BRnk^zxdSN+>fYJfByE$TLC}S>a~g&X8preb7=e*pDbQ`WvX!9 zoqHu~gF|NRn$VioPJH{5cgMBg0v*)yZKX@j9oeEb8F9U$##Pq@Mg@{B2RAQFh=(@wpokxvGGyrBl}*gexfH;xnO&B%Tsva0^Zzv@f%-^ne>0L z_TEuVEnVDjLJ0vOB-8*3Cy8RDh8_`ubWtHlRR|zR5rG8hHDKl1uDuu3t6~Ke6|qo+ zATb;|2qI|E#H%p~BAq1PIe72$JnLKUde`^Imql{U%Q>$}8h+@pvB(-0Pc6H`U50 zD6{X9V={8rnP=QtFVv;tYxg~CDkEzaV4nUdRZSTVn{DDDAHRISJ!IS3?7*4-@N{4f zheX6|OIqXHR%T5&n1NAPd~qP(pnlAzF?U=L^;abAc6JS*aM*f-O_$6p7I$Tfu_nrZ zBe-B`#y?Vz{ly&_CyXlL(Thr|?tyb^#HZ1;sZ!C!dq~-jB=n6((KvoT6)|!8vg*u< zj0-5`?Pk^Izs^tH8yLram^^bQ_L$qE`@ULF*(a_K7X#rcI|cfV~i=eC|U2djh9oy$9g{c6Y%@*=pF?&Rv)aDMcrxr)&B#0%4Ds2 z=S=@FHaq)uiT=kQRmurrJc;-1;2%7>FNM+tFKcu23trZqJPGhGu5tP1I7Q^=afxch zp7*L~sY&vTkWZ)dw3A{yVg(nnm>(h=YR`y!SBbq=_byWJKex}3qA}T|irikAfZAH2 zyxFN;*OOA5ix^!Ku-lYG%rbzFi{v&VilZcQZ8Vp|{=rI$hYv5??xy%LO)C><7{9jq z{$>BA4WVv$`r80vHq{$c0zQqdMy@>99NYHAIwW9hCSh#Gc!LJPHEV3fJ1As3PDlF7 z!8JM{sF@hNEO3Kye>Yc%kW*+H$1Y#(jQhirprK?UaLdfbmU`O7xLd^r8PgIn@O8JR z@27djdQ+4_1JW*Y611&Kbsirbm3W_AKbzTZQ<$0nisC%Ikl(gA9S(>NVcTUcBP}Tq zT7@n*4mq^UknYo$t+mu+yZ&ZZ!tgjw7myxbs-9k8jFay-R9fv`R#KcEtubwDS7c++ zLUF(4ifW6PUL9YorC=+t)6ow8yM6gOS>5qdMWb5+KliKubhYtt+xeH*vLol%nw>|B zi?4SV$u6HRMcyX5ZNI;t`1;<#zx^*uxCMVW1chey*dsR=XZW=vrj8s(Wo;kZjt%ga zJ9lx|L^DwD*S}33|F;_F`MB-I=$|p&psfM=~BFBnKZvt-f^ZTC$xy%IW;oZSAr%(^GA>H*G%K z^ja3#o{KO4DNPW~x2d~W-jS)YC+1yxDkysB_sK4s!+-vJ}e`_Hs&Bs&nO2 z9qmyQQ`!L;+5Sq3r>17GeGQBE%bJ-oARsPd=lQhUM%NftgS%Kqwog~y+b=E~@O2H0 z`mhf1AZl}$lLg?Dz-*55O8=(j=0^uT^VI`3&1#pt;7nZe@VVbQ=}u3wXqx|xEr!(C z@(KYu$4Trd$OTXuNA(CPijWga)|9Xj)`4+NTh8ty)v$+w*~k!)f8{GsR5Rb&)+Il+9Jg{>$jY1=72x{H5(xg>>__PK_0pk-S&XvuWciy%xg zY8tzC;g3{U4<;qBhziM+9TS6scUDo>MseuDqvtE1Y7uNN$k5XyXHrHO9J7)+ z{lv#{;g^oX64!rdh@`b0@45fx-Y#L0G>fbPHm02VV(IPg4yNCVPKX6%4&^DJX?!oF zqu&kCm6`}IR`J-KWq`Lu{NTw9&@TEqA-IN($a!?7VTw=_ zM=V70$3RWzgLPk4dJ(_G@dXn-qAEg?nmB;$Ioehbqtm#}Mt*V6w1NJzKUN8U?dcff zPJDL@X6F9!%IfnMJ{LUqVLw-Tb{)t(&Mw1W)1y-R%~5I_H5Ow_>?oIg^z&G!KcQv7p=AU z`#m@<__Tb>)@WwJi!<(sI$y9mzA>V8>wvrP!tvI*7nzDFS|Q~U!cXED*YZ{&WlD*WiUSA0Zwc0|$11-+qFs1= zka##D>C`~Bb)L>%lZUw#HO)>KO`KBtPrXQ!_bx6(N8VPcsq8D@CJygq#-fyI4{F-l z$T)p9X?V+N3hF*puJ>cBU6h69FzB z6ShAseZ#ml8nI^QasyFmj@x+BDsSofIM3>nfr)t$=h0!G)34HJw?qvc9Z;4cxPlH% zq>k}Hl~beK^Utr4RgJ$ZOeZ*=PVIHQvMuo1nO{mCX@acRgFVd%T9fO8%#R7rk+x1o z=~=N8ElDY4^tn6Vx$LpA%QKb(B}zJS4lw-Qv4eG8Kjh0~p8h9Mi`XP#(Fbhq+Nr@I zMO&|y^?@I3k*LJC=^v+*Y&}dqC42SuqEDX<^pRL8zrTwQdbI71O2Vy^_f(%$`zF0r zV^qGy*zzo*vwT0brl|XUO()I=D!B~%?l8wuL7EOliuHU@ zE)n}fqJh}B_991+5oz!3^MH>5lTIqKSE4xDRz*J z#(4L-k@X$Im7KMYz4DZrsQ=Kquta5Osg=ll5TlrT|4eo2px`dL>W1r$t!BJNulS%P zj}vna+$mr`8y!?WZ(FbU%I0|KMMuUQcj7LkOMuJ~0zs^?@wZ`TscKzgGfD+gpmA(Z zJ8CmmO6fBzaCB)u*(5x1$#C%~&HMDq0yq2vB%)4n`3@^fR>jPngcZs)Ann59Z4F!F zdhA`I@AcmJ{J4TJnRslErX4cLO>O;~<#sAyBId{1JNil6LhbNlikH5;v!$&`6u(7j z{&;JP?-?2!YST=4o;~bnoYSj8A!pKtsLEs9F!qQ~_GitsXBSEw)^}BmRlT8XJ9Y0C zUTJ^AhlbFAv-Rh1ik>!UO!(9GW?yVAuc4~HJY~7fFZJ%}>h#Vau&K7xwqrhV*c?P8 zp;S4umh6;zf?}*Kb|Oe9Dxv!iZ_rmZ&kKwaKb#IrCTwbWFX=|mNY*3oeoksA$#O6g z2h`-4%Es5@Rp#5Kab-@miyoa+y9!6W8Xl`>Q{f(Zjw}vF}@=wB6T#} z&m2rjxpz&`YEQG#upsc-uyA_5p%Uf9eyIET)GyXIzi&$uE(v~(pFlglun637D5XbP zKYv&y{~f;}>y7HlF^{(uFU4yVPqCcQ{c4ZLYB3$`or$K^FRp)?9{pU`p_$o*7vImm z`dB6>v>vm##O!cR78q>s+!_novxXmybGxjmDV$gH#F^b+aBE_3>1jRebQ!p@cib_Y zV)jtQ(REg49I{yA6Vm%vNiy2o%7v_OcmV%v)mQWr4kuZ;MRkb7!JJl`@RQ0as>meT z0}8b*>JsyZaz43vYc`445_e^!A$4>XnL?i4`mqi_ey(+;or$UYeyYl{-k-zdi2QE+ zN0WPf1AXg?Xh3%3*`SW^++dey?2`fHxB`nBc|7%7?_T;o@zOzM@p8L$+^Q+J=K953 zOol2Sqo+K+hB}p*_@TM%k4%!zjM1vE7D&9>cAKoZ^0B)dK*fA~pTX3;*7ph8@rPZ~ z^oqf6V@ByY&5jvgEp1CVFqF^pytCJ@Wo`Q^l>eio3FV!SQJ1c!$~*6_NAg_c)H$Pj zSnONbOR=-?Q&tF6F&Jdj*JAsKc32qHUD1|4!IZA}8aZ-Z9U5T2*%X>1Kt|-9|$Bjw$N*27o zrk!w+ko_@q@&O5;s_aL#$2i!)q{+4GZzSvfXQ91Jgegw&zSu$m0dDT<^1km?2z@^EBjf)>zwyp{0UxQQS~|tt84fMfI3=)FaSkBy1(8y|7boY zP7gmolY9Sy?7*GWjixG<(-SDadZD>)Ar9IU_?04%J|> zv*7FsC;YT%a|JHowVvt))-SBSfiocDiZ}VY(N9*rd0uAxAeRXP&K*AYhqm{D?aOei z(lhoC1j;=$b8B9_Xe&4rf1C0BSIV+fd-WOwAYV2S`thlZteJPJi10q0Xt^7FlIC=O zXczFM?MYXXdDul)^%EDe{r83+c*|-|&MeNllmDzEy`o{so@G^~Yf>F9USJL;T?4BC zRNt=7#ItQZ@$O7fZs|vhk`gt9uQj1}s`6=X+0Q-7#P~Gl>0y`MSp?Zd2~jh4T`7qW zUh(K4Xpxn2eMQ%4mX+hdk|hqA;I%DDzs6y2*{R+7vAKpri!T2`(cxug#Q)gvEi{6b z;XdzpBE71GHx_fwcl+`T%S(1mX}|7l58Qh5;?I#nP1`ga<6_t?&S;VK*_dg^G+G&!-y?jwqzBw+&p`u>SrglZ(X1%g>W@y@5az zh2(?$p)%~olWP0ScBU0yd{==-9a?;^``o>hl8?M>+&4l*n!U_dA5T`W)x=L(XZo*A zs}^r*e|qWT4)FuiDp{{%-Hj%MbO!}D8EB^Lz$#l;e?$H%b-j&mMKh*_kV(H-b50X) z;dsBw{$d~5w9HO><|#rNcMG}oBsiPs=dF3gNu_#)MZ=|+o3}PB2TeqQoA9=Y=Q0L2 z$WC|KsJO2+k)L>ZgNR5kcsKBv?3yud8{|BvJ*DXzr372W=%Jt*{N^*Z~}as{=5WVk&vnRo=;wuZGiMOGMTvdSKfGDVa=f1 zt0f5))fo+sp3g=G**1fp_Z-Btx3E$Z<6;pF+3|Ft`rZltd^Tz9yBND8rWUt1n`=Tc zh>R6)I+$cdVBJ>Ud1~plk%6>>${B4B9PMzeN&4ZcRV6_OYR_6V=r~R$;uq~7u-3>x zKSBR-DyOot&$_0apBuE>R;yu;arh(UFKhN898OPmld~qdV0FgzzLXz)x7Q6efLHK( z6y>&l`0+c(l&2!cChTRCDvj)@vCLChl&st7uPMD%Q}bj)!6V*F9bM;IRreGYdYUjE z*w?md+0l2W_C9RCdUr>7g;h}im$2yov97A%V1muDx9meswfL|zTgl&v*>>)k(u~Rj zU^-d(c~KF&3iyTZX?y;SWKT<6KtxQ;7)m(j5}07pu|G5Pv+h)=J(AFV!3+Wd$@6^o=_ki8$`dNIOc}FJe z=!ZX1$_>YEnXi9jpD1^6;f zs#rZF^kJsE6wPG-^xz=>yhty5!Iul5ENlJ9(Vy?q{U%!*JqMQ($}4KJBTs)5CY@G} zE!Q>ltGUE;F&%uP4nLYJ&e%!cOOw?A*kHCQ(e3FMi>q5&tO>DRIVU+pP%P z>~V7mZ)6BrJ{KsElnYm%eK*e}kC;>v<)24QiFMNjS+wjd!fEf-%E*St^5XgysU>)5 z7Y&Uun!UHrqmMBtdT=XyBK4VB#je)zncWXxh@J?$#_WHbsLKwoo2xzOH)~(jLSN+gmE`4yEjmPSid~&H;GCSSM6{YcXSZr? z5k-e2bta>wY89mv3CDU*8TMe-tXfPki!`e*+$027-=d_Tp^5*Y znplOp(#4G(ah_7KFUXm@U!lQmOYakTD(|D*-)OmKbfA{}!|`)-3H9s8v3o;R&*#GU zn2%#oLPgQ6ThCnm@e70}1b%Ds!5h+3RW z_j3(G+={FpVn@hAtncO0)dU=5h0t+!y?T0~)1rF*)A;DM%D z=?$32#auCDi5%?JWb-j=x#+=cywhE~v!&B|la=}gpV*5rFPfDT&w+k?4$#%R#B;UJ z`f{MT3(?UoPc5xCkyH ztN6XP-=Zab1LoZ+pK!dhckGWr7tetK^#s)~7yTBwGrUwqaUJ>&Cus)M;`e{5rMVsH zIxeikNC`*tsOCX+Q2S&pB`UyQ2CPC+a0HN?>VvSoh zjvhO{cjtxkC1)<4J$)`Kgfgq{lF6od>*yvW8|2Z-EwTFW1Bj0C#!fX7v3p1{ap;*s zIXE5^T+CMc@VXv%G{5Kjv2E>S*Y%&9DO`a2SUPsNIc={-NlpdJdk>3(Txwstjo(9C z&As@d2z**&BzC+v(`~k+fnS8H;(wY!o12*$Pfu6il^CA~UQ&^RyV-uYK?ZVBbVPY0 ze(4UcwY5vDyOLwkoPnptu6Mjg!Bm6&r|NDh^`?v^7EAY_>yLN?LtHS6eLkzjKqS<1 zXzm%R#-X|GSDj}2>K#8<(^c}Vg$3n*KV53M+{I#T#RIav@oA9yVtH#bkawr_$9m)o?fWi93Y+sUs^H%r4C`fn=Q=RRG4U&1?bd(bRWC=B@_*qcrIVdeDj{cZLUIlJkfV8)+) zpRfLfK^DkGQUIvNlhP_M%Fv+h>j1 z@$#(uNi`F!S1EDp+_R7V4FEg9pdE#`l40lif+A4kIqNR=QqlvIm3ElC|2r!2k*K9B zC@m+3m){gcQOG+Ri4Mh%<(i~7UJI-mCz3V4QFb3dMXP){srcjO;ldU+Ud26IV@l)t zyLm?UwhBhU${n-324s{DL*mc&Kq zyo@dOI6E6QZazz#KnA&gI};JPoW4n*EUMf!LHGE!)_3<&-?PFsV{de=BfPcs7e6km z%phSW4-rfyk6x|amqKc8wvY1`P+#I?<3U)~Vh#DcHOYV#tx^Rk&sVfd`9&)5qb0=9;ku>9lfbMsf=n&LUTc?M1Al(#~+TcZqv9qUy6y6U3b#?6OP59Ji% z_3a{!tCGxuD!fn9TP<5I%C_m_-pkmpQ0$=oTB$<-t4sB9auCDmU~a=!4Nj!INxXvy0fV?0SiSi{{*8hveybd4#o0heq9~eLWH5 z?|!UkSUA#f^So4}?ouH)?=Ej1JoIb!r?9TKb|%cIlTu)^UqI77;dJAOclTbkV`hxS zPrqOo>-DxT>7B{1d5%-eojl`OGs8E}t(h{jSM!=vso8EGPT5d2>iP68YgWE{*yV8N z(h8PpYk?FW;z0Zhz%KhzdX&4Xfmhkfa!4JxaB{q1YtQ56Vy`1dZ_>JJnn`mZ8zWz> zc-W}d!CjLhG};xVZwPqj_O3qO_dLh7eV6n;Rj!G0mUBU4<5fW)HAtrQH4j_dBT_bv z0Ek{4gRJOXbr3Y{DAuRBEB3TCf#9KXlAb$Qnc=kkD|oEytPmm38_mjsg7?TSxNv<}Dn zXj$?nhq!Dfh#Ix4QF^!5$5|@U) zgDjgMY-5yXOLm6Km>szzGWzIZuGnQGCn%z@7hq8oHncQ5-_}(FCdO~^JCrEtv50*I99A**N=-ymocRi<9IoY%+{PZlT4%kBb-m2n4^kiJHGmYr) zxBo_R%+{SEik4kNqVH6O8&T!QJuBq<2^*Kc9>cD&jDgp_v+cwP8wPgOlzA19lCu3Sk^~fantYY)DFkF{3(s&k&`D zak}7&WTM+H>W_kFK^aPrg9T?={HFS(>YJROmeGop_8)y>Yz!6%4XLeN;tt32R zvPa~zKdN{}4Ln%ZoOW(sSBE$|z8ZIq*lO?dw^>B9l67qyWxO{!@KIk`LB6{ca{`l4 zxe;IaVa$4(LAW!c_4Y>Ek4BYkqh12hcYW)9yOqVO)WAc3G*^FHLJKjmEU2PkcRoMc zbzwHh52ZR0=~*&({-tHuZoGV8LYhs+;9Upq&XOpdJJl_ETr#&LBzEv>f~@w&1qpycm) z+GI@&dqR*WdPFa%42WY!rvP3)O=0U@!yKYeu~D5XwubKJx$G=|v^wMMC|YI4yd$qk zIW#%rwHD1)cjsDmz(9my4cUP3>w@>zL*bX1zE2-Ehu3?iMNeG1@}M_avxGhwzpTK2 zZ=0;Dw73rJ+}f7v9{Syyx~C&=l+gW#8Dx<1{DTK!q8TS|n+^QANc`s?_}lDQtva{) z$?cENP-;zbeFr`3gWlatc`+_|z2sB+n^v2;p@9_JgGJ$}3_H9M4cSZ2rrAnJ_<;d$ ziuc=sTi^lut?G{0IOB{)8%tN6^R;yGWuhR(;^MvFWtqhqlg!hffBqz=uef^6kbAJV z%ZQy=_;q47u^xw=jl6rfrp``xW^KQpuBF;$2~!Y1V=ElY%-)$Xtf}UuF4@liGMqYW z_v`47&;8i8Pb)`$w0D+8E`Qi3$5hNkokVBiPZb_3;O@C}eV~!IcYhYM@5`wJCoJvP z@dY^Kw!u4XN6}A!S=^R8Y4LnqPyhJdq)n3Mn!WaJ`0;Vfh|OBhCH4=vdj)-F%O?5U z>qfQ-?2+c|j(gXcVtw)J=0v7@}qKx z=s+wX^>uc|_MI}8VnXgJ&AlZx?w^t}Qml8Dym_}j*|)jmAmiHR_uo&wsu;id05EdY z7_ioy%h7z8Z!7Ub)!ePBQQVa$d_i|=mLh=HbB!jxUnfJ4mL9;-@PHKc9cM`l`$HTU zxyAd1TykdM(wjq*Dnm!C?KHHuWE4Dpvwr%QwcH;Bo5p9W?p`UZqgYD!7}uZ1JRvDLn-6>^ra7;9V5%g{Z;yM zS(#}-7J4l^&T%fjYeVVHO)+P}KaiMYJWU4jQxk(pGfs0!h z>Uy$W;oC1&yctGepOEGqPl*-N>m`g$an3(2{a7xH;n%fNCRuGuXs(Ip(Z%l&4owlL z=cbBbX)Q!Xo47}{s$?tN!^tGi>@k5v56!d{F> z-B7l8<6P6h<)Qf{yQbMuB(5x~AXYwHb^SiJinXg%?F47IZQR`B)G#`|vB_XzF#Cv&=wmL`0xT-&44l0=C9jCn+p48QG^8dQf(LHRnUART63ZDC)B1I2k0`B#?)ZkL zyJup(myZ5YX}nc#UEBKbiO#JZ_XvMp`baAxtBwL6@QMh(kFiEqb`cN0a_IKy&=-8S z6y7LvY?!dg|7O&_x-1A)X)fe8{>t){_ zRGtvo+&T_)?ta|1>X9C@yNay|jAeI3#`Cxhdy7At&C!ULAgWKOl(zfJYh7V+(nB#SpKT9ehtn36<9gGD|Oru zNh@Bs)um2L<*AChqHY1=T~Y;dSgTAb*H7=K)l#+oB_Jz0?WCZOIRUD)AL_OL#`YV_ z$f$%>PGr?FmY(=N{#rjz1%G)=`jI-Sbjyi6){AA)jb(b`%d5sTNSbW?&VNcg4CqlO zY4doURrPDUUGOKR>eLC~&!%64GkC31+K>OR2kLl5s2Z^y%~pC zV=MqGT;if-{H44Ma@)|j;pLzEvC(-inbP>@1j%L#gd>_^E{$JKb~P&)R$iq7pbDFK zek{CokKv-;(lD|>*cTP&a*gLy>j|jl?h&e!Ci3xe!qG}W7e4k+;p#H8mxb1S^B&wu zpqJA3)TKS^h*j@$$0#h<#PO-|rtAsz#F}yRn9+%vR?2dtX-6rB!IV@gmIa?PkDyt|h&+$c91w zl`~S8P1?|_u)gO+VX@Hxo5?obTvkPerO>E}HD2n}HHUR}QE&!kW7d@}Gop?Rn&Gd2 zH=+xWQy)?b{|>AjpZh^W%)J}tmd_TChtGeAmCs4=D!N!u#wA>d&CWtzjEp2~-Y6Te zP@WIR|1eb0Q5^1kZLVg&(`Jb45Z;Id(7RC9nBLRN{8cnt!(e8YHcbsp1Z2P1b!%l zlPPhUl^D6f4^>da7$m6aOgoRiCzP-e=YRc0xE3Qgb~9FZr>|rrc=c}SV;1pPjEIj^ zbh5|E2q8^VmqGAQ&s7xi%8hrR&6dBQEgDZ)I@xKU`l(D{V!P$!g_P4|d7%4x(CD44vRx6+$hd=9Q@L9PnX!vwVkD^j$oEjeGHdnXFr>{A>)6N zy~YYiY*Tt}Ktb=7@Q1rJGMV$-B?7;QFWc#+oogrf3HX>#!poqgvU}<3jgg;{6!x6Eu~^{W7HwKXmL67I0tUvCu;2NM z75j<#1cmO55U)}(SCpGi&yn4O-$UTi6vvR(Cb5p4#uIphzt~-@_8J;MMwUp-CaC;g zU=6CBW$9%+SWP!NUli>9RWnzqqzOf@wq@H??OBYM9!md<(}Pmbx75%&KY*PaNo|~( z)7eP7uVJ%kK*DM4KzqS?TyAi`z)9m*#CRu~X6$farKu^84fO*N(rQNdB8_X75;e$-^hF zHz+1Pc~jf=OlQ%ti{*C{me;rLpX&5rZOKsMq>-)6ZC5w~HnE8b>CQng5N)75)}EY| zzQX=S$gNm2%AySqwq+;RBSJ4_6g#+irbK5|2CYxc>~W#->UUiKAZz3W#7$?ICha(z zyHghK9_UQ!&vV7?dZ@E2P0eWcL+juB)TA$kusu1wUG4H@Sva-?YXvaa)~TAgT116TJ1grL@=mwNHCT@W1}6m%aPE|KR_jF0(Jlo0AW2M|X}}2M6Djdwx~V%o zB-MZ8$4GzQPw^SSsT=DPYvF9g(5dP}vh|YKMX0P9+|DBeY zMGY8CjuT{BZZKF``)2QA1LMq8rLL`8sA+Mrtc=9_E0q}*GhW59DTx_YH%?eAYU$Ga z>u}oYgv{NSk}Zl38p=LCNtweU<1o^ky_*)L%zoJ|LpZH|rVBqy$|7wVQab*@IO4;l z(QmYfwd7UD7;=jB&g0J)|Ib6CYb%*9(XZ>CS%&`Ajt=^e_)?iCd0FaxnzeYCiCGWWVs27@Yn#lqep)USQo9JhaKZ17a^4Ev1inP zBMmm235dFle(7fHG!pLu(Lb7Cc>_$0&Ra)7JRxR9L+hNAHw~$dl~~@$ZqHLHy)Bg9 z4&~-a>-yA7g|(qvUFvB`|0BJZ|AKL7y8k#*Q4LR62YLruT%~T9XCqx7Z0!*FFx~BuE#eTGWI!rZAnT7o`uz=JGr`XuhuGXR z0nv_etq_|X@M6Mr)QqTyVC9UG7vJZ~{(t(6SKwr_1|F_q-U+(Cb87ghz3|FD?0Ow6 zM6`n$2>cWjZV0zopy!xGGXxEnbS4BQISdjpX8{LGV!N8t12U-{_586pE}tpMM8HTX z4ICZ*nAj7ZHW2xJ7=!A^*WbJsuxhwcLObl;9or|xyY!KyI#eXd0ZQQ32KY7l_^tEY zbj_dZ)#^|8@#_wB5AgK#yXG1c)alwCwWwH?pHo(i>cbyN_#?xaXW<7nS zb!cE1pyp4p+Ti-B@#E)5FJ3;cxc{K8>_*MKhtCApU-2ue>z}gUzhhM87xvYb-@Y?A z^r7f+Z$VGQz?1&)x2j4g1x2*VB4zbq6Bn?#g%^3(+|=2^Y!&gfQv;1-$=%%K*xq{c zO;>kE=dCsiOK3DArBZPYG!4j@ghH*lUNp~%G8-5L{4(busKPv_W+}N@s@I$!7AnPa z!9V5d2nYtWv?!76OaVE2Ss_7z@e&vT4dvURgc`Di2#Tm7FNa7JL_BtLR5r<8k>se% z$R!|oc_>K-0edrDA;()y{>2L;t4Mg1$U23(CV<;?9eyfNZT6x( zphpOj<838(NvRa2UO=I_3C-=bhb~esfg&eeNq#1!ke>*Znu-;gN7T6r&AhEpJaDZS zDDRWJ#90F4TMA?FmY`T;|T)Ho7466vvEp=SQD4E^A znBOh{$4q0A)TWhrklbyURMDD)rsFg@Z>+RSI--ucW)4g!F8kT1fU<;R zXlf`2_=?1=LbDo_6d|Fg4l)r1_Y!DGhlXW}$@pKnyd12QqJVOP=}3M=JK{402fH=Hy_m1|QS<7%O`$7xU;TsJ6I2u#Y+YwN%- zij-M`wgOfPdkvleKPgf~s0;ArGp*?<<>OLd48?_sdUB-QlwZ(Yd+vxl-57zok2gXC zN)+|MOaxw?hr3soYed(?D-E&{_v!{o258hn3nav+oyL30(?;Fze4_|8DX$WEQy@jc z9NTF+&VZy$q4{_HZwUeNIJD6eDovuhqz>E#bhD4v84U2?Vvw?o?DRiyl2iXLFiG$~ zV3O(!(1>zv{|6{ZpWlFv`xk!!ACh@9ltlytVgW=_yAAnj|3Vq~|0{sxyD#8~fv6!& z>AwJyFtZVZ|0{qbO!6N9NvIeYKob040LkBR3SXc|h!^-TfF$^T1dxOa`wxI5%=*8X z{yzao!Ud)(3m{nzDh~>9I7Ed57@|1Hr~ITzL8OkvK0qE*40YjP%@05hGYM^q`*C&3 z98g8I9!9qsmFb~W*iL|aZZTa`zlH=LRo`MKdAUF(P37zXt>3Xx!t56er=0YH|~WKf;@+Vp?t`Y%?h z>ThQM3zrHi8o|Yuk!cWf&41xi2Z>P(@Nd0Alc)u_xIsS{!UzUV9b`);!2bbGg-Ugx z$r|L)q6IoA+ds6RK(AiFfkef=EI%AhVtmaIAVaDevN@C1uYPPPxbit@1U77ewa;{7B>r^equ|D$;+zAXbzNE zFT#%sKT0nH8XY|X5+rN@rAwLO-!P^J#9fF^ zz*h>;Ota3yN`ChRO*Y7Hc%@Jrm=T?p0c1p{#+d;bTjQAjKsH1(bAhC|+;TPlG*&`d zbc*9;H9r^@A`OU6ON-8hg6>_Nqcf6hLL{a26UhheCu+P*ql`Y6H_;aOt9jjQTJ=fEK&|!65EtaK-NX z8DjUH4B}p>vfDk0#lPzeXo=VT!7$m)fT^3s>-IBDU;;l+6No@sOm&tXp%{CE)J0HX zxMK{wH>5B@+9DplRH#mee@7o+6tYQbz#O-sbQPjWn<(|Q z6aeISO~we;iWMuA>qWWFGy;H8TxcU1)dPZndp$NA$)J(I$Xb6PtHPT z$*Dn|MK!2{0`X)WNu>D_au^K(O#^Yh3oP&(+%^S?T>#)o!ymCe$S^s~fZIOt&rF$C z{b#1Y@*Con_d_$LUkZ>trNd;`NkGaZuUc47;qk{O9%g`2HHnv%0*1f=&tv{01Kh_j zeLoZ+(%26BOsnS>r*BDPB`4RmsY>Oj#ge^Ny?X6p4~{onxXDq50Q6PMnII%FymFkf z3Hul|RC!wz9z%Xv)@bEt}-2Zm4==6Hyqh*!b@rrFTo!A?o+t>hLO4owVbQk20f zC+;TJg*u5O=;BRaXMu%K8I--?3AKf0L%g7hd0>9iL*$TNK_Urw0wR22U~4S&0W@x) zCgM5XkWA`ngro3$Z+NeQ(gk6+lpH~hP$>sc6%js8>xqy6!dZMDx|ivRyoE}T1nD`j z*G!a>vTzz0;4iIL13>T(%mM)vjpY27$v?zuK@s){P4RskQc2HQIPDDx7IM9Za>XQR zI{qUidB}Kzk*n%41TNfB&XfKLqUfzF;E#td7a9$raQA&=N$t;tWh+N2 zUn-RAnhOPkjHoILbl?X}o9e(^$uvcTfy`hOny10jYQR^ni8Dh$ zD8epannHtcLI)v!IMjBfy^w~ehaHpSRp1a52?pqBXo>^F8Co)O$ zGCD9{oyLMpJ@BMXUgew9SOILX6I2k&3)&exjfFi69Rs?_~m5JSyMO5KJDRoe#q1uX| z>Jrv6z{OC#b!mtO$o7GRLcZCsLmldqc=8pf4l-DnQo<2^NSF;2bpdz;X9^hxP;Dgk zN(es0PA;->2@YNanaz$1o3-)@D3aO8RD+fsN`MqgF_)q!D&9gQJ;$g?18)K-6SgsE z=<;klMvCd{RU^1EYOkMH5?x0sK$Winh6~e7c`L^ zxbQsX$z6iJrQCu4`ai9M8!Haq&%n!dEXWly4Eojh#GMO(y<8YkxeRv23d^rq93IW+Gugu6 zvZb&K`hTdEB&L><;A!q%w$54h6Cv@eu=8K||g|h{R7tBq-7pxf&sMhteN12nZ*l zJ;UT7!=7e3V`>W3RU87JSj`chFo<+0pEgo^!72X&nmsX)0U2%f#U$b;hP@gIzKLcK zw=jrt3>wvX0nyER4TC^ZBq}o`c1;qyH-si_9G0;F1_yO#D>Mh;)elTktH4pU|5^0$ zN(*r(b;0{O3pxWdQwMbo;K2xJER3Gj(C`M!aIjeMgRtk=2nIL@gPBHX!=TZ1Fyvul zg&N3Iqv=Ub(IEu`102HSkV%OI_ZwuvV+o@2YXmVcddvj0$VxICBpJrUMGOKGLDXSD zg+u*O4)K8NH0CW;p~^KWfj_iqz}p<$QBA0_;Hp&!=mMi2g9iFE$F~wcH53B6g=Qtp zm24nYCM!s_;nOlTq&xzb${=_!cx*CKS^N}6zJ_XXso}0hF`eh$#DRal>x-FGMS$PGh-nAm6)0VWT&y1U+54WD;`LROJSwW{1ZrDKnMHB zB+Ov3fSAND3nxB)|6#r0SKgBu3zNquCJO?C=>9-@Ms!A8N*wc-5A*jE1<`20+NQ+C2i_RGTr$X=%*S|cW(xBEv zr$7vVG!`obYE>GLoXGrL1&G)7@v3@SVxFxfqV-uMPzhlfU7Xel)sn$SXB6CY}bYdD15SJboowj8wus-864U0q| z8Z5z?NCXP82!TYvS1i1~ zF#*_49s-5hedO6TrG6NilSIO!4Jo@8?qTmcpqUQ8pIg$T!!m}$d6m#!Ue^Fx{q%?% zU=!m8tTg-x<~rbeopv2Wq~6zcs6Y*W1DJo)KXXQihs)Uuh5l@S7fWR80uCm;RRsU_ zgUg)|5Y{nh7^sX-@M83dqTC}=7Nwb{lR6;l^>0zf*aC*8yq<`QK!|XMb>J=BR0t`O z3oU&MTPjk$*gcw2FCxJRq4sK!R`_RqFD)=#kiE&DA7e z8d}E^Lm`yEu2T$@_eb)% z@X;2Q3W=pjUWtHZ(4jOapDFhTk_z$6c|g_?{ga(7lCC48rGd~C_Xpa*2wiwr10Pet z9+28F9DU&jIw21(fHDRbQ4b3f?XQ9`MB-6~D7>5@7JlueCzQ{{9}%ZRcCo!27P1%@ zWW8W3{+2VP2^tdO@MPH8y&jd=1C1tJot#J<@CmxBU)anlQ!ye)c&6gOWCmVLOlFuQ z!&;b(6oEGQ1#|nq7~~*jStC;C1DFa>wLbiVK8}J>)SaX zSs#)WG)(s9m5~+S!W*_85!9$tux)<|R(WJOUN5w>hFS+G(p%e7QqOIeFkQecWoZw?xvK-C52P&(B3yo2Mi1gdS;xCvm&@koZmrdi<{`F)}9&7la z4H}qJC{hB|3~ItDDUZBV>Ih&K3@b=cP(sLBzr9>fIBsK%C|GY}j6rn0Z=t~!$Oww4 zhh)mttNT5_*9*eA1GW%N31*wRj$YC^eNo>j-9!2^G<=|gvT5wR0lVj2R(ogP62F9!fNCmPD|=pJZ92}Fhf?DF44 z4|e%)qKBD;ehMKi$nW4j;Jv7Atax<%=&_S$et(K~SR^x3*PIA(aW&O7a>({j-(>1; zzQHl&|6%W4z?-Ri+jz)Ze1jika#+ONf4>a!0xPQlXA;l`A{SiIwHb*UO2=vh~ED zDm8?oLLDnp&nQ>6mh08enTXeO)Ixee^{tk{L3TSvJ4&{rt z-%QX?m|J=OW;Q>4A~VW;lwT!|HlCUTj0jJ*sE?lC4nnbq%{`RzK${&j-^FtSzZ`H& z6aM9IkBc8M)QeuV1}aJgE%V=zWby5G;gAlg$7aM8_NaKO z{@7b3-s%!bL@eaNT}lJD_zrt~KtY}W8J{vkhf?G8OQ3w~wRTNTHugrLxI$qMMsqS^ zyUYvtDB9e2XXl&Ni^s}7m{@2BzsgqL(ay)(mP7Afe$E$LbQdpvqbxT6F5Y7|M$XfF zSY?FzB*KwDw6^iWA)^zxi8A4lMFR^>i(~U!d2GQUFzbQ^gbymu^8s}Ns$lWH*`?;& zQERL)0cPVPsA3YrPK3RT3V3Xoo#$y!g;$i=G;ucsRT2?A4ngO|7iL-tB2pEt2G`pWiKQDNQZ-A1|A*f$jbex* z8i@k8$y$#sCw=_*38|XSi%5-hPUKq4dV>r%<5UXt&X#Gx!BgozJ>8!}YY@jPu-?YS z1vHy2E~eQl;ujV8JSSBTirxw?`-m_uy-sI~y`|t3wI;5BGDyFsr^rnKe12BW6&~q5 z(sM)*&oUo?hVTL83jx?f4<>5%ot)LPJ9Dr&?+A4PK+31I3#dZm1I`&(>`YE`gwjYe z2E=4V1n{6q&wGMonm2$s^kEjLU458S09IX}Oeh^kqJ;-mmQ6v59vVu3V{D*g?6E6z zn06e*<%+h+CY(I%=3SF68xS%l0!1E|s!_gr-(;jAJmtNj6dH|$7=t&JjbwH+Y2Uq& z;n155h;AT#K5koKn+`BN6_2PI@LZ>GVi| zg9*t7s7f9Wxc{mV3I?j&d%VK{$HKd#gLWh>f<}LqIg0K0Jk-ru5cpEys5IVmG#N=87LrgC39O1F)W!0|k&Q=$!^Zas zw(PJ1?srS%Mh!`;v|5d-W22<76!e1ZrR*CuTDuy$QjHya!4f`{$9$icboNXqtKC%_ zu42iaj$ZNcN>2Oki}28D!%NyZ-McTovHgv1Q;+cC#$1hyR_1D&A-N6&w8HZHUVs2m zf!w*&QREAq<+TwB@<@+PTW{e7&b{r}rKd~D+TO5M z03H^1R<^60TEj$`^s=+iBUA}?No@Wzyd1+YrDrW_=s&0(@t5tkuf=^Jf1j!H#p{A9 z2ybscZjkgSPC%GSxz7y16!v22S|KIo${+M z_e99#YQ-4v}iJ9XkO{_=^K7i-P+iiP6Bju42EU4yfS)TGpxRa(5_8 zyg**8>)t&U&7-64m6Ue(;8$Bl3y4)c6<@b2e`nYJxFg{4QL2v7fXDm{-}8KXZ^PH^ z*=%-W2e3n+*AN&M*mH+6K#}uxFh7|FHd*h7&rjD7i}k0(4TMt49)eadM|Qb-H=EW|eO=RQv|3YM_f7J2cvSQ}G+G(Yb~T z9*>7}Z378&VG5NIH0q$M@)$;L@j|PHg5aPz00Aaep1$W1Cva|MDcdpoY|WHc?|x-@ z-}Arx{{HaJ_kQ(<*WM>0-c;4NDTIAf?ligtZXnn}avCoLN1diAd4Tpsr%9@0&t?vz zo%6Qex&KYGcghS@vnGY(lo{UN&%i`%Y|+!aSTifWBe#BDfvVosLJ%&iVu!NM4!tk- z-_AZ(dDzfR=`p$r7`{H@^-d@6GejiQQ1*<;9M}r)<2UCJbe>qQwB$@(Db7*ic{mpm zQ*h8yl=BxWr|q9RE#f^s4c}bTBGfJT;;)QQP2$#>mb;}EO9N^dCh^~9j!$-gwj5Iw z`sN&UU#t~O6dY*;ErrS%H{p4Umrdet=70>uj+M#>9k$pf5#`27wS7p)^Q8PrRE5A zMniNk|7`|Z?wZ9+Y`T8o@WwrMN8b=d_<#VLq!_L7KTRvje>RF#(r*ekwa0I^Q~FI; z`)Ii)&igmf3jf<4$}`$4L!TOMI-u*23}DtJD4qR?R0y{3B^ia9L$kc*{k6Uo2O8h! z4mOB?5C(??zwZwR1__M-RzRu0PM4ujs^v?A^qLzhJ#x6J15!lLD6Ijh?*m2919}q`5`V>p$M?`Wcpk2Lhs_GsfT|~} zq12JSgTG>jhhxjScnA$aPOVrO1?og2Hmv^{1pp{vO|bm zb|eT+YA-mdS;e8EVYFP#nf%GhOnx+iEE@!mAh?igAs@zm87CLdkEhEV3RiSQLK4fe z{)AM;8vUn`m2&Y`;NKmg&PPzqa%Ay+EYfP<9n~#JGF@-@gg@0m&BJ8?;VtZ}cj(H( zZ=P+cwp(!izc>$1w>wYoWj{af+DmJS5#H3GY_NN%_x%@#fkjxo{}U>Ecz8H8j=@0Y zi$TA^zfJ)3ol^BsoOPaG)sCc@B#i&no_E+O@}PxG0*HZS5BMLYvrMs0Fu(`qM1e-b z!|n#b-R|$D7lAsG(;%DjQD{Wt-mjP;@k0L-Y=)ZsB#L}`e>6%}g~o%>h0y+9cB%gf zS_8UbLqq_AJ}w=kt>b9oQ!5yP2t|Uu(g3YTTHhfZWJA7?c-nk2Djqc3i!#N}&3ceY zZ$Z8fhX71o>!Ng}C?Y|ln!sQIqr;0wZ)#l>6?dC4f)=XrIJOfY$wj2LkhTkv2bYYx zlRhI!0DcGA!h|TAlS}Rm$u7OjlMg&b6#uuOX+8hrsmVCId=?T+16HrcYkA`H02Akm&G$n?6cF$wg!4Qa#jejPB z!$K5|WW;b#e9dxfYJ~vC6q;4+3Sd!X`dC9trev@ir22 zff`RxsZ@GDDdNTf-Y=7oFsk>}fDI1blbAw)$EU<;9UMVU)O4di9z`ZE-MPDFMU4}w z27)uaB#YMhcZ)x%1_i_}uZqC|YX2qZBpJ|*pP&`9)zQfG6tK%N+8p#%;ZXMH<|wK! zpFpksXT;O{)#-%ER9ysw9rcCUZof*gZck=rCf1Ut$JG76Vi(+it|= z8YQ!uj!G>}A4r`?rTgKrNs#u_MMi>%9IktxpfjRPv41-D>$)fvZ(ZcAnI+9;bH$tC zL9-D^Yp1@YFM>2i&m)Q zq<$ZceT~lY0QkPkzphiECF<>%C0UM2jwZzH=wVBThQO&W_sTnNX&2u%$HAgbLQV}G$r4!m*i=wt6iK|00=f;0wPxzZG*~z%)1ff#RQK*CV1glHN>s0mxg$yD< z>vq2OgOw)dw_aN={EV3f=1`;quT+r#;~!E*1W~)muYmPf#CqPJpPg0dDtm> zW-WKT&i~N*I=@lum<8!P${=cOA?pslvGBzP=2y@jztJ7^9-4jFC6(IqAjM&AJM%jK zjqaXU8>FFr7!}{G4froV6FBT@_nZ7v0{%R>F*~7;cYc3HcTF~Z(GYPnzvPWOc#S@` zHYXKZORKW8=!_|O6ZKC_)UVGW{LL(BGDIA|;tzw>J~8(RPBpQ2E!7fNd}Np5bFTk3 zoA6%_h-0-A&L_Yy(F7n9iY5ugChuSzxi8=`B$yq1@5JIK^xXiP8cBi^TpB{( zlBjXMPjmy_rHm$v&XBN?D#&|c-4jp+fnXrE=vO>M?veC%mGp&udf&nLRQI;aOKaPy ztX|NWoTh9sKGpDfZ{MJbn|*|{7_PKX;U!ZwqRs%^E+V>oMf!aDz;MtLz_&zD=o^$6OM$OF;GczOhX(LwIP3X9R9OZB?%Blht^gkA zS~`$F5GbX|{D2S@*VIRg-=?RJnnLnP+F(Q?_+V5Y{a!A#!^{_DnG14gSg08me`Ey~ z8V!m6R@)whTgM)YvZp1Q+y>PE)K3JvLtnkWC=iX^8r}TD+KOKXqQMFaTKQwO^{grI zOxP7Lb=R;Jn__KED>p*XmIpS$U4FG_D+2XHzpe``54gQ|)%i4r&9_50R&CF~ofMTt zc7@>_7y_s2kDA^95($8HW2`DoCdvGFaM>=3^OJ}GHw3B!v40BK4Y8#G_*ru0GWAiqzO=_Y)#VTCl5BA0 z9f5n7B>WWouwXy%Hr6?TZ=SViYy?MBcSt{=an6Qw{j%om8d}M@-l(B%AemA%97WBs z%p9#f@B?JGni^=C8(A{je_5m3=CZ5qDJD2j$FnsaL?&z=}{=^z}_6=Z7x`A%pnje5b(!JHn8kXIo`Bqe2ZTZ5l z$7+ercH1{>2j*$bX?|Iyj2DYEaOqix)?yJYmW+T!;$o;)*$SxdV#r`|3`pKJtKxe_)RI zz?@v@S>~P&D(!+MMQO0LY6$4hGEF^U*W1v3x@!dB;4QO)J-chuO%&sdg4SsA7u38s z>GiYnSP!LwM+Oe-{H&a*nHBO0p!DFJsi_#O0lWV2HhYmY)iSlA@tfcLru3>M3s+!1 z+hHM9pe`KJhkWf$)7$vh;|UJTle6K{C{b#+>5Up~h$Fg9-KN6s3b=O%1)L#>9-T); z3;Uco(^t7rxFd0oxlYjVP zImW^`;i;gyTa;NB5D$#*eQ&Nj70!~ySb>Qes1jhVNBFrxT4f3dffbtyUtC@k5c2Yh zOG^6acmO;T&~gO)!sLW{#tCvjr95Gmbs3ezF4Q>&lqaCUD|Y~A#T)Ar_MJCZtLkQW zl{iMUHP3d>>WBZMXLa*StE@tVx!|sf*6!Msf7;;}lw@7~ncEH?6qWM`9l1kE+Uw(F{h7M; zg%?%iOUjp&b@f(S^^)?t#@F-pcOQG@UWVS8%(@lSs9VC(+yZ}qmlr)*D_ zbbWU8&kycBbN`OFvSfTA;SOa9yCYX!Rfq1%mz9WLorNfW$sQ-+shy^>d-vNE@T%WV z&LcECNVQ^zT^I`icRkE1#a4&-o?O`PCav;TuYraNCGGW;#+o);ey~3U$lF|G^;QqufEgxE^e`FNJ@~Z+9X%1~Y#f zGpG^|+S%99FIRlqE{@yj7yYU58HnxnHwo@}g1Z64l>H`vTpJ!37-PQOsZsmHqxO9c zhkpypV2X_%U&ojeRpKoFP3gbz8A=h(-96S#c>K+@VywpCFL#YGTRWL1heipJf5|fb zO>C<#*@NY8^v}S*^V;@=f5x#qhvCva9(vlC$z=GWe-o|U6#jx$l$ANY-5J)gnoZ#? z2d1ptbl|>~Zovci3Aw4W;)R^iup8R@U_FlYr8-o!lI>0iidq<^*)y9LQ*$(J6d z&l7)f0bZd^hdT?1mFeheChuly8Z+%?$!ITUn46`kY@t-Z=21!_m68hC42HTH6lEnu zD_Rj0W@pc_)>X6S+F3W*=hfKe)>~f5{$<~*ukFmt(&{sGumAcD_paSrtAS8$Iu9_~r%w|cyV0DLI`EX^X_wG!&`J6QhH;*2E+4$kjtkQVw zW=@s#7G}+p7u|c6nah?en1AQeyTm28wFJCm1Mxq+QYr8Do4kgGYrpH}E|1FVNfo#V z-`c=)1xMWQSFW4Cq^Hqeh=0#DTEEXT-)~stJYveGFB>BBr_v`G;+8wR^4#5IDi=B$ zBXKWSU9nx~jO&!MwdBtT?69vqbK0?Pl*Kps(dQyyuz5y{xfbIFNk zBnMqFv038jq6xoc4V4ESTIEVU@zLIf#1-!{A_4!LB00wwn*F2W?>%zT|A&$H$O}!J z!J9{H^nY{Yy)VS3j9PSZgvw5@ZZfM@ziccq|H(|KtZ|0MQUX%w9$STsk?ON=E?PhFkAm(VR~2Y;7fo&8+}`GrF6b5pGXg zYinDNoPRUoB|n?x@WmJ|CxdjHE{(rJp^))uyJg)iZQ$RG7o=-__&zya>jO(~UDzt; zC&$Cw((qcB-a6^VbPdf7a(N|#Tr))LWPE&7QR(M>P(R?Hsv!PzZLA#6iDZTRauA;! zC~q?@mwz3CSqH_p-zmqN4ta z`SV%1;0>)m+p*(ktqteAZ8}a%k;orSl#1{^*BEQ}mJnX;j0Yaeqg3AYwStYHlwOmG zOua{CK)hHl@pS5n5ZfiYCa-Jj$Tvmnh*gy!dV7fwQ`;C~VtXL<-R4Te$|NUPr>snv ziIrZ%%Bzdb%m40TbE7hEG`-;bhV|xRA^HD!!8yRdwW`cM-X=uGbC}0?n}Yeyln}Sm zN_1ZF)-_@QWaM`N-f4uz5H0?+48Nt27t2OjmD_6Q3W-)lu(FkRTif`^t^%A&0o-u+ z1BaojpLx23RYrD|gdnF7=<~FAYc-d$PVI7AFYu6AVHZOxDvP@qg1D)&w5z7(l31ny z8pJxg_}J2@MbmF2$=Fg{(*6f>XoQqLHPK0UWzR{DeIukvyv1TRc6l?D`00k^8tfOu z`Q`YH&_y26eXxuv?6U1-D!QEgHiFiOrRCxjMQl@Pm$|qrwkWqtL!1Kqq}+rzFNRxe4cIw=k|CYW=zH917qa&GE7$41DF zroHqzN!kzfr?+b4|L`L&8{xcs#_P_*+SP@ z?Swd?6kUz*i!I7nA--0|d{{m19U+sBoGwYC6$v2$SUIM4^&iyE5E$0Xk=|QNnTKb% z;o-I(1g<*B7ZAXL%(N~I>1`~BSEG)gVgT5YwL&tm8s?+waq3s1k@J7U|2>Cpg})s? zSM;wO@V_qrr@=JWt37JFB6|C z6MtJ46`w7IH^+J2dWjd+D#vkNQX~)lv@9VRKZN+tmqDwz$TJtJ$C=_4<4{D0eg#vs z;wK^T;~YmqqP?2TCW0aTVqdRD zA!XP}we*lh&Cy2;S3E$kpvPz+iVPo610kQ|5-%Sl=Wp}`y$5PBKnVHB<2&?rr6(vb z2l;yOGkAl{gz)&ETaFc%Nh&~9fYS8t8NwG)F5GN`xT_Qkc9Gu~Q2NBK0&piC7iI8B z3$-dSw4~G{K2`v2(;HNxTo4@EuKuBb5}ZD0C~`r>=NdT&+?X*Q3c}E=sGT7$HAwd+ zAx6S8fDt|7vs$;{2=S7MRk#H%16Waft5jx5!7;{5X24;R-cE27Pm&x;EBYG&6(DnV z7a*5*IkLMNz}*2zV`5?`sFXCv0al1-zudu#NViCwfL->9uONeP!wtp zvW1Jf6iBGRzOp! z#}8zDMm-T*_Bjs#kwj@G3y(|7hF0ZCtwS@XPLg?T!3~u5s{!Zh@I;#XCd+!QbXN=Q zNzj>yy#}z~7gVOvmx%~2nT7zVelp!}6GCUCU7tqIGzep1&`o*WR&5tmG}%&S8_+4% zR*T!U`?}T0En~b@*EPUsyXt*udV8|oq1BC6{R(#yBx=zL@CVvu1Ime^549X=9pjT? zbGbv;1#byf4V6c|14+$Mq&wCQR80X<1Qp|*UmpI#`>&0u6sX%tup{R9$cc)OnH)ID z-DM>QYORU^Zi;sfaWcsrvcAVVP1XS(I&lx5IEkG*+}`6)3Pz%t(|R+B$ek5OBb!Q~ z8pv8Ab_=kQ&XVEpr8!FHe}cA4DoZ^cY-}dFNHyt@cxgLLW{J~v&)QYN;VXjpP==;i zQm{G=2}ui^xIN7LBAh>Wy$rL01RYu)7<)a!48RPemPPBS2o-zQ(z% zA|L#(XZ`<+E0uz>_--U^kxbe=AZ@Pa4quJ}3+g!*;evw48?E@On#hu)YaqHJD0tuG zMtvcW!bTO*4+)?1ucdO(r|g(6 zgE;95Qr|`xr+1MlX#NF6IU(Mr13ywjjv_TI*}$tE8Up*^!+ia4ssBNCjH@}4zY$pa zLjgfXD}?_&>L072C#+(pkXuy8Q{jPq!%cac-lRV_oE3z>3j6HKFyjA+E0rStufG0K z{{Q>Rob-))?=?@Bzd*0X4|~@?eE);1>9uQC(+@woVZ-W&h)IQ{PF*ZksjWp_pvHhU zqM7eF%=goUsO-Bn^VuBfV@(^YlS~@WM>VZXh+ik13;9MP@<`{UNV(GT`P7w>Im%&A zFg$)mU_$q3GHhCt;fhURvK5$Y^(NailZ`dmw9M&djn3_xR~Sla)TVC37YR_;39`uW znIO<@s~?|DFMRaz)$Ehi1RkEd+OfURNXw^Bx2=El>D3OJC^X3b^%h#*k(FLHn_l+l zL#xrx{x|%t#cTT;@vie*xPJ9&dfDm+H>_Px6WQ6cj0U~<{(oML<232bd2U<1=8+9x zxIMaNHG%8$5AkHB!fPsb8x1pJOYO=A>e2y=_^PqUZTv*}7ak80f8mjFqsKGyLkNw6 zX)qiVZnRv)EVZ*A#|6lPTJ-?+(f@m$$)i+-bzC6#AhC%Pn&W(4RUk^_%rVtq$1YHd}NR)HiJ2DkP2BPlVO~+^R5JEGO~}7Z53i&}$SS(DXT15Qn&T zJEffi^8R_d2Yqrjh=CybCP|()VwjyXu9|i9CGo{c^AU}<{=C1kNWkE`G5%YnXq)us zQGfai10{hY5m0%ch*|@v1~Xma9r@;puK$_61rNcEvD0af@sI~ttJf?$(~RpZJM=Yl zSwH3fo{3jk0h&5mNDii}pU(R${9i1)-hS%1W5vOn$$iunye{YlLT93Sh*k450=_%#r7V zhzzNKReFodD#!TPqH#Xf3dIyAtFRYQ`pbf)X@0c^0I*a9aQ_Ze9KVURR)+Y>aURFf zf_&vkUfeSkn_mR%y%pxG*#c>ylwZ~v$Y67b-~3xad~<4=%0)<}zljn?@gYSPYlvX1 z)$?InL2OY-&M?Hwwki-i)*0kmCMh1|zl8O-=zd0~`$>p@jqoRV@1Cgu?rJt}@5QN; zqY0$Y;r5BA3OzyxFdCSeT#AYVnR2>BS3z1zf{2V1S1_qmtaYaoxf#SIoQn8px+`b;DX2dJD>>Uc$1v1b6)+N1y`p5s&AI>Lh)nTF*PUn%rp zK}V6v+`#)#w4`ig1E2&v5We|1hB}6M2uIWVk9-4;shH(NX^#%Cw*bWkVGw7Rqcsj3 z&>7&-3ZES60*l^8U=q!WB-C^QAt1uuN7aszFI!CwIH1*x@ROqrqgO{8MtQ8ABUi^6 zj_}kV#JW1pFq~u#@;0LPu)(gZhrY$o;luH*g!qe6Jk`!X^s{6%wo8Btf^J|bCE6&U zH@FO4(BViapf}2=)*&+qJSc9&Q1Mrkmnp*Cd~x_0h;o=0qh&ZME`VI)TKCXe2*d^G z;0^T%I3cA1K*z&84kHe2QeZ!{UcG-gC94z%TPf+|BzPR0ZAcvaq69Oktw!w4Qg_;>LG-*r||8QueUfnCFsm z0^>mMS5nj?zFyug#~vOWm0FVr$>N06cJQ`h2y^_2Zyy#orHtl!HLFdEKZej$@*rQ7 zfEIjvxeWE-!XjMaY;y@RiT3@u7CO)V!43_H!yHsj+PASB+inig(?19Vh%F27K8z6H zaU3&UbphUEKEfNPbrtWWGfjEf^aY4%I4UrY&ET@Vx0XW7QE;b$u3n0QDyis7(_gNT zeJD=CJofoMWyC_rJ0i-`FP}XkNw(SQSWL zD2tq85GpDJ@q?Rx%NoG5rluygD1a-}p$%Z3m>73IK|qcV`EHYZmWTji`SkoO@0LNh^f5a{$_CQfTE)G^IBoLh5^9F64IR0qma=1@7=>2U>tsx#`+*<-|7SOB=^HGTN%x|C+&p`XC+6?kxWdU)u-wfeqCOb{B&SBgG5#fBo zr#sRp@HsGdd%7)$pnyRT3qcST(2qN<fgQP-qfcyu~}Tf&jky7Yp$ycBd#jVp)n zf#?GL*W>Gz47A#lLr^h*>CkkwyZ6#c52Y9LW_Valmf`UEl)$;RbpYAigP`9qxm`~T z+EQ|V62e^$e=b_nlVXj04L4;kt-{$Z0cXWnHJ531@D0qH)#>6n96U2LL&|5*NLlP> z%!e~1B^v^ANhJGcO1vgFvHB*ZWk_Ihx|~wVMhryW!_C+5`@wZ(13>}l>nWpR?g&{R z0MRZ+C_)gwRu-9=D?}d479wx5LS#+0(4ir`ua)`41nr5G6vIP^Bx;1n&&q|!cT0uH zbEWd2IqsW2$@5?8`KW$+f1hp$1LCGKHdB13EH*zNiwij_tC#s4a26nZP!2xMr$rxt zjr1AuOJk5LLWG9@Pl|-dT?K+zm$7-INpMqD(6ytmE76c>51^vucd{A&g|vn_TJ5ba z8xDfNdY?xT2-RsT4|?3YKr0b7O%70K6itpKFFwdQ-sk(12XmSd`!kw?X!V6S1M@jw zzn9k72qwwb2R()%A@s;>A{1Ntr{9$aHwTYy6i}qk6F_}HZ6Sb78~YR}Oxm^qPBC&} z7ss*+Ziy8-#y*`$W{TUna#EbA5Th041UykysbcfQ^A!*x{+8P;k+hm~p(^})`i5~p z5=8VdK0{i<<`qjWR?8JJ>l9QTSIC@EQCZ-{QS|3r0dqzV8XPoL=n%ClID<871(UnN zXjlQZhK-Kxf~H|CMh7U=cV=oxqmICy-~sGYl5P+oARbUGjq&4L_7M;xiBJKtQeJEM zS{YY+1kDQIL{hKFP-d#K)Y+OGZLZEhiaPGRv7NJuZ5*e7n>f)3x{Zok0>x*c_yW#} zHdqU~MpF11UQ3-j$9+Ch!MszEvqh6puvpwpYqAOmd?*W&@FHPNH)%?+ z%+U(0(qp{jqBU5h6BQoAM*>dAL4Q`85Z6^F({s@#H$!#3ZA-Dmj;yYJ#>*S$bkRy< z{R+*j#l_vdILCY;as6&n@~%>hJX5LbJk&HdnqQq(N>I@ro4&GZlChT39L_b>@IGdwOWW!2Jh zNM_Y{VMk*EF5w7km=}*TNinxFk=(zvG9k8B0xYtM)K?n2fJ4%=gS$$TI0Z?UI`mxt z#~>S)Z383Qb<%xrh}JBMf}&wU?ukPgaOI6ANy16r9R=)W`w-N;toCp1qHo< zBQ(U662lk4kTIuG-YiukKWuICrRRhA`j3>(Q%z0J_~O@e5PX1RXF{732(i2SPcyai_E; z$Ywz_F*rRZC+_VviHA(dgH#nb68qPg1RIf46fdAx2;w8A*fP+~b?{P(Z%|y|${a)d zTJAYnal|#9A!ancD#sLe_Otq6Xf9?Rs-MjJpvNR&MgSnO?}t@hs!GnM9-tW~fk6!q zKo$QD_-+AeL1dsBK+8y%VGx|@y;84*c^h$6H(4$Zp+IRCs#QtO!0N9lWCiiG0 zs`F$};IzPY&@o5uAq1|FI=eiL+r|MLMRN9Uq?sCLKdtvcXLGS?IOtitF$$KDUea_Q zR87la;`KqH##z&_7buqmy4g~Rpk2EWcMtOj@EAbO-6%{B(-{b`L2cQ%tndhqK|o8C z7j!_!EHB_ldw~l&M&B?n|3WwN;&Hynq7Ol1HlccKIEyOOah^HG#~umiT4fCwlYJHO z;Zl&H0M!CwY45)0r$Naf?%sWU(|{T{LLrDl)AoC(K`=z>zOnB1eK<}0*hFb2qa5cU zb+^ofzyURwntCVAl7h@5HbO8ThNfRR`*`pV?xF zEu|S;_=DecH$n$G-L98wGDL?2AlkXe*K?^;;7y%M%abM3*=%XczMofNb>PY{aZMG} zt}`kAn<+6*z{={sPNspCGAUAqMo4+DJtvdX_`Bdfw$etJYy>JJ=v#v=|NZGeIBeIm z%NYZ&G5FA2z_B`zD|X}EH7iV^Cht2g19>LHC4u>32B*OlG_e_+3PoTsSYcCu zIdT8Ii*D;t9`ujn6;_eIZ*mPl%mtL@b{o3`jUubQazv!+dblX>!EqdWAYo1)aegoaM`pFHCuDO51qifgD4?X(i zW7*ej_kJ%i8EM(tr`A8XS`Mdg2sg{yUz%T-+-`Ge%k3|;;J)wIb`5>#g|E)M%H>wJfvl0XB30X`TtE4pHx zsxAS{N>u_X4n#FIM)c`Of1~0-PN`^8X`0lH<(k{ewMSVUU!Z@eAmdDF<`p*UESo(- z=HyoAj*x~C(l|m+nO>cDg3Uk4PQ7VHLA0XqdX`oxJMPtYdocGhxaQ&8EcifggxLR$)_lu6|Fet19>nT-=3d6@DD znv|3JtdYY$7i@RVMTN7?3zjbJSZaPD8|v@Lb@xBG`i1Q4YUu@e#^QzSrK|6Mi0)YP z_@(T&N1uF9=DM@s`QU7t?x;O5Ifnus8`k&EYsL1s*>|pgY&-qr z>Ib)fIqxE8HaP+F0>QMHjjm>SB0zBbRw9)WaQNq+KhG{LLYg~mb?p@45Rq)Wz@ zlEN*DLA!J1=H@~Yadj;!-rG5227$YKi%-sCh!$fe0WZ+0>j<%=mO0t% zv=Y3@S&t0VA2kjTV8Mo(AwEWch_TLK^C&=o$iw1ovqmu=fSis1JsfzOgUzvJ!RF94 zzb9uoK5lLlLo;p7CXH4$R&Dc#J@R$}pg9cScdi(jAdatWTq!4zxw^TfF4ZE=H8aG3 z`x~bA&HX*}ML@Yb#N1OOFL^!Zbu|Z(6g#b!mIAedVOe;6{Z;}D*HLTYXKmYMQ|r8OIna3K!IBU1b74X_sqiLr(xF5awuA$>0#Y z9job)w1bqYM^X=pT{8uSY;lG!cJ%D_0nAvh2g(bKuQ6@zr!NSibEfdOOW6EZC2W=p zHy{_T`D)>~|7wARj_?`$=Ca*)kL)#y?BLN5z2RKk5fXg9zE)riEgHSd1dyAdg`01s zS_Dx)%b{#JU;zRPlgw69D_mnc;TnMlG|g1jHCMA{z&8rvXvq$jZUW;+3%U}9c?@A; zQv=vJ1^J`ra<*{WM=Hdjrr{u3JA+e0qx7dwo5S+xrab%uit)9TVIX>9^XcYZNoOfd z%cFe(C4&aB&s!KHkH}wGZ8_ppM_(TR-oE5jK1g_|DPLl7bvRbGo6pgT*owL{%{c2}Xa=j&YHSAlmjufD8}U4bW5dBq4r6ojuOT!;>Vz&4>qx}f&vB`b z4$cOHh~N{!VNXCe5gZG`%hj`)2*RQ3lupwb$c7HS6FAX9@coo{?JJ%LdQHt7xZgdzsOgmrWVrn0EGnIoy*ku6|%bJR!$*r_h|GV}tC z9)Z(Qr_-yGy|rb~Ut+fz#IZD4T#TZ=b+to8?ea2T`2{Zy=_&_#x3#d#TGVBwx^Tg9 zMHiPENr46eR=hQ&nS_;4|`QjQ5f95RT1=hjCnbxPPz%JV9a~ zGJh}}{xE(~+WYzFg^@p|>OZreIXp*28TOU`s=%TCrgkdzLGhK+SBhRM+Ff*e*>lX@ z)3<4UTD@b|8`bX{4HRy%4(gpe&3Kq+j>}UGxoIykXc;h}Z7aTJp=+Xf8iEp;G z1tTjmR^*F(Qsj}B5afW4hMHYJD0868L5%e21L>z!N&nt7`Emh0sW%{oal(>AIK$)Z z{j}0Voi7f%XkGChda5apI#nFrvS%<0BIOl>PI0VX$SX$rQ=gd^@Fvsd-?AAvT2xaL zSyLp)h(j@iWi59vaN!Agw{^6%x$ro>rFSiMExqgg`7L+4=C}M25Byu;l8^mcykNO& z@q#0_cR&g}3lLBKgEu_?Z^?oMi(GiT;F69-3tV^rAD$8THTj6Zug&jhTj0Wj3YRW! zb1hwr2mQ6Ua4YomfrhH*)6eJUcPzQrg=g`}#|_@;N}oE|-f?G#3vP#Q`^vIAUGiCf zUs=4sg(nrtrw-ohN*_L$N?p7dk6*ZO=+K8BhQq_dqoaHGe*XE$AKmU(UitXrojX&h z4?g(pvomLgh7KPlK;7;qffHKgFQS+tt8x1mB&Ebj29xRc?3LK|PYu>D*LTtK_b4>6 zWXYt*l8f*M{_D1{W7p9{^DPGY79F^kELrvHwyzR&^W;ZQ@|Q026>fqLO1iflIq`wGmou%28e(J!VVsF`cQKus= zy3Xiyx--N&*O{$b!T+M1-Uact;t!u&dvxp4uqXfJd?6a0N*U4!$S5?^1`L243|QiI zTlc)W$JHZ4xVUvI0r2q$ZkNjikWXxbfNduL1J@ZBV3vMj&aOR}b2`~p;-XA}iv)hj zvu)cdV(Yf;1KYQ4C0z1#k=T0l)vXwB>(;?n{{^0yAEw9hoJp5tD^gZ=Z^LlcI;21v zE=_Emj4wZyOuAoPLZD-b40nkfFHIT1Lr!KV2v>6|MMD)4CuE-!P^Yg>etm@37eJ7! z^7Hq-xtXq%HWmpiXFdotz$h4XY;OZzG!J&%i7|Qv^Ri zxF#tee;fw>#0Rh91&D0JONNJ(LiY)mD-Dl=s@nGI08*G(gzbklADs$l>cCK5+&qa0Ym^+0_h%gZiJOAq}i5e=hfGn$3Hj|IIIc^L!8SMf#G- zfzMTEdK!Aptoq0Lw||uXALai?`TtS=f0X}!@A6Yh_<4y&ud-1{hDJpl*FGFfc-y$Y zo#1%I{~;^?32%b-Hn0RWo1pRuZ+x2f)+&O!Oi&U0c^|D6nZ}U!aZ)^2M-YFy4W~D- z1 zt02UrIzu*r`7KYbBLprxJmx)y2s|Df9{@*1#9#8jibJn7nkXBwm2kyq(a)BJos)?V*hB<@Rj2g?cpth z%+cy_Go6W_$8=7mMdqVw%&jL(?u&58oBC<>@s(1>U`YIFS#X@mGmjr{!u8J-ysX3* zsd^k&SAJzsTFT~1E%aH$5a$YTk%_z}Lfp=I^O~j(-O_}OGOVDEpNhI3XNgt_8L2qHi*-`9CkI0pgYIQYvWg;%^@f;p<8l+2J; zmrj)o$J5EYVYMy+ev?3Sg84h0r!=~rK%<;EZZ5>7V!f1|@VX1VcFKFG+^eea-b#31QhLpl_f^U}i}b29ye}KQM>XCdt@mY} z_lz!1-Yf)qMkGp-cPx@&7>Q2iF@n4Y+Bf}`7L#1QPXVJ0BuXT>uGKeu- z=D?UOi(o#h;mFFbcUr&M$*Ca@E?v2H{$Bk4g1>tJpXpM1BZLV*8NR|~5|t}E-PZd% ztq*ouS9dbRXO&ecZi)4wP9}q>{BdXHPdX=eO!$`ifBl3$w>9rG-1+5(jT3&ZzV4*H z@1+mVt5d`u%Z{rWjQ6X>XVmDHPiakcRzBM)E~vm^>CddWpNY>;6X}XW-z9J}ot zGG(3e2^`G3ipuYFir=dg{gp!(8HvT)EWFjgO+0~~0L+o|832(~``m@%Pb-Jqg+Y0J z7EKE26aV7!iGLTAcnE?RX8@6>X=PkKuJ3I~&SZb)f11{soDy;HSvx?ICqZvK4={sd z2rhIDFJRyAbOLL{HoeKuNf$~!C=g3=H#-G@j+wvK337f+FWy}SApg$Mf${Xwf${5( z4$P3s?5YF#l;VIko<2elJf<8j!;QutSLt!O5(r9Zj6cYWXJp|9L-F*%g7Gg87KGRM z|H8q7i1jzT{%Prx=@u*TWI8B9&u&(Sud(?$q_2EdU0nI)S#@zdt1iB$D4ss6j?RNL zAw1Oc);X15;e(Kby8igO`2X+j!i%>&|3o>6$i8H$V1`}#8w zJFa`bhTVooSEnJIqiNb|sjH*u`|g>w;OVuGKP6A^)?03}(c6o|TSkuz%SS5qoZ|xk# zvrI$jng71@%)dSO<zOWAA=$mUS|>$yRY{6T6g2y*zmA?dxf zGiYpt$VUgM5$Gu5-<6L=VDsaN8a&Yu0aO)-$E79vrr`2$r*t#CKu;2XE*()D|5@=_J z^f&m+`ZbpW*YyRs=Un*8{8;ZJt+5`^n)Cs6fd1T_q;5hdosjR*06CH3T)HCE9cwoK zmcD}CLyNS!c@_3-owrV_>#wk@@MT^yu=%_S-~}FdjMNhSu~s=gq%SHel5^0ZM>26m zkU@VY-@_1DLCEOETGM%9Rz+Xt)qgdw|G1jhe`ukcHqz7$ppuT&o&9WXBlHM3r@mg7 zu;%hYLVDSrE!X1GOsvIu!ga@xOIz#;u1TWpg-PbY&U(i~oqH`hu~G->?1P;N=<}p( zI>!y~TsAnk4D`{^6|qGRc9s*RS-pE$-C-T8vRtOlj&ig(q#Z6Bq>@qrtCtF)S`9}j zy)}pD6o8U&?Pa+mhleOSsjz9lk;^ZKT;F9C7ESxcZOf^%8~cYUb&dx+-yNKUNSzHa zr*$Xg{lGXErA@z(@}6HmxN*;~DuN@gzL)+@o&So!tnakdFui(lrCyw>XIy$HF8I0k zvdXzsgn14F1m=lOTS}a+ho^h*;9Tl+BQb!?InQr^UvF)PJ2~nT|5@)DE+<&>zQkKe zwcp`)jQT8}dWI7kr7tPItV`hbgbPi$UHi*APtasY3UNGx#yzjXF!4)4Q&LxQ8=)jK z6eZSkKI1%sX&{OW6Sud;EyTIeiC}0fIAJrSNFg<*AG0YN#%yG2%%;j6v#Hf%Hinqg zE8xEX{0rd;4KY!3ZtO|T*m~{QlUZZyv!nX4r*vZ*^d6u377gKNCPG2|Sjh8)X z-%#LD`^MHO=zsT2*k%+@*w{=6Cu<0Ol&Q-gv+>J!ZTUrUb522Kc?ur+@K4#Ww&o542>kLu{87#op+tN-SM=mU5cSC| z_uYHX^1JT7{myE3aR(!w%sDz%uNfGt*9xH=LiA(3&Dg_QFu9zP z#ZE~MOTH;2F3CJ>nNF-Nva6y&D(o%J9eYYMwn00J3pYRk&}0M*;&2`N*YSQv>;^qKX+0Pcfa4?|MUAbotb;jJ@?#m?>+b4 zbKjJj0S=qI`%S6M!lW-vsX`2R4Z0=XE(I3g3kyTOM5w=L?3Dzc2n~x9Qd~pS<65YF z#O?re1+Mh}T|`+sIlj(N3abGH-tQkHFE4Wa? zTJA*|(txm8+4AlTUBX?6{4&rV9E$!7Pwa~>@g*(L6#E#cbx?Jap~}OCYaR6bq^@}0 zcw1e8A?u#4C~u@hJ~ z_8Im8_7?Ux>}BkE>}kx6HDYV9hp=B@OR*)`e5?kWja6aOv2yG#%z;hBO0aR*Sj>je z*htKZQCL0(dJvY4Wnl!Cfu&<Dx+M$pw$F+HZkwD8lwF9lP>PX%QK_g)=%5vrh| z8x!9$wmo?OFRGT3&aJncT~iQrHBtsaO@)Hu`XSn!Cmlg1kTSC=LzTb!IAe4P(Plz{ zoCqvWf*cQGo6^a17<@4dx#lSV%Ah{rMZ%0eVM2>O0wG7A0rYz9tU&GW6kWeL@9#8& zXlpF%>w%Gywe`VOn&5J+m9LTv3mw zpwUmDv}*smIiN%tH`FILkQe7VH`G@-*VcbbD!`Y?fpq;5PQYv=o$ThrUztR+*n`Nm zwbV!JAFbc`5%f`O?Ff`-+XPlpYFl3qG}^&X*TA{WOmz06^#N)B@a9K=@cl=7FP6dsD&3pY1T_J^_%F0g{oqO%{bNBbe2&Gjq7U8KR;RKFTscnaDY@^ut#lmNcO z@fhO64Ig%MvvZl@4pes>6u203o_pHd9dCZ09zj7mPIF}R2B;PFiWC=#R_6P7NZ{!E zF$U6uy4mxZ0;SWrzM-9#=q->8plT^JF4AN++(2q0P^AWjG-|2ch>*k%mL9tPDUeSn z$0E`9&kFxd)`6oSJ)C7a-~Rz^Pe(%;=-MI)dkq{W(^=pP-=Ad?1I(&MI)VnhwASES zy$fg8()grcBNjO?6q2XULw7FX&q^2GCi8ER1z1vJ)#gyxl;C5!;CekVSLh&Xehhgg zNoo<3VCfI%5~w;Q}W1>{FfTeKmnz z06T$14LzbaWF)aHwvslY+cUiAR`*&`j_4ocdjcWciuR%SdIJ#zs-$fS%%J@zZHZcb_Anu;J)=z&659|(x-`+yJfdmqmcrJ}ve2j01&l4F4oLPWmv#@k2b zAfJ&y(;iwY`uo_|q}${8K4J!+V{ZB6eN68t?3Y=KnwCCVXPpF{*1;A5>tT8+NXF12 zS3aQxhmUF51 z5y^|m?dF3=sU4ZZb~=N4CsTNxPW79zYN)R>etK1TB6Ikv@{fqyuPQfXokwFQVlAD9 zjy2!^7V*E|R$khlysbR9ovcjWR&D}+Lq4%;`@g!dyel)U|F>DR2E1n=-(5c6Sy0q= z3r=u?2oy}O%$q~jEuH(!qGuHndB1pVIaD~et}Xv}NQk;h-0Xhbw-QZ8F#Y+tjIVzo zsuP(0M{=3-{TrYnej*pus~V_RnVWZz)6)LoTgcn{Z%}~#AGeVAcjhuNs4v2)CClp( z;`);H4TmGf$%ik)bIs_-OkyI?hU9e}S(XPI`oEj-~Xtb24q~;K1#zK?| z3%SUWhI$Yubid+Fv#q89=@-TnERq$$W#XKP{WXZgn@qpOlDwxoQ7Xau?IS_9@C-D9 zx`uQRXf*ULS_ZLD^^+Kg1ffFBofL!_HC!VJCc175nqT7nD;H@T)Zoy-P;?r1B^Os? zpk;CIi~z+5xqyjVI6|CaineS4SqI8gatIbExg-$iO;X3n|3u^dU`C^{!x+o*f5f;6 zn>Yf(gHXA$y#H{VHXEQn2|g5{w&W_X8g;ce%Xf9>t!X|V&)`y-LWzM+1Dc2;CEMI- z?J`_rIMWDG2_%Cdystc{4)XQ~sJlfH*z^slEl&cU^-tHUoLlQ_RP1I_o%QSFgdCIgYeyVHl-`=X zi`G9`?*)I++HP)ZE-4)tVt_t8)C4QF&=vbLn+CseY%Y3|3K1}T3snp*_*hDCJp`a-&}ETEtSb_&rAIh&LeXh< z-F+)j&+r^TdkLE7jTqM3OXT0T5_Ah7?~tFHHk*)(;DGVZpf>tK)SrjvCzl$63sE2_ zm)bUbNTUffd0x_Oogw(BQH%=jBc4sdCYS&j0s=E};x<+a92Ufi=@u(kFJdP`6b$nN z#;pI5qmc0?FE=AB@&c;LNZ{qAVO}0H1lK2d>G1*c$$O6?K7U!>ZG2HlzPjURrWkej zsfX&5u?Uu$Aghwn2>pC@L>&=lkCQXiQ1iu@pLi03L!Kfa#zeQugqty5K^6usU^vk~PBrhH&|!V5rv!3}^KWv*bpxdx>37O4;ux-;(TG`TrBg;Rr(s z>=lb*w6=%biA;RRi;hF!L&h{v9QhnH(fDLNvY_HE@6Z}Uj1N}GB*q^-7wg2Tp1Wg|!DmKs(Rd)Ax z=$NGbCSC&ef(6=0v8y)*-3B&Vya4P4OL7MMrjyb5e0}mJXGomr`+k=N$Yr^7+-eJI zCGFUcw}c`7&yD5#Z`~4>4M=7*jWvLdVoT1(zZMspp%}MvlxT%Ew}(fQ`RKSP&^lkR z2(d0ScW6bsK%C^Dm#LyYv$(BZTK+M4wTT%RYGR7U+Qu!N?3#3_n+Y7mgf|>|;eb6w zc!yR9@6wWejPM3iH9!jYI}}2T9qdg)aW|J@C5`9dP5%HkVMe#`U^nqP)a`;D(3}WO zutPuPYygx%pDd|UToG^jgcuh=TKb<>-&*A0XGe|9SM5lN zP)}f44r%n!(+TL9`YGwwt*gbuY|uQxo#-Av5K2(Y4n4!IwuYkh1w&c$?>z@)23rh* zjgy%3cMaTM3;2zru&rCS9-OkM0l*5<&s#Hwz{dvB$G!8-jT*GE_1OX=HpNyjG@S$Y zndmi7(d7>H3W7s+QXon}!&g$HN4Beo2|}w~&#kpa>q{H5dN_KBs1jbZ%T8`4j3-|N zcn@R;6uOp%GD2w_HxeN2s;r*7-6m_=|Ni_;_4SN=-fA{H#{{n2TC|AsRE11Y9{VgnanDykZzwZ z7##D8NR`!HqY7UorVB<=V|7FFfb|f>%tWfK?o_aBCPoWpG6gKiwvWgX(yU}gwG(gH zk#E<#@WgwEO7I31XCApz<JNV|!<$t>Z-Ddwk)WPZqxMkHWXM+S)hR-p(o1 z78QP&Y2USX-20E(ce?DliozX#9i^0wmT8Jdr;H!1%p9%D9L;soXxrB-H(XDY==zXx zRs*+pBDb`Z`EfN3DlJsSXv>8GYW`?T-#`czo1^TTMWqFa$ju8yZrg={P@Hp>#du&C z$dnOyJEiS&hXC*E_OcVZ%lO7JMvi6B_#?FBXCc`!5%=o$NP|yM2YRIJc!Z*2Fax z@vLzCa$(b#R|_Gt5m(=UGiMrC*5tn`+Mh{6>Wj+1ynM?6AaQP&J)pq2sl`4=3L24e zj|;2mTqk&01~U7?7<)Q5XAFeE9W7R1u`=)lugiroB%4V&2O)$s{I?S3O66X5LL!v? z1TS@T5-;*UBO(qj6rqSDnd8)B?CK^$%PDRCno{(hQpy=^@qp;b7XvGGaiH2-X?0r} zFrf|bjZ6rlga+K)JOebAWu)|S_M#qpR||NZ&Rw(F73pMOi(_{$rzz?|fEF*Y<0dA6 z>@O!VB}H~6!h}SP0|iK=6GgFM#^#KfUi=JlE_b_>D&6NKB?7^YWW zl*~tDZYmOKXo?j1+*vXBUSQ+I*`gk%7XT+tfPvdM#-1O5Sd001qIH~)iVe8;IMe}d zb%yVBv$tDU#@urEIi&v9aojJ*K>ZXOur_x(w1E(JX9n*(ZFTSI)|N4g$f z5zXZHkUB&II5!p9bAANbQ-Q=V4&7fyI8W3Ud5H-kMM@mHCY2B^$0dRk!zQwRbXp2d zcH|@%qs;d(Vo50LzJ*ZMO_=@-R$12VlKeq0Z1Le9 znB%LdwE*o(yc98QxtcHFMZe;W2BOI#>&1M zi~nvc=Plv%#df8D(SyY}qg7zGudab?omXIbsB|xaJR*(eV^WR+>Eu!F4&(F15+<3p zw7gj50u}$tfY!Z7f!V(V&p78F|08ADFea&n5S;OWJ@$W!UO*q14y$ajeVtE@@LcYM#>!hjd4Z1Q#& z$%*fQz6eJxEmX%{0aSy=xOXz*5l&VbiIvmi;|fgcOz+#{u)3FpPjxo7ut!BCTE}h$ z`L`RO1jL9VAf++lV2oQe-p7EmOC9K)O7$1l1h~gCe9iWhnZqL0Sk~kF|J}Qy#xPXE z17h-2yD-|I70Vpr!+t@>G2?k8?P9!!*fZm+;Dz%twsmp z;3H%@2+Y|43*IrZ#G&nFD=WOOk9h^pbk&ARXw1KVc69H>X_XaN3A_%Lwq3Q_L4*h z>I&PbYh3LecB$pmwTihscd}$MkuC;KT^*QzFK)%u_+Cl${mErLCV+sr1bTO~WEy+DSC91@B2@N6ZbPs?3c8FAPxq|s;w3;af+?^7qQi8Rq_PN4enq%z{IKyMtC z#Sj!mo?XhmMv_Q+LF$vz-Yld$^hMC+#UQf~V+VP*8Z`rePxc0Sx$wkNRgX`U`@_v8 z@d5T5U=o7!d+op~iU}0v8ZXH0H1HA^CDX2ti2^y?Y86=BAZh|ms)y&`kbL>a|0rJ& zp@}gaxepZ=DfZ1ZDzC)&)wN_=esv9{WuBOpqM$-DymAB5(rUHZjVM7QM2hX}YiQDL zTG`|XZ^s+ata;?8X{qfES;a}9S8nh<>{3LQ&bUdm7D+!Mh|=^kl&1PgNs?$ILmBZl zkK+8zHHfoHcVr^|nOUpH6-zd4cz(k^x zZ|*K*;*bfk_Zpjpv}4JtK@0_h?#S*5rzx~S%s%|hoLDX%ulu(fmTfnv^>-NGyMgaT zM<$`paYknJXTm)Dcwvftlwh~#K;PUT80>QDWsIOs8mP}PBKsssVK`wvX{TH`p*=Z; z`Yle#PtK!G;8k8)?Y5!=F62bqxcx@7Zrcs#Yd0X{OJ2J{%FxumyUJ=Z1IA!ecyo@) z>}oT)l*vK&Tj4`kiZ_hw6WQU-!u{C}N%(9h^qrBXXc?iE(JFVi)k^TL<;DsTXoGu0!BCLeej^CcjwmoAafAEQ1mmki z=sh65htNA%6m(+)t-(eN9o7Q*4G9&2WSo)DbYx9(+=$jrK4=G5!A@$LyrkNNfrXGL z@SyeIxHuBow5x3Y+zI8x{*YBXqZldzcetypyPRq2>}s}l7Il>|=v-^y2twhRkk5!= zXz|FI3CFm9PB`7P6Vwnf63A-*p0RDav1u2b2Su^1O586u1i>}2n`vp1N_eyXcN1-Y z1Ej+*O$0XFf}T`~Cr(<4D-Z<~8Q~3w>f{^TdpG=<6NT*#1GL$HoJdHiS0{1_1dEHg z6%%x%`W9i)lMF)#)7Y`QMF2Uv0o{7eX5>PTxi`^(= zKr-C}L?iW3f<0JXu!ABD8oE%^)Edr%Y_VI`50X}h;Z(HjI|ZGp=pN8EqB?3hwY;8` zvd=K=LCBQk_yqf&Q`JCC6<4O)bx;t_3kt_M?)6gpH$2n-l>vGqca@3C2*1=CqWa3X zJIZ)?LY&1x{UF+Uait0rlYm0&B2Piawc4ep41?j#r;^u!$iQs`$y1KiJ**Y|>eBO8 z~f@C}pT+rb?!LwjKZ6?6N)v-o=Wn0hkV&$u#9HJxfc#Q^Q0?o-57 zG#FqUxCmT1@JO@`UeqRJ6!(6@SA{E;+#l}>y7AU3mo^aZ?fm;sI^U*1{fo;(>$WzgOoE}OInY@ckn91!{K zK@3yMB}Ni!N6jL6!`0LYa{J2+eWNv=F60UN@l%GCVw z%|pVCtC#-S)ruJ;q)|Z`WTa6}88C7Pa+H!djDM~dPffIyHiW1@-(x9lpiB;w>|hcr zgo=7{Gzj_9(IGTG({_)7bWK6)mXdjfW9g6&J=`X_J{ye}SR9Jpz@dnnD#G>^;EW$quZ4v6`s(Z|6z>hqOI?vO8Uth)? zzQp%MLxWaJbA1Hzh*I7e-5Kf9V`z=8X$!SNg0|Zw>8%@QY-N_ z+Q||EcDPcfn-`q4RM2%<`*+>Z|M#)t4k|juEwn=6PaRDdEsgb@#(5i4#&z`}Zjy6x zZU)vHdUzCuwi$rNU|CyF-{BZtF&6>}^@@YZ=Hy_qHu`9}Ln#h26UH7MV$jZLMvylE zvH#nwXnk`%?}K)-RPVE*h1fl~9C9rZvi-gutpc^JMd^S}n}4`IS`QY>!}UBmCLS0Q zm!>tMX%adc%%JB7AkA%!^^mT#JmQlQ{XJ#|QWl-rPSQUxy*O0u_c?K>+V6Af2jI2o zpxtCvNO`wl??Pv(4Kf{SRFzMgj2svhhM{eyshA4Oz(s>NX89{82+ZS7<0GgpJGJ3d z851rKHY&;(vFLDZccJCzBSzPspQA0INpVG5?xj)|( zm;+@k(y^14$_QMJ3SLjMo>Zs;pF<0coh@q zn7540W^-;n6t=iZo#jKN-S#}>*Y6t6*i!qV^~OF6SnI%Q0c)MHk23c;Uusy`xS`~w z22}XDg_9DjWB{#b`TY4?19m@M4dGIK{v5~^S_|Qlef}KgPtCd3^}c2N#M1G1TAUZ0 zgLjsUxnpk4)D7&`FZT8L?ubQe{#7yQdQ99;eDl6gnO}xoS7beINT9m?J%|oYNT4D3 zO#-!YPbVx}6L(sEn}8-9fKMf;^$E+SM3MzGZjF^}NuWKQPl)K76Sk)lR`A7nCf7K( zB%lX5wK*)KCvx9Swxi2VwD#riHui0#{~3SU^@`yS)H8Ym#>4 z%AoyY*IhjT2`6sCHiQ!c_)Uv#D~QI{gzbq$l^A*xJu+IqtN}7L8!|ORWMyjt?LCx5 zXK%g979lAs2WhqI+!4_EMwrnbkr7YM{w*(uiuo5AP+v!)AID5)ad7sD#DB~Nx}+t} z20ta`iW8?5*B~!IGvw|{97D(9x=s)lL4fZUM7EHC?@_`eAf-M^qzKpH+eb)FE-XV^ z%S>jg;Ri;rmmd?H$4=VIKtrpvb|MX!R#^=LW#!N?gC4_*}w zf`7X`>Hd36vAHAKJbtKN0a|&qnTo&N)M+JchHEyuEyPXLi@Q}zo~=iV)BQT+N0HCU zsY94uN<}gMvU2WCImSIF>sTGFidJ5KJi74l=+eiD11`)Ud`#kL*BS9rVinrycLncE zi8h4|c&8*awYBQp#uB_Wdfz#G(Cd;$o1^P~hds_w zZR~0~D`Lyu7Agbvq{ps{^cq#-O1jALk#cqg;J-;Wa0~5um}7Bpl`^rZrQ+Z!IkGHQfIS%E*=kb4cDmFra$k*$9~jJut#&;*3bV9(Ad-HAnr+$NVH;n% zJ;7#la*_=HB)o$N_FDUeAzH?cc8rX0d&&~5u$=xjxm}p5!+_5Ot9h@7ZK00=-~Qtn zLXQ2E6~L5I5(1}-luxlN13K^4<*UC7;FwI){62Zz$2h;<$F6oB-bczxF7IGUVmlb_ zS(&S&WWnp)cpI&9j%^$0DjC~mDSE@jmDInkAL>}PXj0Yn$0t>B^Iwmyfme=~{Qk<| zw-Q;j?#ACb_Wz>TzYrVTW0W(4nmOfxA57f6&{l)TM#-|O`CtKYKkOt3!2 z3_gY_8IF~(1LAu((?N}GW8I`=lCNa5hv5k6;9W8~kxR{b+j33RnX74yn|b2 zL#`JvWpsL@CtCNI$Fj~7=Pkp&RJn2@Y+L7v)<5Qn&TjNLTRn_%hSc_$Ckts%jh-y$ zW>2a^?gs7{aBO7K+N#^S_C??3sA8}~5h{70HNmEJGI1h3PQ`Z&hkwx-6T{AvJFjDu zuC4RHCxtN{^6EQ4uIy4Mx!0v7vNqRHAl@YIhKecnMVq1Dm9$}KbJQ@VQ`SbDiLPt+ zjDOOjimT%2pk?$V(6P%@S{o`a(CM?7+M+G(9^0=xAzXv0Ex+>c#=m&Di3;1_J&?V& zHV;JF_L^toNtu!@$X{W3(qsFp#{!A6sN`u+N3_c6@sxmUE1ae_S~6fLF||4W;wiye z8KkMn6yw5r78u{{a=DU$rX z=CN(|SlT=wrk1~>c;WFO6fKBV1Y*b)DFzd2bj$sr?PBVz_5}F^It%s;dSa@WigU=K zE#P5!E7TrPftk$WPpn7kF#zs!Z2~R_%Uk>!3<~GcE&@C}zny}TT;0Wf<3*?cu57hK zKM%_h7cQ8pyUK{~T(U2O#~}ys!Og0T!W4T3`@IuujW$Ck&fTbTZ2%MMCkd^NsL*Bq zZezH7V9E+t0&2$~bR@mK)XG*PHU((fQKzp59jD^5N1GXuF3M6UT7XlE86RrJUoMZn zPnF$i?A(sOhql^C%8k*t^{VLmgNHQA!790I(1Odjf;$tXDm%4l8{28M?1dUZJpih! zMR9&JI+9?g9!KVN_dovNBP%cHCCKvlgt7MG@w;t%w->Pj;P3XAjX3|;=2PdlGZCgN za)v5Ygw6oBnP*nugN_KAjI18w0X}BoKP)OojDU- zdFG6Ytw0{S>?2e(bZet+;-_gRZDlvoeJXZuxNFx6;;nykRInS#KH~Qq&xFfKJaf61X_`O9&Dts?6L9XU2wiep@=D#$7q(rV{| zHZLvZK2!$XGH-Wq0v7DVj-kyc&V_B>ZfN>RN1m`5Iu^ytf^NB&HUuZ&!A{(+>OKE_ zPe-s3Z%HgnXE)IJICdIcAHO&Rg)R=wsBb1WS!G}88v06r7UzmW#n#feJb)+iUD;5H zaI;i_X0U`+117MtYC{x2$Q7($V(&RJOBG|B^MQ!~aEFd<0(x19p3?DsGaej5JJ9l7 zB~W0&3u80A_TBB6{re%D}3lJrE)b?D^)VL(vXO=<`_bU-j~@YODB)E zK$%+z32-V2J#|XkOv`*V#M?a(-h1btckTPw{xtPKTcy<>Faz#0sMTiB7OXh;i7iIt zh|QzvAe5+%lDswoFB9P>{yg5b1!ZEMoHD3GgDZ07b{qGiZEJP$vp*~TwN?KcwLW)9 zmnYMYi0gj)gYLP1mAtU2^m%fE%$z#Z!EjM+9@>F%LnYLM)=ZPiJQ*R$d&-(vhp~0! zBgPILzDCD6bceH2A_8UC3Oc(w!D8RA6d{*3pH$Xl3zPR}rI;fD?ts=O;I5R@E=?C; zEF|lwl^%q(jn=h$T0Wpn;(65ph$Z)fmQ?$A!@pb^pl|e?+p?v`*6u-@Lao(&FWXG!vMam9 zIIwF;%^q6iN^#(m05v+><6#t7NC!B;ICd1C>HsMRZV4&3Oyi^F#|>(pk%9YH8@%)> zmlk{#S-CkHQf0r|G09~rgKSL2(CXogA~!cpLrScRdffs|%Y=GTZB0x_?OE1KoCRX! z=-4@7EG^9U$w;;wYZl~AM~6dj)M z-vrtJi9pu=MLe`m;D?qaCZ?jaTj)xo5BQ2Y7@??J7|XZ?XSZ7*;Th8{+|}(Z>lSof zWeBm-Whg0VBh!kkV0i|`MdrAZxD1`3JJAu>bfI9O^C3`_7^KSTvUHLe1WKNR)(-H< zxO84dbld0Bdl^WC)H25Swho_-WQkO8f~MQc7;&uG+nqDHJ48L@X+CMSr&Fupn`+l- zG)Q((?(iMV)2r=x!BQeVQZhwVoMJUNi`o<^UK+3B>b3TCt(nHv@XMG1vYPq6^xFIkgcF?6b7>TJFSm2s2#cpZzV!N$7MLy!SF64 zBuR1$xm&p3AvsB&1Y3$jE*MXPs5=YVChvi0>0jRPAuZoH*S|LnEGH4uH@rS3_1WxZ ztC>F6!|rKTU<`<4oX=L8?bo&0UNXQ0pcM3U_nwQ3Ng8w4@C=4ata_b=r1Db@Mw472 zm8EF3N|ib*J12KUo;fW&BaOgSB1 z#?15A9h-G})|r_{Dgx8|Sk2Lz%7b%faL1=swog4cwcS_QesI>}BeNEF&suV1){<_7 zioDF6(_S&7ecJT)q`x`sGuvmhSF}%WpVoe)J8;0i9~iwlM5N;?j4XA4F=$x3~ zP*S3x%ML$qo)D3u#ld24fUXL{W!HGKMY19zo*u9@~Lu09xe+}Vs+?a|XSN^nXYIIwd zYc9OL8+^nf?_e7621$doK~|SumsY2%%c#q&%dX3+BkC-5*1CfE>GRX(>*i-HNMDe) zK(`=cLFR(&1z8J-g_?!RMVdv*MW#jO#hS&+C7LDLCAua0C86ugKoT#ojRy)U6 zJ7>DDwif=U`|742oKrFD_?(K0Smm% zo$*EO9DnVR+FF0@@wz#_x?1=@GK;INJ5jN}ZVrPf@ij(=_%s>qcq=?lf%#c@UWDh* z#x6-4eBXlSePgQRW1~ZI8qD7U-X)`5@;y8^04_5*Bn2kBWCA>sOkI)+lLMb)`X@f$ zlqzX3De;Y9e+r)G;Q1pwuLAz-CIa6D&&TlWg{RA;MFrnz(ImeYG*I|e@T5S&*Fhza z`Q#H%KlSTpw?6axm;U&NKmXUue>#pGJJfUNG`8FO@t#jU?fB@gf4To}OYd9u^DnU8 z$VsgBaBcU`x{vjpz`npLKW|wl8<|FbWshyQ$<{hE(* zKFYltn}yAT-_&V$I;U6MHEBlWWLMS9@+sBpKmEjL82=BHei^#7^yPDAD?5Mls-0#YcX%^z`T zBz_V%zLm;p(_+GCM?O$=6{2}Z;$Om5hn#by5gMTn^ronpkQ6a)M!bm%+F5ALT;<$| zLaX5l<3?ZQX<~5FWLn9(t@L$bK!JPR>}KRZ%TK#VBE@j2Oo0&*G((#3GI~715ouGo zeshHJc2|L?!#Qb&tIXVr-UKVJG-4^F)MzzuuCyM8yE~1WpSA%Ml$KM8QYB8@rNFAK zY*kmKl?f}1BP9Y9qA99wK>^-QgDAa zhVQ{##fX3`N6at)rmQOFTpT3@TFEdNJI8F~;%S@WXwx+(q{YIiN%}x{m4uj8j1x1U z*;w99?by)~7Zu(OA08OY;X#>5AupnqFl5W#h6cvwq=%yGlA{6_*`)`j%Kej|%{0Bo zgJbcK^C{1Yr_qQ-$x|K%d|0ie5`wn9?GaT;tL1Hv2ox`>{G518w1kW@EIBu4E%gG} zT3QBg=Vniw8=KC}Pe+4J{6;jiMXmD~n>`9ec6G$G){JJ^sLdYZ7LP(g^chu{?U)BN zUeX2J<_-D7xbsO5yNEQo{^7r%a_;g7s`!zE>CjzB=XB}*&ofZ-ZqGa}&4fnY>GcRD z^ikna+KWakjjwDM@2Tw(Nw}^+C+@n*3?35I5(UOhRJcAjCEQZ);UgLBW^y}QL+3)@ zGZjV09d-=tZ{(g%w;N8V(mBn92(g@9L@T)m((U&U)7UDyD8Xj9$>kiC=~yoM@Um58 z$}MjbPw3L4^>2H)J!OQMT}G!NAPLyed$a)-4$*wV-XSlJM_E*4*kw%L*$zC)9y8oFB?vZrjh~=1=8>O5zT7S%w%oAX^ z7s&C%PA`c5(r#yuN0@R92q2GT-9~IOkjXl2#9Z(xXVwj27pG0FxCH(9LA14?6ni^p zg|{+jMfk%324e}j{Mm*6dOO#5dIN$MOYXirCk$iugnrFleJTH;YjuW^^>zLnz6=N@NzTQ~&- zUE%!5Q?kp`5s$ZQ-HOgb`=>!&4TS*>|AGK1ux&E-PWyGQoR+2%Kd{qC9AT;5)^smz z6)qfJlPLrobA$`_nI{hlnW(EL8(^o=ANGcb4(M&3;(OrT1JPisX*Ii?l(TN|6P=yW zrof^ttcQWZPNH=|=(vN6E(>Aq4S}he)=&f*;r%E3IQey)I$**Ju;|XJ{pYfpg*<3$jZxXCjAkKmRv+Tu_ zX(c6X#7WyakL9RG(382~7#2BBwXKHy!z*d2Z7p&HSp!zwP*UDvg(Xzb#8xRVTGo6} zQKPO5u7N1G2s(SMpe4~>|K+sFg$Lgh4t^4B6wn58bO3E)g2efr$9vhA6`U{>tpLGF z1g%xKhZC)T&y#_%Ei|5<1!0P0V{z(x6WX!3le`CAA+|IurPC+Vs>yT;DoHw9qlZx0 z9`g`V8*q8t(=5mo5<4vyq@>!(d4z3bEgI7lmkK*cCZJR`4{GhVbc?Y1++z|qf>>K?5V;N4g%8r2}pDH8$u`i#jXbHOUQaK zTK|y;5(xf@2jHa`x=qTQyCHTU+RF$tFfKqK@VO}75VlN_5c!%8nTtYvP!!seC!cr7 z5!s7CiaiNlGcfPvi8<-ZpqjB-v+kXbjwuj}rvi%Sm-lBYZlH#elqdv|TyPz{rlAx7 zx_Ox52C9B5>EGuH3+d-`g{AbfNWk+jp_$9B@ww6oi7{gjlsKPl_BYKTj(SE7rp zD$zAp*!~gZqFmYixiVYsHml{#4y)y>9cVXCZ^Z4j(&7*fn2F2Lb-^7Rnd@Iiei!mm z`_PgQnaRvEuCdUa=C%|E8#5oUc-sSL?s{+gShREfu^ej0Tb9mtK05nv9>yi-?#SgX z6cck?N@U6!M@-`yiw7UlD;<-#16nUFb7_du!M}igjO~MVG_SGLRotzRa1ZAKb9mS8 zKy;d`m^Pz@y5HtHl!Lxh<#D-s@DYUqcu?SgXYAVD8?EJC#bi{YX%b|vvG)HC1VUWd z2;Rr(MmP@lP+hyTBAjys;j?_u?wZQUMx1uWF!XVwmlZ7niZh|WU}dV(2dzZ$h||JU z*8?& zU9K(co9y&%Hq3CBv?1=@5w3Ax8q`*9riQ%C8$Y7B-;C%2dfDchY;)Dl?hsmzNjg$G z%~tw*e#tx{j4%hRJ};9TP&p<{JuTG3=l;_|OF6^+YQ+9~@}u>++sx(=zGH`LT_>q> zt+#fPrGys$Y=`UTo#YtTqr^q4`=s4r5mM}V)<6xSna)xQj8~uI((?Fdea{Xwd^mRm z&$|a&Ay!s5iX94C(7Eh~4QdyjV~W=G>@Zs)9&}$X*E*uASX%g3CUDA>v zYBjkD02|>MPNJL~$W);3+7hkH-Ny8Cf@b!#9(4R3DRGU53=L7W)J;Fmg0`C^;o*sY zKoni$yNiIfOVb6SN)xVw*PT0+LSEb&v#r(&mwPbgPfXi?rsLDHQ!MNoC;6$>fdNZ*ateX#=~ z9g48-BKmrfrFX}DE4l6ww%#2;y(rQf3RTPncVMStu2jlL-_OZGY16|eH@B;;XqA=y z2g|7)AjRNi!-w=;A@B{(cJ+NLK~)SrTC|Qw-3mZU-X@4*S4%3;>S-b>#<`ZK{hXX6 z&VrC~m-8u*hZIq>1;tiQ#wL@OUC%Nh+ZTu|ZL;gLy>v>FcoCw`MC(uOATFcH4$kSo zu{%CLuX`R<2q11{(OOHp2gfFS4vCCo6Gf-4-H`wbzJlb6c)h;@ia^-#f zd=2!9&LC5bwZDodj*32tLSDop_;4Q1qWH?9YT^j67=Wke;h!^msiQUXFy=6IV8J{L zvA&EV{`3v?#iFTTeVYo*tpJG6zl^#}eZFu;Ehc*1Px%nuS%c+x&3uFwLge@)a*wYU zc_G+r%Yj;;?SF|HW%&ofQqQd*KAK>87n$!{36UT!&bD+Q8?NvcTvhY98iIY(@)^e2EJWEJ%lj@&6bT%|Xj}@^j#&04Lj#ro=!g&(PFp$=2uc(Y zy0YbEg;zM6<#wfbv+;-J8Q8F0CT7kmM-@}*UlQgCcKGDyq?%wl9vJLyA!SN|uM!zDW)}D{?t80#Ucr9!Oppc{QPO$7(hH>0({hrXG=Dd1+zMU=323j9;&%)!tK2F_D}rtKLdw?W|CxQwD+ zqL@7e+eC3WWNkXc94PQpqK&w6ynv|`#b#%he>KS?iWIU(r?2!dHwyO6o4TNGMp78M z2k%xY1ZkJ+6%bhMNOr~&3_)tdbjcgJZ!ThH=16f~!T*VE%*cIW`T-#OdNGp~*L`N%Jp)Q!gy)dH05_EpGM0=6OI(x#Ey>W~v) zC)NtgbE35*X&qu-9m!OJG(71E%TiPQiji-PJU$iJIWPgtyGL%TMV6PSmHxzp{Ue!b zF@`gVSC5YTe1RyH(UnYadwZZ0<$6HFBz4MhGgR5RDfO*-Mhe4o^(G4?rs2NLx=zZNKAxC zYqAkBuwMZ?@Ng2I%5Hc)6Nk`^uWwhV^b!Q4Wo{E8$!;8D){*^V#}*jAjWKABL;W&x z?JC*5J!tc8hnQ7%p@jeo*_Wx^+evC-SE&}+E7@nrciDp>?$jtg=+?4FL!4<8&%VHv zrZ7RbB=`)r0;R@3R|l){<}F*c)`XQ+%>W5LBMs+&w0dKh7?`qx39iC|%kf~fBv>hh zT9x4*9*vM{!vB10b+ee1H$h1VaS?f{#)6f20D5^in!N~C%H(uT0P@4j{cE(C6-urJ zEIK+k0rL_63c6*Jp>M$bf%c)Znb$x@1l?HNA)QQ!$8&{LM@(4tBRTsN_|2<0Z zrRP#dbpd2~H@CHrm_}V4iEAL;qIMklze6k2AjtP@d$xaP*|QyyxyTMMXi><4 zmkWVIw6+6Tmmb(!$h8%A0m$DrTFlQ*tQ)1x1dJKRgG6L{jrXky#GQ3*@h^mnhIn*Y z7=N^tMp zh1a?9Hna$DH!WcYVqMXtOjufScwcC>Qn^B@eClc2l^x=ADQNKeUgL{z1n_ipOemyu z$%}9BjPp9i@aPiarMa}a(@G9N!sSG)-*J)*v_5j^_zSqx))wB(F6x5n8EIywVl+=l zPUP0m=V#UCs8Z_g89Q_Ayz1#X-K^^QB-EH>ZOvQ~>ggOzbI;MYr8Kk{2QAfkq6pw>PBn(gYh#bHC&8wn-qwi~GbyFs(Q#+YoTU(O z6cR7Mg3KmsMac!#)mzG6!>sSKPJ@)R#Qn!jm;jGvdciDuK?7ABnlmz9ZK$pB9vH& zf7F4uE?*6C0FeQ)I zr%s(ZRb3Cm3p~coF(=1O;wE;Dn>3ssD%{mMEa>t(h2r7-Fh<*-cS5)e1yV77;jWaX zR*NF#ahXk-WT;xpS%vXdG6#*DWVnto;(V;_M5*bhEe)I%A8(&5zf4Nm(W=(E(8p<5 zK^bn4IUN4f+>%xgQE`(ooos;gNrp+;*-H1DcDDMK@4y4t+q{}}JDxnD0de2vwIwGf zVjs9EIg9ywo)Oz?6)KFrX8(3kU;@`X!>OMhwPJD0&}3dN3BIS)4IVE z913|l7M~CW904E04Su*#l@KK~Fxt_t40vkST1MyUk)T?O-A;qZ-wwgKJ;MXZ7{m?D zT$f4a+~!UpmkDRB_hnki2*Nn^KHp(>mjk8C;&~iu@u>QS&Wl<#EU1=RC^e|1=O+hw z!h;}VAp?+@0i1)ECrfO5D;gJtMkb3gy{1=MC7dNC9(G5&;=~Z};qhqG(8pJz2N%s9 z&eSr;8|A33U|c7J7FrUyIHXAD?mV04k|pA18aEz1x}CrY5g#lu6I6POySJcA70pTx zdJJ3ZpyOEHK}WLd9CUa}Wh-9O<)9Vp9*3#@CCMZ2sE@5CTyZ@sG@!Fipc(0&Y7O6p zkI=(2A}!PnG9$%-_N73l?;6^a&gK#l&#p-~t!eJQHSisD{=NYYc;Gy3mRttT8x1#u)~7(g$EK*cI=)0fU&uqi3!1w8#H$MPE$8eRcYdp|OqL zn@2bkSezSHO_~%xDc(4Fe>_N>IOq|GEjS7{!4kL48+em5GZEl7L6`~mB;WuSiiakj zwfZjrCyZLcx8Uj4dT%E_gl$i&g-qp?=o4#~Dhq-DDxE;@Tj?uua^ama!nn?HjqMiI zOko^-^D$u_6Lx82_<(A-P6fz^-&WB>kF|5g&IPl5<=`>u-sZnDOF>^=Fx!7^mSQEX zgwXq93ABCcg4y-$jIlvu3y%Q%Ks(eQ{^H=-{ukNDr`iLLyIn*5&kmwHqn&2@3PCB= zkN3DF_BE_8a6|U22yHji-HJ{?)Sp*Ty0M|Utcr2qFO%rwDgEKAN~_Bp#!%=|#LY%K zqx+vFiJViu1qpjmb##AtrM&%=q5rPNM+cQx6v|z{p+1EM=8fjd)Jdso}a%c}5c67;^bnt-i&g*>()m!#U{Bv%hX_=k~fe zoxgsJ3gkTnsEKsYFQBP2%+P|kB&RsgaG0CzmLQ%j45d#qM>QN~{B%SZ-YirB9;u1- ze`ow%bEiXsmx1zuj|Rech%d(-0FTQ95aKGje3XfFN_h9kC^OTKc2DD;=$y$HfVu?t zaXgHacM6myejgXz&%87^1dUKXlkISd(x{iX4SPL{N1{afjUJEGXRLMLA*XI$!VEJw zOnRu>Qi|RIxezfG_3fOl6I0dj5#AV61jC8m2y9+3n^yW3;I$o5pU_(0f^zJ7F39I1 zsr=!_k8p7oGD_S}7HMzFrrV#VH?{I8Y&u#pm5#@4^`y)JUrBi@oglB|qlRA(8?Fn( zj2{HXcd0@~i{bb5C3(ae9JeqMxmo}9Xy)V zJxD7c$fop_fEv6tqbrmAaSL9{i@*6AuSLL}wazqwMf8n~H@=fg#9FG8EtuX14m_w1 z53kG^PP1QFBf4*BdFU^Hz>@{jT?2Gzfb4Y#C@zf2-{!sYCPy_n{O5Q=0rN$cxYI{t!{Q?1h*mB+Q46XPjh3jig|TfK>6`|Gb|Sa z3d^_9>I?u(nDqs8A`9iFcm1Trv@+q`<9KSoCwScdIjru1DUpuGfXbo?Xe^;z953wV z9tYkDU(tRE+srG3-9yLwXAFM))eI5OsE+96{P+&_bvG~vrG{qQ*sadcgE_>u6=ow#f#%p!p=_=~i{DY#F=IqAW0(*mp7| zY=fYdl;^f&Dz;=kbtCgPKW1*9p7o?QEBx-vXR|U_eP*o~w2pjc?bWM}+8*C^^fXX_ z`(m^XPkU65V2?fB8Uo3Q^dHb<3r>staYTVVU65NRrWOy2Q`V4p=35L%kBtwZKS8oV z7Xxs3UL;T!BZC`mK^JOB?i@?F0Tc+k#UuqAK#`$)JS!;AQal+szMBcnj^69(gZ>oU z;tl`si0IhlLEOE$$bgayvl<$`KONyXM%##1rugSmYAghgcYUwL6#!~$>ei1^ z8$ZIe6~uYP$vhRFpHKN3)JA=@0zZcMuEl1v2e!bTvrg27z0D8N#L#%t)~%@%Cc4Khm1AGXY9 zirkHjL>y%|)E)LM))<=%=H%3wdcx?iLHp*(SN5RYwr=k6(}o+8s|S~9w^Ix#7>WA} z-@}9G;jK|zMdAJ7lO5)Kvvf*y(s{1_XVszTa+DJq&Ih@M9gi33bZR2RE;LyvS}9ao zwEe3qH*J2Mjuz^M7*#(5_G^F62ZP>Z|6YY!bn3ErnYnSDP*DjYK0e;4za#!TUq0_! z%0s^uDrnm>HP%O4+j%y0R&Xl3J<=QnKf^ViA{3-rsZkOCx97+A^#mu;TlaN?Q70cz zczZ1)0lfev`_W6&?N3!c^T%~&-;1K%tbXalv7*o-E@~I)pXF;9boNPX#=gETf{=z> zI66d-MkCn0v&0!Pm~&9%!L%f%P5Ut?BizC98O!!@KV~f5=UduUL=nGUzh1vy|6g1@ zxiGI#w#cu}Sy)zjb?&_Ug_Wfj;89Y#MVdFa{QA75r56{%dwE4U7~+?T|LI)1u)O;E zvZBSu$wjjl&nq36y;zt#Z{h5!veJRk`}~q6vV$zVyLQu+Ie7~&mMknf3sDwSZ!TRe zkwvrfN?h~q>z#KWlXqg?edX7SikAT5lDjJXi%`kD(yGYm_TKMxTo=Ae{k&q?x(fq<$m1>)gE=Jif{TxFXbYn$CUZSIVWCw|JB9d4UF zaXR@!#@x5ENP0SPb~<@rI+>R7n?GdbZBJ)fvhoh5Gk;EJez1{+)5&>L$*ieV;Z!np zDm7)l(rMqh{=GFF>lwB!W zeZ8>q#@w>LlG;m)DlaXmm6nxVSn|kFe${s+rPqT8(8{aQ^}}6v5)!*Lr@{ne`Mk8b=3wI{hr5dhf|E1MCYgx9o zd8OCD8k(V<)iv9_ok!{#yS;|&2=K@bYtEpW0RozMVGlI!|64gOUR;#FAn(dwZ@+gP zHOf!_xJlG6k@O99;m@Z(U-J6@{}?8`$556(j$B&xc*ly|`)m+c~H!>};X?q)+VcHtNsNtqtpXZ&PtHni|4)k}(eizGx2Vr~$>+d-Vvpp>Cp;_2352H*pY4%8(rz&b zHX%_MlO@Cp3BuT!%$2@|1BdI(+q}sZ!`1_D1YPRxp2h=5>bmh%vu=JMh;D$F$n!t? z>eVA`+FoOh1I?w>BffgyTy@8QISRl1;H#hF)f9=mE5EK~!0G;BDYf0Ec&Dy+Gw+*Q zU~j2Aok{S>J(VDam!?aQIbps7`CUP(-d2XW7Aq3oP>^2!QzBsIl)6L4F;P` zzkv}`GoWbSqC_qkq5)XDZ@Jb1u)f8Qy~TV2F+SmaD~GOu<4-t?Ir#4}&z~q8vkrfD zN$}VeP;3R;T5J)X{Lu7~v=-}6+dRj@Y)Xjo*&r`}kbc58(ZU9H^#&ZXdWDrttXE;t zL-#T?!WxD?P|V`O`5S+Lo}D6#4>b3JPvG%hyex1C=<(DLo?3E{AFB*kKBY)gPF0R5 zt}8AmE-TI}dbP&Fy|luZzL#VO{|q(7v-q72zNf-FAV6u#b|7V`8y^kskm|i(7ubiq z$%pH}PKS59aBN5(>=ifrmghKluH$_xiHnAp{A@bK@(O&QaY!G(T-~aEMg6#XrM5>+ z5Yd_%%`DCDwR<$DG(Tukw7KLxx#Xf;a$YX^NG@5JPcCdvCbi+@o$n`~>}bxJ8BW@; zi@76vHo2H46CvcCV8|lUhF!ULWEYUr-cFuABQq<4%*DWYv**l>AQNzC!Cmw3&LgKr zljA3llrEZ5Df38cbo2NLxnyWAIf)`C-bZ{-k(Omd14X9f5$tR-HJ4y1GJQ6|lB7AN zSs#%{Cd5#QV@Psrj4~;iB$*g3Ysw?zVn{kZmketrHO(aCBP5rc3|R9>MWj+6kxMFa z$$N9jCAp+7msIAGCAs7Sx#aR@VnrTV9<9Xmghw$-6-DVMkRt`;eOJyuT2(!pd}ut` zoJ#`G!xNh)jL#!WCo&VpljN$2xf90ECReABZi-x!itlA<_`Y^BzOPFM5-2i{QcTn; zRkJDe1%jq1O&^g%QQB|tJ*1ylLs6k#GJG}_CXq}oxt<_5cjIBa;La2W)PL%_ofn+zOR_(%4@A8sgT3W z#Cq|9hE&Qg8O>|DTc_l%@90R&U0?qJ#`)fa;`K3|(~6fZc=w*%_5IahWULHoXi=Vkp|Ih^1ViCtADavtnm%Qk*RO94Av1y$=B}T6 zb6WHIYz%6Jl-A#;2COL%eLd3(bi}rfYhJ%7%+?GjHRPgyMgmfZyMPq+G;8zvkO_c8 zm(8@SKh-*;c>QNrv{Xbw?rR^OXAI3B4}l5551I_kCwyi@bJurwv^0O!n%2_%LhF=b z^^0McrEhDfD2)2c)Z*sXRe<4D!c@Hd%~^Ab|MK@4xy9@E7fvl+|ABQ&@!ogu(^4@Q z^z4M2inNwx%PTTkmX%hRTb5N< zq_-^FRAFmbwywg`vaImQVIjdz-#aWM+UX+Pp3?JCueP0^bsApY=3V4@XrG*3Tfl}r zUgzaaZ`{N75pNWomOa^_!RxvL6*My}L{-q?=qGJ2V65nb+ZK7@c0vX1$Dgu5FXBMF z0T2ypJ|>_38ognlzZe$A*y$dWGKp2-FOfWU&ARPsJVL(<09)uc<@TOe+%4`{Y`2$J}xMPqi7XJ(qtRD zFpkzDeiE%frzg=>=$JAIFTNQ^b_c0w8Y9Jpa-VVpJ0~(?Vm#bHHk#8 zS!rck#>gvOd-ZKN3xN6<&XmOp3AE8E{;LTZ|5xzaJynILg|Bn)S?nZ-aLGyuulq$c zMZ5e%S}NyXuA!7^j_i@vIGtPo^T)lc_FD*P>^1!*;)?j_Q8~Y?^~(R6O`UnsWJ& zgc^0(HylrS>J;KefXcq+sLUR6JVl@BF{+7G{@?2;wL+L__^F%s)P@1Dr&h(g(0-kh zcNM7#*#X&lTW2I-%?4)v0XUZpjq08*eiCqsUj?-rr`pPJ{Qn8Ll??pNgjB zx!nE<=@h4H#{#TNyhu!IJh-GN)1=qLGRZzIS4i{=R!RZUPXXpj0pz-gN4i=F1!h7 zob=cL#pn~DV2nhlpNpoPv@rp!)$;{r!!Ui0n}pe^gIsoP4VPTmQG30%B9JHVM}NmT zep2tT6T@|Wr^w5~f;j;I@`N&f<3!47Rwvm3w$5z0$@{|y5GK5@y5c4p0@AAZoV-hVx?EHjF-dMN0jm1JSdhvP@S!;b~n^_9#9nm zdKowUU+^Xs;^dB6DLo-Rii7R#!EQ%lxLC*QM`9JxKhx7Ai_1L`W+0*4j%DGAWy8mY z7!MW(`f&Nfp=PsLSZ9gGqs~w=IWwX7RJd?%U>OybS(Ho7v_@!*B`jpju+$enAPOtp zL-_0$>5+AyIV&gNWvGMv(cX7v{m1E8BxN{-le;ia+SIVPAIzbYo@z8!ec`>2g$)ig;Xp~vC!KgE!i7Y#8Fo}ndlw`$+)5ipi z%r!a!jWHVa!AYGuEaljsE!bhJ;5FpZ%yiJzW0x9M5RtORk z1lAfpgf|wksRq60p_bz@kGLqSx8H%+h9Bo8fpw)EZ1szE9Dhy%2(r~q~vW#9;vLYy0)pjqPC{&T6Nj#%8E7D8p=w+tFofzk!x#88?Kes z)>MN3+VcLjRh7OqW$UWSB>Y7NA2(HAd1+3=M!;BBb?uR|(hHkQt7_x{(oLlmrR&PB zuG?6-s`TP(Yk)yD5(Hi^-FR_hq_ynaulmTxMD^ivm}*jQGv zuIAg#rR$`+@-;Qzt}erjs3>23ZE;D)v?F(9S`SanupWM={qIMh;EO&1{+3;b!jskl z&ef$=z@Bx$^qShLOSpVNBCbN(zG@)qf?QD7*Hl4HYN|?C4^)@0kw9F48+g}}>4$BH z^UmTt|?zVP*+-hU6$($Ys;&uuUC4jYs#)vmv5CG zt%Xo5+ray(>+`3aVy30N#bl)&o;p44aOw3@P*ZCvE6OgEZUl*3b8A)Ug;nMKt3Y@+ zTw4V`8#Y&h6s)=hoB|pylwlEoq-$=iDO)@Gkzfr760RX@OFRK zJ3&q5<=TqT7hiHNTs?qY*Mq5FIJ62RU_)8WwepHBr5npH)HH0C z%AvlkE4>KswGwb{Aovvmq-It5nl)vYm}P*qykZ>##aFs!4G;{%3-w&C_VO8b?*eO} z>O!qfNqJ>;EmYF$rNO4<>dzbnsEuW7udl7Vx~c)xRj^Hx8>Nd&7SAm@Q&wMIeSPti zv$!f&);t0QQeU>Z78ixAzt+`O$+~7!*`~^>TR}B(@ey1BA1PbCp}N+G=liXyth`mEAp4NS?-|_pFolV61v45uj z`;S0y_|q>OIQZ9>4~^aZ%B#t{U;A5Y6Jcp0*%#YhmmT}Zy>xi$k!f$fb>H%HnMcBR zSRrbAxbBqh=m*C-I^T7E_#S`qc-Q-`6Cb&4&m`NL(E_yKyGR&_2Cp5X5MfV=bkl&LLEq_&B8!jkqUOZ+Z6_2sOD9a(uhvTQq) zxjQtkcWLo!ON)2ix9rG$@w^O>hVT#f_dzg$|}M2-c4`7*1CivD90wfmtLz=0)5e!M1!t~k;}fzT8kaghYk za3+Aa0>0^le(?%-O8ZMj9#2;5#Jg+7{(xRdNO}Jy=%U3s(3nJU;se6xrSJ|Z`;=pd zjU!CF11$EjV;G7{$vEZce-h&PT~Sm&vwaZWMF{6ne?l)>K89AHD+%n)J;GLt8l6i( zpC+UVRTc#}Q-$^5^=Se)<^JAQZ}JW1EZ68guvfQ(1&Fy|7?j&X! zLV4eUTYIv9+|x7UT8R!OItRN~{!5C8NE~uOn!0TdvDvfy-#{-;1Dl=QsEG#*O5++LzWy_XRdGU>YJ4v7H!H1Vbi(73Y%TUlLXI#N z|N10=^Ka8pqRZGqVn??a0_EcjF%rZ%QbYLTbeeO{Zt>hGgM_WjG{{!Gu%3w!W|uH3 zD8hEIhZEa*|JVc#IG2wRW?NLimUf=Ku?HWfv0~Jh2?Yg+YN9%UV zop3>8v7!1g=x<|sIUN-LZs@;%d2+0OvxR~V>nyhOfrpl4P6~wDsLYfoGMVrl_Dm-W zPC;jKkVjvS1q1%;vB6kq%~`F409!OuE*+2@#&_tO-;TB1!U&F9NS)_VlDGUoU%`|?ydeyq zA0~H-p)w45>PY_&6DW)lLge8Ek3IYn<*5r7inuQ<6!)d4PA8{Q7?#O|yVb-)OQL{# zc-;UOni0JlBL$v~(gd}pa4gVo$BXYXnL&Bo5#CM$Plt`~WM>0Yz`-ckPxqwqI9@(k z580ADkVMK1hw;-r(2e()>yR$VFys+432>NZI80d%s7}$lkE$t#R6(*SSq|gu-6%E* z{-NJ=3nBs}>VcP&C&vP~3q6(OH1zW5B%~3B!2z|L3|=9GG)V?OI8+dc_QTC1N3@c2uQ~kb&_#a_@$bI- zK5`#LAIs0TkAEaTJ%ZNmmW;NSJd!BMr+|a>nBjj0{7s(@|DH^Z!ebA?BWHH4*M?`8 zE@k39nW0*!EkmBnFa|}lc=4giLVF5T;dq#1blq0tS*S=`&l;}WUdI*94(8-nlu8>Crqf3lBco&D=!C?vNv!3kfA<=>bKL#r7uc<)t){8tGRF;@bH}Be zKV%OKpAP)w_BLVOn*}>_iN1yX%yyxv6EB`M+u`oEU2fwg^b{+)QGtmWqDw$Ip+VLS zSww%8A3VC#WYM4sR`!PcCTVFZi3Ew^v?mz`iP)DQ0Dw`VL#!Li+EqGi6zQFS6iOSA z2XSDWSfACANjCw_2naN%#%NMa?%X{5Y%iT9;N2{z1AtkK$y53^}%~oh6q5@6Uh>U+?VlDKWTQSd}VY$aN z<{r~;?lC9bV}3HyG&a(-U0|OU*qs$-GT*EyGLP`1az*hX-wIKxzOE-wzZp{|3ocY>Su3}Jpr6nhekzJ3 z8r!eLfco&wUNjdoJD|2IKclknPFb;^X?u#=j0(d4K9~_4`A77nxvhc!j4fITIDxNi zIgRpq9gXI3V0c#?!-XP-f#!|>OyQfml2hVI{ZbEmU~iAnU`8i&*k~I`?cN%Tzh>2e zKmMMuU^YWe?-qH#g`jvpfo~tDQFzr~MJJvi@81p@C>82Hmw|*yz3AOZ+{iI7AikYM zU$tih_8{|Q+tseQlX-gornObg&0~Iu4&&so@@si~{#Sst^$6Q92o!ilio=;z`PSir>~OH?wMcfbESm zM;ZJ)N*Uj)H{yL>@itKSB!;)MPj+|}D`OQsTi-jbAK$&T-J*!0uQm?dX!buDrE#7f zs(0PUm7Rlub?$n+WXfL~qXD}QC-?EL@y=e!<^PV>Se1d*Oo51f@mH}-qMOM`=&3Ug zkR%a8DnXq@de29JvQv+~21ttr+OeMTw3;3NGCDV21h@bDIL&F=<`n7aD0%``unmV# z?$vWiqpY-h&*N1FxHc)=n5Ewv6JM6kV?*c1TUGe0AI~MD<1%nNPliHb*e2o8j}!b& zk(%C=!8YTP#dsShZgm##kxt91k4!t1!U$V;xC|q)s`TWQVt$8-unt( zEucX6q#K7`^++V4^sws=y4pN%D;t0Si#7)+aQO~9A!kK~evH^7vO8tQNVi#ox~60g z?a3H8)Nt5B!!J6>6)kY3XW}SVzD?P`A6xKv1m6FsP7`GO07|j~3|^mkn`wFflW7q$ z(-_NbrfGk{G~LfkLsKk>vHZ+4-9LGT+3CqtmW+}lc_gO-Jz^0@(~%5dMYjKt3;64Qn%0QJ_8H!YI`go7x>upm48NU$-P7uL)Hj74eiaf5|62&qcpBIN zFRH(Vs0|5)bq$e*TUj#94eYJ{8~wTI!F@8nz;EbPNeVIb9}=NUitVB--)zNzEe$Q- zXJw^ohYaAlAumo-K#dMAO7p$L zFPa<7dI--3Ceb=Bmc2QNR>9-yq~W@o=x?d`d&IdZNJ;|4Ja*lbT^mxuQQs7OKmIVm6qat1=m|gjP7&-J16_`kvy$DbVarlcbE-9- z3&qM?1dT0W-P3l=qE@SM=SAeAa0t3Jg=Ua{6i2uOnlQx9>T%@T5P>O;N0<W{>iqd_!H4`gfRcNz&=#H}sbDV_$A&7`jAQq+BTe)-8&=7^ zT!4it!jHZIG=A%MNX}9A*#jed|GgEp)nylW;@%28lv=^eEjmLkDw#aDsDzoCk)QVu zyld%td?F+!{Ex&WmJBt53@E3_sSJ#^^L?DAC_AtxKsH$H zK+UZwP=14KS}$&)L-l+br6Jwbv%#DNrsm)prvy55&SZv@pmetiuv)=9rm?V=s* zfkX)}(3V@mC06mn&(qNUDQMZ`t`9>pN5I;E)W@Yav|BQNk^JX(q~Y{W-j^ng3I(b9 zr52UjBT!de&1r*T*BxnGh{T3zP(wP7+>2gF=gMq89=Ig@JD0c#z?{x3o;~z3PWZ4p zPfxmxB$zNk1v%Q-f!w(=N%Lq%2GE7cxJE!f$oS>t0ohD|8~gQS-{Ao#^x-%vDO5sk zooF`8Jo$1m*0D~V;oVGvUGj?QS{u7$v1zakQpIUuRr^Myh6n9S;5sbfZQ)QURcn1$3~b5Lw>wp&85);DyE?Yx+Eo0J}I z4JJjDKz)su%yK^wZ*Aa6m3!CF1;O1BF%WKv1u_*`B5w}XQb?2$MEWCppNNm@7_-F< zve*fZOF^l?2En?6KphYnP}(R?*>2I!l!cbYCm%etgbwvjqcx{xO9B^7(Dk2CkrQ#z ze(E0=guM*9Og?3D;E>682(Js?*=okkm+VTFT>>i@e);45A3!W@?(?cQL@X<tWoGu`d3g(%no6du zzPu)#DJooe|6czhwYvWqycCfiLW-&KE(*`mE9F>o-t{dgaQHXlxeM2<{mfnOX3uwc zBcNA={rZ`bceC>yqi^!Sic10%{cB2VN|{xqYaF8gN-)6bYVZ$1jCY;&^7C2$lQU$T z$Uxd?q@LO@ujqm1@Y5NT;Rl?juD$wxrqU~0Z=>jk?d0%u(yL(qG8K;!n(b%#$qzm@ z1<%0i{+HU@R=IBFGAtt2qqpZUp?)jvz^ADtkNEDA4^ztz9>h@;Je#9lxFvjW&=MjX zooP{mdl(<6Q4S#R;D24w_3QQP_3QQP_3QQP_3QQP_3QQP_3QQP_3QPYuG{PXhd6bH zwRZ5w|6K=?Q=bX6oe9u>f*Fe!z%;jdbpf4adO&Nju*O8w2Klgc@v6 zGL-OJF0VPIw$&Ix#Mki;e5lSj(9+<}*BHw2=s4`zeA`l}8Je+}F|EQB2}XO6Jr z$v&y4d;&4aSR01VdLQWS^Q2tq;C+o>bwFep_<-3S7!mt~r!17<>Kg<+-xQEPdPE)o zz}I?_{x%zf-c8a++7Ln2p?j%Lv?1)w2nwa_Ud0XFnPfyMP&@fWvfxEOlJ*?;%*;?; zO|ZQk_1*}^s8-r@EXtV{at7Y0cqhr%tCh)Ct)388GGzFpX2VtbJJd%4F-C&;BH2q7 zptEEr{t5XwC61&cvG+TjQH@8KAs(#^>*W+(&nu9MQZiH>Z|5;7Jfug5v;XLzwd`rU zi01n)& zk`gMIR{>EQ9+xD6a2&FwlYNN7hyhC{pa}9ar&B_N#sl6SfjH5Id=!^~Zxr0XXS*mY z6W#_%x?%Tr)yc)7rfEt&=1fztG!CCh#!MX1SQTF+^T5?aVA+)}ME0QlR5uizMF)|p zwgw!Ws+V2^=>l6;U=lZ2w89o9iqq1C!WK)IU=kWFD&cMm83PV{*0LmITPfin&^cGl zsXu>S;jt5d>zLGY{2{VivYL;17=%1iCzNWU2x5fTGrsPa`JU|r@CqV|-A>at9lnwa9rYRB4n!;HFzguh z%N9Bce9JF%0FP6?>2UcL_&R#B#SWoz==kqdgCBP*yNkTZ4Ngmf;T)&L!U`FX)5b|d zj1XEa)NvGZ0V5gHD5ZSe@quUyO(LSRy&VOHr+E4C9KQ@IbA=@fg~VDjBs|WJB2)$5 zHGh;im6JdPqVRwaW|%ueF3pz6A&O<1HX93RJ0b zcC$lmA$SAXV@U%_z#ptT9Q)z(3e&;;#-X+WbT*Pxf_Ol5?bpcil2(2cW! zUQ>y;sq6fIK;2995TrSp;(w-7B>|zLvkwy!B5#V@mxR-V+7u~r#OcxEpep2Z0VZwx z4W3_tKa`RLaT>t+9w@8s9`?JASg4ILDv#LhO~$LHS5tCs%w!sgGn9z)A)y^=GoAx-qZ6xiODITZ=H6JUi^h62 z(;=aUNLlTaokN!q3r(qUkH;W!97SOy7T?=tkrz|p{SwthE)x!Uf zsz8mOK&$6^41cZ|wq~#-vj|4ww1#BKUfz4$(9xrSfr!!YJ(#0GEAU!8;HiK@m9&5q zoj{HAg0!N!$P0Sl>we@eSZ96m$ZBxMd@8RM9Fk2EKxqnduBxQ25wrNJ(U<*K%;+Y( zBfWo}EX4>LY3pVgY4UnE_(bhGGm4xU>NRHaxez5Y_BjRM4JLp2Oe3~|D7*8Z!h^3j z8`ppxRw$6Um6w%ir|MEuX0=REa!ocLU-%n(Xr2Fc1D{@_%Dd(%x0k*;se{TE__m$M zJlPO-WvYUXBwOMB?kH407+0vE0uyHTLuN*UgBFbNyzJjM1`JX*{t}%2M*}_yM8$h= zsob8+OmlG9B(1T&QF>xQxM2@|(lyWez=K%vp|)K7OkhZwk30|I=8zDA|1>@!n~vx3 zeOutL>xSca-njqwr(FIs2-6rn1qdA(>az8r7R}c4aNLM zQ2d~ZoQL!o^_L`~1K7#)kLQ)s0mU?*;T$c9r$2TH-1pqfKQwAa?&>n&mQzL+s*M|u zo0XZ=-{L})t1wO&>$L)o%&$ojj*a2GE@VN*E!Dsx;9O8Cf#2!dk^*_e!!+Ln|A-y= z#i(C(amwP<7G^bPP1FTijre6dgj(oZo*# z&0%VO!m4#&A)1iL@Vn{YOo!HHLrgkQ#WHfNJC@G>Z1WQxcz`*+ zt=JmIML7prR+BHhi)t&by+O#rw~qwrg?z7C54gZ^Xb6K}-Qn`)UR}W8w03AYG-BvX z6!7&d&zjV)PQu>2fUZI}=OLVGi%&p<;{ew?NL=G18rNfHv<2 zcJltiU5t6qmlBQHd$RPY*8%yyN(dRCjXuJ9!hbmJiZ~YuWVo;*n}>L1_24*mrn#Eo zay^5vADQV=<*^0x_m2C>*hI>V?kZPH@=Bq4Rp1F2!%;$K%YS^o-^%^7kVkSw^HTaU8T%{cpNh_fj8a;u6E&Mk!Vii zx`{$TH4&VHhx!OKY)AL*5S|Q3#&e^9$%;_AAjH|fX2vrYBZOqA4b<900&fq)5Gg@l z2Gy0PR#*?Ig45ky>HvVI<*Hn0?;$}wYvrt8fd zK^neH=n`Fs0g8cAiYvZtRQ~#i3}`ezZR9|hnBI-@0$Al=Y`+xvUl2sDHQ_J;U-lsN z_%S`al0+^DULZ;ZJx%~bM6EWq%zuwNPF{r*c;*FaT7z#|LyeA%CQJ}h525}Qq+KlK z*fEItK=`0HY%%Q7MptxEuYD=+r|NX3NKkpHCPxjT8V>G;L{^&&N63Ov0Nc>3L_^bJ-c32h(d|8*=Fmc}n#Q zbV(HxUXu$G-WA`HFr#qTp;l5u2-Jn`Qa&y}y?yQhQ_TCZ*i%k2)2t2|k2Sxg*#}=5 zcB}+@_nv90f5xK-VLeY;=uH~VQl4aq1Nb|E)bU zy=ag5E2PDl`Mr1L6M2h1FnK!?xPW@`zfAkf z*I%653lyYn?4|W4w6il(wpCa=*_<1$eij`Bai2OYX3kP;D8Oxr$UBi>evApJM=1dD z^PlJ=co3aWX!Z66J+5n_P=ll9-)tWr_F86d^9!g$=lGOfm?d*}at|Av?OeDh>!q;p50WR@(D9Rim7EeGh+<46ml3!gYT@;=|ezjGZm zMe3hF)l*dN_H<~LLkh(#{dVVr;-PVTUyc$`0;Xz5C{_vK=>@cFemO|dJ51t&uyVEc z4PaVBEX0pFf04I@p3PUpKw`^B7Ni=cR`qb4NE&2KiFX zVC#Q&lBsr3t7^IoA#2lo1JO%+xOZGRUj*=CT=3#s=8ei25l4-W2$ug{>kbDZblFDC zti%5#I}WfPU}wY|@J~o@2i^ubU9g+1uy;RB>|1S=H$36=Q6*GBLBo2@&x=hNXPOg_ z>h&Ok@Cg>7*V^eK3cF+uqbbIE{pEqk=lv5zdZdIbxON(Sl4cHWBI4gIpnj7Y)RBtS zZXlo(N?{Xi+tY)rt!>I+9R(NUuX+MtS}`k-eX|eOgZqUax^KOq#M{#BBkvN)nF zTqfRpAT%tWb0cAfzXr~yBJTru5l{&(OryIWyqP#38;05J)YKU(s*+#C+aZUmxGk7P zINxD3vPPRNqPBv5baq)Xvp0U3-x%NbZztT%^ivv)UG2^mEm*zn^Pp3hOW^yCvv39rZ16Yztc739y`lmpW_c zDDehHxMe0b+G}r6FVUCNGF$RpDsCC?PMN9eQJ-}JZo=Lm`~KfWy#P7Y?_qdVTt&E$ zPaHVl)SsyOpQQ*gXH7|{0KQ^~LTb}AkgrAPoJGy+c%8aY%_E-|VN_>8fmpr?G%np~ z9cML$G5&B;SYO0(+6&0<)*gGmZ5yKpe5?K3DxZ0?Z^xZYan^sDric&^7b1dcwmW{U zp?EWcU6$`xPxtMIZG+?N3;E4{|LttM9(a-+6i}aShr@O7^DvqbSDN%(k@zdwuQ$lA zvxOFTq540^hSzH`={k@Arp%NRFRW2AF0q~nom!C}52IY%(LV>fhzbjb1#Sc7k&uLE z63RjYI=Nbva29ryFHr|FoX3#MhM}H7naL1E#lO3c&DZDWg6Uk7rE%KQ=-YY zrA9qTuX@$g;P*zM8cP8Ud{x(pCm*SN9@4k?pfySVNjtu)-TxGoMv~}yz?Ao(Io9iw zxxGo63LX7U?sZDAIo87Zm?vdIV+jvc4;M2M^Mg=>wJ;Tc`4q0fM5))dfz(#0Qln8S zghEx=rfw0~8|c#y1XS8?SewB!1+&7(Hnk4FCI$l)L|XiYai-c;JgXF2Q0e~+_fW>G#%eq97^NA2V_n# zI*czL%ftfp{@1Br-QqY1@g~;c&KNPwCL8&4@DLRjtCrUQIvDVjwI(3^-FNK!$WnOa z(N=f+&VAv<3>Fdt8*!gFfQ6#{0`dthBC@rZdhWC%7PZU7z_-x?7zW)P|Lk-6;mkoQ z7?Vb6E>bWDOoJ4@A011DSA1T@bFk+3J%r~q>g*%%^Lrlt)ka|N$QEGm^KfSKoOGNw|8@D=kRGEbYx@hqFl$O5km(8X4{_5pZ?o*-@U95`}h4R_*Si+_rqmFdS7c2xUo3B--zeF z$Fufd?911=AI__v%wS)xzwgAW|D&$sm~p*tr# zAO+b{K1K&!tAv|*?eTaZ)&DunoxGjTspROOnW4A)D;lw$jq1zX%>oXSo9>GIB*rKq ztv)9yO}~ZhToqswe#hz$aFkXU3Edi$Yv&))hwDHGl#f7SfSIKV^tHW*C*Lf(>-*Ww z$0f?H!-ePHGBkUW>jb+^x2Mo=@_NGeT{vC)xAgbj8yL*GtwX)}^Vk0ltz?g-N1zg4 zx22?lm(S~eEtHmHt=_)h-`4y8?mU(6`Tqw;>?su;ZL!r$QBkt6Ub{CY?9K6_`!{zB z`286xzyJMNwjj`LHkwN&RvYd+ALfq(=sE3F2pBLn1bN>-|CsFKo8y$<{efi{=z1?~ zKU_+6kq-{=k8cIaM z?EmW&h3t?02O!Je@2yVNVtRq-xDHsW9YqJs8*5E=L?Zt-fr>Q{$HTlFnb}0bCi;d(i7Sdo!fjK3>5^p@tdR6@sHOz3M zg?JqFv)?DFGsVk4?LhIIW3*f63}Mk&r#rq7f1Y|4HLW9>I&R!CuVMpJW4HPh?Z0-Y z5Fq-!mLPDb2O63ycuB6<)mN?dJFF-DP`R;`|3dcX|Bs)2VnD6t(Ju&1GxKu#eE(y( z4j=P7t$-+J>EPiG2Ko5TlQ$Ig^WGEr_wW4!4TOZBFX!dMfqrE|n$g z8n+b(ZI$RdGVdC9agmZ`Ov5_$B96VU2~wzKz936Wy#?%4!NVV_I@gG;D62wywL(iQ z<}nq@fGOols?~c%4|e9q=Tw+wNMn!gpMjX>pCT_SFTb|C(yS{NxzEtfsi$t z7&4j&5{<0~GHfa_rSRtmHTr~G2$TYpKU5;IrpHmp;2>0ypcNCYaJB;&v9S>q*q~)H zUK+hfVwPfblOg4k2-<``ORa+j!)hMWrO#kv*{!5)83m zkN969;lMHblU5gD<)s=2w9HJ_aQ(zhz`XuN zRkV9o6$ATrHN%}ni=(<5B%R6h%??^8yA1vOLSyRZ2-yE!C@61370OyRa~4ZLgqIBAY{cU%fKULL=g|pS@~ut zncE!7j3u=-*GqmJH$_P%+4^BG+D-sLmO#8@?INs&MOFW>vLpb_W^}T$CH&YKBiM1) zD9DOVb)>-9zrl&R!FfuL3(Dd`ZwSoT%vf_-k}Q*^0x){;Akdujti|J?gUjNwdt5ur zJ$3`pqj+({v$iNNS#ZaCy_Dnb{aYK?Nx@Hf%$6yM8lu>wd(M`YDN=Y_x3M`Y0O2L8oG`TNar}TH@OdakP)0wA zC)VT1m1FZwQ1~M?Y=!LIYxb|K)uAvGKVEKUZ=z7BHVd#iylG%rHNF^H!6}Gt^ABql z1b5&Nbzf4UKiO;&L^6E|EZz$ckH?_rmRW!mrmtE}JB=X#49ZFEmTr4hBanV(7l;bJ zrF-nWvt3X7mSJs~1~G1(P?t-;{&nxt1l6gLU?=Q9k`r#X`*V}N-P zF>xUMB;7R4gnv@mx&0g+_3XB+Jr!-4#@Q{UUQe6GO`#wz4Lg1urSTKC_f(8fS#%#WF0VR)d_#Nmh8+cb%(;l2?Mvp z7E1qxs+IT%5IWuP6R*?qi;*I@Nu`iMJMEVsJbQx%KVqLV77f&k$2*L_3gaWG!C+l6 zV8BbA1R!QMk12vu3_l59cN5}u7Jg`WAezf`t@09 zNCU0p98*9oPbWtL^E`DFSm;+t7y;}IYeTO1BDfIGj6x4HWRg=d-sVNUt)}|6$%69A zOLhHOFMp#ln-NJtt-H4$r)PyDlPnm!YfuS8z#@*xNZ-5GVgJa^bf+0!N<7PK2l3CJ zA=BZ{%;;$t*b&CKnBiXbdd|5mjZ-9Ep$zXZ%cRzNoHQ)?%VO~Dyi(RV%La1DE6C$G zF36(o?7_G&L9;}rlV~qtM2bp0F;WxL`M7kSJE}rT2x*MQ5SUxT>w?|O;!R^A_u+6) z*jsb#ipqz@6HU>0vom4Heyq7`WPb0F8mvq+XRCIiF<5Ss@VSZWnCL|WLjG{YhwTLf zgN8`OV*ChxL6=GC&aY98gg@CRqjS;J-(tv5Z|$^)&Jc&i0ps3hGI`uN3mY*ol@1g~ zOHC68wRw2a(?+<9B)EEpV*hzHFjVl{mN95{EBop-!c5oeh4+-Cqy9?}ao}l2N9UgH zewQX`h1`VV$7Y=ncVPB6#^Rp{HwcYe`}a{m%AbzZo%S>QhxmVw$Ni)BpI<&L`)s8I zY`jaYu)R`_!{2?>kRMEK4pdBDTTI@R0I(5a>X3cSkQ?jr#@p5KrfLK;4Ls%qThg6t zNe&iIQ)1a;q_NcWdHWm7Q-z0W9&LAS*k6n9i6F)Q_JPQX65>1X+5$IT&F|bx6vK{! zjB(fxP)HQNacQ7)yI%T=Z(8KMIs-xyLFexH&mZvpM)~H2HRXxol!}80*pe~~p@%+q z)G>9$Q7KWDW%v$>7=aOoc4p~!$;0iE?QYo)Ar>4_oHXgW$h?}_M3@_ig2CIw-95k@8Zl6ZTeGS>cgId4fAG z?d-jCPcry>sGr!morD-+6=UG#7f93D7-|!g8b0a*(Ob$n4?gS7JBNC}8#b zE)^THMZQ4Ygo~xI{3F&nlO|m4T3j=a;f#;fw&E95(%?vXIdAO(xI+MG9yD?rbaZp8 zw(qKC+X&fZ?(r^w z!e%UrkP!a`*TrzC+qQQc(}FP=0ubDTv$t>-&$|yniSQvNfhAQgR)RYtjSUdJh%G3n ziG|3Tz`kfol2?g$)QbL*&T5bx0menwUp3ifXWU*W6~ve2bk}L0%|7lMN(Vys8Mvr! z$Xpd3P_5Acuuw+ocLcI|rSkMPyjSiledFuIJKG=n##yJru-Z=nTf9~pK1-w{FQQ*d zyGSQsT*@zU#N^q~qgMeAC<9komfx49xx}0hQ6c=V#w_8%ojqUx?$!-Oxj$X=mkyrr zZuE;cn+J+=*5SK(yV5?p5hB~Wu7?pd1-z6EySzFKEEH4psn_)X@iemy;L$Kh)E5dE-U#+IcKym!Fmz9`d7dI;n1dRZ zN&5cM(S@;^!uDFVoI}N+hG~Xlcqww{dxuT9IczS}^g+Es1L*2kH;Y_4IaQ+rzg zz*!ujjxt2)ScX%(6~dh$L75)+^x4C5^;ER8 zbPaBAn|Kbu|5c%|P8>SjwhchL_Pyi`*h#SsVytfR1VN_m<6Ar;HdvU2j7!`v_)pF| zOhafTh!@TUFePIm*$#W3!I1Xu%+5J*jI+K2_;K&_+e%3qy3+P@44eGH^we`SRE30v z?dQ8;ESpwI-rvvfif!kK^yx^a5(rp{h6^m{Ww-4xj*eKQ`YCSwG%3LA%wR&$XrFB? zji9+IMyB+d+vMU}COu~}s}zB3H{j@2FvxSWgDsLcQ6aE}j~S6jT>vQ&PAo{QR@n`l zKwQcAzj3{>U1Sf^f90t`!>KnPX221fjQ~TfPZ|u;22IwxM>TBFk z8@)qGjNb?v!dJg;*V>vy7Q`Q`s>@K{tk@8ktR+-w*4FNiTJqvwUMyG@Pg%jUa23%A zR>mFil5}=v>s!gtG+!{P2m$a8rzN=t@Tbw}Uf6FXAe4)o-RZu8M$1+Lueu~wv3h2+ z(fVd)P03Q%<zy>u!xa&(;cAQkRWbXnA;`ZuGah@+6FxBp#rd4YRT~>((A6TZ-`# zUjXD;tT~MhDBhv{5~w`3X@2**I#J)|Y_^QP>aGZu>?(R=uVyNesg|m)-Mh@czY4E) zfa*$`eF}y)i-yltyc>&Ae1NuFMAm)WlB zTpoAVF7?c%Qo2%x7WviJwv=!q&O9#31Vxi`RTbQvtVnjXnAOQcKlcGE|4e~{y3~2? z^OxQIb3dPXeu11`70=J*BUTO#4}{Tc0xV)mKq?1uAuR_h)=o~AW|M_90K3p0Yz)dc zCznBETqYEP<7byZUT*KI*lCwSk}h2?>h14Y(|^wA0WuB{EBA+Rru60Plbx)^ng%49 z%E9c4t!H@c`KmYq5jy1HhFRBG2l@pC$g?2d+`Fb2gRnwL=Qm|}mUecVb2K*>UESao ziuq*6#ka*E@4`$B=a=-iGha$c;n?yCKc>6T$|(K|I}=`AG6GNhf8vfUeLJlHwesR`Xgx0=mx%A#~OWr!$&!E&}SbP!T=s-pJ5ID6zp`b3QfgO zrTUn_o=iG7>q1k+5ZTc8p@ZdF7H-VC?qY4bw1B{s&7g(Q+yW;ntFVxC2e22PyVr~NM;Vl^%l&W7nfdkUds;_h(vN`0)DrONrml= zvSm`=K90y0f^2md<95K$f1?4WG{S8_mXKhUN@>R_b_ma?1pX6_&3YZ!0d^o6;wi%a z9k+qG^7a}*mOu(k+rJ3JFq?|EeG4H5j!BG)cP7BfGJRzzYD-w@0zI6fE*{=DBe^Vm`ZazyYg zz(=rAGV3AcSciX$x*zMhva69o60A-$7|PcRR)^63v^OSTTMu^Oy)Y%T+>q@X_efoI zfv@^&PlToX2nf`@z47x$Ay$=grm@W1Pr>s!x`g|oWyr_m$KIH&#U2IqO&FC}ROX^^ zB>hO8xV(I<5)J(DDtoR*9L$-n_ZW>( zdoFa9c9Ap9q)%!6R5Y;RJx&kVCkfH;D=&FA_@$|ES5$1AeOrwNcg76@#ch`2!`W}k z{?N|s4mMtqDyD1+KQ2^a+>{E8RJVp^JFkO8&j$($Tu&lk8XfEg}syu{}*o5)UqryJA>pk@l%og?95gYbH*9n=~tnF=j2QiiS1tV zLY;>aWb6#Ti+HJS*|wltv6|4ogtW-NI%+UN`ce3R97IUMEHn#BsU~%K>_QW{$gw;O zKl%q_uSO>PzUp}hvMbrO&+=0wgF~zQoG(flY0Fw6sV3TefHzeWDSrZG$OUfvWU@)9U+>*wvl2skYUGGuphx63YN z(C`ZEn6tWHVg`{pU6qmd*cWRlk-u|0xBR9|AZuF2awclUnM=GxoC^Zpmi5T5Y~(^m zP6SZJpQ77D)Jc0(V#-ZHs)B6e>|#tNPW=j)LC6#{;f9?A&grlL8j~$-;$i5_whK#P z``&kQfbX)*|9PqVt|0#90`+Ob`bU*fd^_u19PQaFLrG#TnAgQKkv4d?$B2ONY(sJ6F9gPK+6iWYG3&&3n@;x|=^Z;gL&%$srCiT1T zjp&kym3L?fpC%4D+^?|sY-0 zo(W`+H&=eR!30LqT2^Va`jx5S8oOD>qMV7@HX-x_zm>tEBht69`zccwmVESSF)`5j zfaQxKI&9cW8?M;0$m~&5H#uV=3$ngLOC7G%py~$C647YF@}7 zX%{*yA7J!jx$FMVQ;5N562gn`;MH&d*FEjudl1X_#b4qzre1*^eaZ_>`na&|#Vcbn z%gaXKTU`u36lf1f|3N%E%<*-?VFqvW1SEki9J_cpa*Q)6qChYd$^ZF!{|&kJ-P+tV z(w@fktAzr9bA6k@Y_;c2ZJs!>e0dzp!Xn0#e2$?%_W$AI($O6}6eyhobKcSot6^W? zQ-BisYZf^^&YJmOGAHn<7tppxVehHj;Jua4e``;`o#TKgCV%;npml`WiD92ra{O{+ za86mzIeliiK+~;{aA67pK9`Jm)Xa1&d~Y1+)R@$5>YL=ar}5OQZyQSM?1q@NEU>K` zR0-*u)4g$M{cGFexT?pdZY-{8O)$QKZm}>l>A*&XI0wQxYgaqv@Gx$+SQTJP0Z3JVY-XQ zn6*soA2jhX%E$F>T9L!2s&3_J94p4IDxO(2@zv0Ol&f8PYNnLbZ!4P?Thp|!Ma5c2 zxwNk;s&sj@%s|N#Y#Zb^t|_W*@DH?HT~nQxv@8hSHR;Ny=v;#lXn47J*{?N9-6T3) zi)QSqtD9E6r>e2SQ!5)3RJS6#SR9#M5&Ht^{h zG%P78sW;b6wG3(hR8(#KDDHWkLy%Oiy&sUK$&}Oky`9hZzwh^vNdDr)h&8jV_)O_4I(n8UA> zHMKolhk5QWB)|@LA-aLp9k?}|hLujC%N8>s2$`o8@iDXnC~CpKH08%HhMw4d0e1lh zd2AL`_*vt6VjInZH{GRMMzG}an(u73&u{ zsu-(6=E#W3wTQ4XFby_~PA8t;*o0et@u@8v=8b!sl$$sA3L8XvY^0j+aTfoX9OS-I z_DlbJr#GZ*cy%Euhze$d6$Xd83&wHzf~8GYKs~Honi|lo}5h`PqvY0Vhan{4GCw7Sng+K}-)S zt^)r8)qm`6z1+z@Z)P4A{YJyw&EvV{-07}=@orh>)ib$f&Ha9L%X`Ds*DgY|xe9b0 znY$l8qNK)mrkHhZ_&tDsLjxWOkth6*E=zRS@aXO0Xe;ifOJ9ZPKNTrg8#~wLHOj-e zr*&1M;e7ru^@9-`kbG%MV9!%L05mX~5Hpk?# z90ztifT{sMLIoeN`4kkC;;h}#;nkMc;lNkfZiMs(yS;GG8e$RZ9}jH8=a}rvWS6M- zi4iO0kd`kjM=yqP37qlS%2Cl82~O^F|9e&;eR~7D{Is2vyi=G8Nuy|+D`WlW=#Sn1 zu!d{MP?ABQPw9HOt@0v*+`|v)A@>)TSWCIE_df*lOEK%5&*Y8-@c& zFoc~0z7ncJ$P}FaC3<>h{0|^msqmaQbkuYT`)6U=5w~M-wvBp0%F58aV#db{a+1MV z$Fp#H2Qcz6J+m6K@Bjh*Mv(Z?+*FPIw=Zb?jE3d82gOYTWxHs|rh|XzhhslNo80TkIq=-kwua>F9^G5gP}*6OiB;|DLW{#-7(nWIS+!Z*Pm zt`OB<+7OSxeJUWhB%^s z2i}V_P2MXTeZmD-f#oJ%4M-VR<>W1&Z6Hkv#Ov@(gY^N3%~r;p-4RGF?i;R`0EnRa zZ)xXbJBql>_OEK58*}jOf{=7u>W@NN>=E z(~-X_C|@*c3GfAzLYCy%+Ad{1VaR4A&3q-; zDc4RkPPUyOfdRADE=>LkCeAo|inyIMa)auWd=&%u*+y3?@QLaSpZIG)>aa7-!x^k- z=b(+A`s5kxSkFK-OGbva)@{P1)7s}C3UBg=NKOvi)hp=J=}$fGYCEkcv-eP*!OmIO zvN(dVhY*=9F8HcgX?yvJHYpGyGm|YqKZxd)aKCG|=iK7K3-We#!Mfj6R4;;uhETso zpCs#Yf4qH#Kvmg^`^K^04}yUF7J0AX(b0)ERYJsJ^hs@z9H4SirF|cOTJXxRlO(K@ zPKp8?fw>XAhUF=q{H7HakxRwEcvrZ0$Ecb&WVk6&*%G4CW%`6dXGotM!Q`s!9IJ z!y>?j?8UJQldBq;fBzvys(c>)DH+nxV`=<; z@nISKt0wwS-&HBXCPJz5S+-Xh49~Etx5Gm_*q*U|Fn^IC<-Ag_L%3Tn4t61UD)lJ> zmK(CPm)L~+go}Fp(^vP((-yo!)!%zq6R?3Y4>J zG<%itl&OiN|E8lcCqqBNhok@2bp5;G{q{~?XJh0dZoj!UJ@)UNj}#kAhS$`{RBVD7 zD>#{($oNTe2um0xd;v)oF4C4@$ZDx1J~`>Rg{!=r5B#5#urgQ+bcxlVaD*2vd7Q{! zQYa>v#2irxEZ`&T`1`H@tU}xNJNE&*`)}R;JEX%iH+(kty|3Cf_2YSb-m*}JhrFmj z|M}QR|AmfXvscswPXrDZ2YO|Y-v%wjBeHFqEx0s|CF5mtIvnq*wZVGeLO@upBPP%KP)||*!gXO7nt&T&}>3ddsCv+2A6Qr7hBrN zndwF=>?K0#e`Rq#g{J zhm*;kKrRrmZWm%T|Lg(UM3@Y-`TL*|(iT6L(G}rOKTL>WqP|WTv|2<9KrG9;qbay`i=O0d0C5Y)g*?szu}4YO4FxBcz|Ji`TCvAs4Qc zD_#wbH{l(tq69y!WD)FNtEkW#tDdHcRhv{3(24bDfzwJ3GmrE&&t?~mq;6Jk%Je!9 z-UL^6)Vzkuw27XYZmpS^IbUe6N^`2(}tP zSx+zXgvUn7@92)v(YKXDJM1KMaU4I-p4V#`TRQ}sMH!ki(qy=Qnm3-Fm7#L9Vyd2K z)Kn%#DsHzVzK2OegfLc)i)+Lv?P9&vaSCm+Aup7g_3ZGcu5aOYKv7v0+V6$@=)HEEra3g|xHc`4je zlyw&vj&_m$mYuGhI`yyFf11qqTaiu}l`k=t8s>KTF9)IJLK5Od7MKqP$74SgVS3P2vYx@8_(UXjG_vT|#%vY~)93}T8sdVw zR1z}k0w3fa4}Bke0V!Q@02FKjDB>5ofx?+YW%In45F;+zElGBwxmh?N0%j}Z;zA3# z7o&9HiyX>rPh7Vi&# z36|#t8Hj%B*Py>S01=Ty$|L`cvtnRT9e#D;4)6sm{H*dtr`Y5BdM1>?q?5X9ZbkhC&RE>t?3yPB&*IJ^O+{e=QDHywX`h3uxm!6S^y*$|HhvWP+ zubKW)GY{%J3E_WbD)!td9GGliFPoFAUMGp5DWlhmJ#qK4A@ZA}X8sEAU5M^9O_(no zWj>QRQ}SaI|y^y^k7ff#$~}xV-gnu1(naP9k%R)^DFh)1=h+tx6qVIkI zAM46(+?!MsuN0b$nd4>WZVu;8t6gU9z;S_XcoL!Kg|!m6V@lPqm?zNq4AGtVaz$v@ zVAz1Oiwc{blo*mUhgo959m&34A#OskIM`a4aNuy>e#N(%AvjX|uOy!SF4jkHmp`4t z_uYhtfWSFM=Ilt}d~5zLPoM=}87j`%IyB6YQfw;5r4i?lXD(qui^G0~V@3Gh0CgqG zL232L(sPlWi#6?@7-Zj-(F&mDu)%;R_t@nPo1S;(f{?(hGR4vzst|2m`shhYd)TyW zlMRh#+Vu*nG72KV(HKJnAvXyay$9Tzx^)D@p6_;N{MBoITs))TNP5~OWYAk}GG7h0 z$)0eX#hsMM%GZ4p8%{#B=cN?Kt`J0>5Qv&Bb?z;AUq} zLaxKXvhc&&Yv^6uX*!wib%i6pliI9_<6v}SCgQT!ZEZYzEO$-2*Lqv8Zm}T-KXrUg zs(P6$TUS(bsrgS=xmJ_HX0O{?2Kk?4xthM@gmr(fzC4|+B&tZUx{I~3*4k^gJ}z+z zTSi^0=Puwpo39=#0&gzud~-fmh3a;j>%o9m$Y$(k-PiTU(qwISseRU6+Wk8$D_`S9 z>r8!8eA+srU?{^eXtpz9d7qe|id&!83ZT|qm#wq2`zbQ|&yrxWbr#p|&3PVrtITbQ9l=X0yS3SHwo6){6K# zo1L}R+Veu`-(9RhM+;}zf2G2a^S!Zb?7;`!WZb|&m?rhV0UX7M5?XYT_br;YC03ZU z5p%Sz=U^?UVa|WxO$h{yuIc(ZmNTFxxp0GQ2j z<_uRCvm;E{X>o^G+%}1RIuaxTA1w4)zDa$HG>BpYj99TDMHByG9Aeq=Ps=WbiC;fV zKF_2mdoSaWrq54;U#Ho2f^}m!5*9Tja>XFVjHnAmTlLIk?yXPk6|!W^O2OXeIGlku?%J=vJ#wts~PJIWP_rZptZ?C_&X1k z$Y)4iF5^#d_;PpeaVKpG#-p7$c_BTDzP?vA>-6IMlWM_+vAu^n@?r(UQ!9#@r1L@f z^eBf@3|6IZN1`7Xqs!V_+=!-`8grJI?K&V&XKtYE{v!&#E`Dx!I4Z={7o+=t!aa(a zGOT9=7vZqVt+pY`C4&7c60x&JwH`CejahW3 zA&se4Og&@FWCu>zp731Nbx8!X@oBP9nVx9>%gpTALdgk~$o3qU(pAMt2;9bYcDP46 zaJSfmrI9F;b8F)1n%*#8vN#-;Fi!9-a5yrM_C9FXnd zN|yT&Z|eM^LWhypq!38oGXr^vvL{ppJ`MvHYDUEe?1KQBndb7Hz{Sw`lOP4OGe88T z1KQlN2-)%h)krO_`-*qN>@{;=Ovf_lP}z8McZet{MPVcA8-`Z_uJMRqD4UQ1cD`V^ zG~v97{ud0&dLEj688xr}v3-YHH%d$NY6h=(+$lK$J5k3{^%Z&;MjYLpoMVB#x6QXp z2d-F0HM|G*1 z^9QA<$4X$&C-NIc=KiRNQpQkudbD zTVV=K+D}SKRGH-4xe27pk)GJnH5W`xvE&W?kUB=>KGhI`3RR;5JoAhaZ7ilV1!iP* zbjlJTcBA`>a+_w>j8u3&NH@8+jdKcqigTt>FFaP(}8S{5drDn zKe;xnv_{4Qt7c+YPSi~jI;wmwKVWy~oh?Ngh+^G7{B@2P0E<1~kDq*WOPK4F>Sd1K zqeub1UD#qk!~OfmH`X)oetg1)S_0P-->Wp`7 zPmFX z`BdxL;z83}SjRk-akv3BsJN7&=?_BUU(@p3TQ#DqMJrMg@0hQu?-jx)Kcmoo- zJ2W<)xZ9>gm*~6J!lMZ}Bc-`Q0_3?4Rr~Y`wP`E~DM=z9o=W-XThjECxZQ`u_nuK*PUaT7bO9Vk2NS za`tmgm&gw7o-{qm=rmX^$bj*Yn14f2FE$cB`?(Dh;o=HRV((<_73?rqEKI$Z4xuT_ zWsHZPLMw~D0L(0+UKQ7h0r!T$l?VNcViB$lLo??rVki_N5r6n8N6+UE6G_(>wek0L zn8^S0TrduTQ-gv47FmjYq$FO~#>D47#jvJz%;-`Zks$!-u`T=s%M>)3+mgm|KQ4;5 zqoauB`ls5nX;Hi;32+IN1j_@;2=DGq<5m}e;pXNQLDsFx_cMX`@;YI@8Dc~T7{Jlc zS+U%{c)dko`V&(4(4*`a#4vq66ubmb$QJvmZ}bsW$+;QGM-K>5TtBLP0tUsE_Ouwa z2+OsL<1HtriTz73)T*?&W&!I9_if@`bo#7wF;pf1)a{S-$^e_Ez+}@IZM&Q#E=GYV zeIB_*tniTKq>G26AfZy%hyFW?;MNuHg((*OFkeDSA@$xcPm|7JzLX{*|Kpz(#Lyj4 zY&ZteCU`wIHH;9Dl(T#(nKjH;lIr2xBWn*HosAx)fOWP^40nH(vgs*%4x@n^Dn@)8 z;^vXGid#t1H@R<$(fJZz+RgckU8+HLh<}GvaqR_=PlVeNhPEglRYbx>VnQkm_OR@(^E&^s9eA#rKdpB=0b2c!V)z6DmYzFO|wY z1P%7=r$DWP3Jbm$JP&?r&_kvJfk9)5)Z+JAui8}Y8jVHjGGv-t(M&|J!b47hA)T5D za8MB;0F?;y0jq8Wp%9=7*5iE&Bo?l128=6&@APO1 zjkmemxwQtD{Lee!B$r1Q)w&da-mz!ro>pTUyJM3^)ZG_sVF02fVd<+cPk^@a`77NXsu^-;j-Wy65koThZMazz14p@ott2+ z4Zz_(-#u=mf1o8b-mM-3iJtQ9v%e8jrQO3nZIOcgAa;$sYPUTz5&-?XwInc77M8%h z4vPXA2cQ2_!^jc5OC-k6YP#^Uv+!@HPh=l0e-Jv?9Dzf4wP7pc^OGfrH^}VxTT5;= z6L>{ZTxPLgGLao}yF@-wnJJ8iO`5Y((^)))gB-O3cZJ49VO;7H;ef*t;rMyvtoRj4 zS#dx_c8QLNd=bgn&n22L?h~DbdlK;x6I3R$=kZVbPPIaL-0lDh(NjmdG;rw=E(4mg z5xY-HJBbM+;j(j*&%cm}eWEWUpP!Rxj)?35u#sU+*{y!w+f5x+<_9G_81^} zyKXW5y}Q7dkutj6is8}-E;ZSa5s*c{IVQ1)T;C)S7-W|f9~G4WHGDwJGD(?cmpI=K zG!TATo81-jV3c9t3=v$!I$MV-Bpn;}l3z9ur!q$MY` z&lx5jojGyXe|jRi>XCHpaj1qnZao&fe%raktln;)`>g8TUMc2V&CfIwd0cZT5O=5Y0X^dKYay&l5DNPsp98V)bpZu)?^M6(==8vfW0fDejyt)(vE4mv zFz~ly-Iz?FNE3;r!cT_iHFG%SJb>?zByl9HnaHKk0>6h+-a|6QcY#?6v~@HRhv-o4 z@IZ+hN(`f*)C^ib0FIy!{3as8y-i1l4w4Eu50t!5XN1k*>IrTrG1z(hZMv^7-q6Uv z-3YW)jhLaIP0P)YVbo*BNdIjIg*1l|10g#7mVs~6P)HR)$f<_IkR%R4qLdc(=Juh; z#gxvRGo&;9!$@SqkP(Iyl3Hkovfn@t7q1MZ`W%TCyN4q@P1C^yUqb7GUm;P_po2cR zZMZ)t4O>0(KwqVencXhzP9=eo3hM`uZY6=r)k~S~#c;u4e`13d zLVK<}P>V=2PMF}EZST{W!lZ>j0)Rk$4+Ynd8OHc>2*A5$#DGS9eO@Q)tqr!5b>NPu zokA!dgF!Upzsm%L=Lesl)1>s5F(jv}Vlkp5G86_ALI&IE`rs3!417iBFbf1-%O5AL zLny>2R!|rg84kDeU8EAx`X2r`9SKCZ^QLn#?zqXGo6cP}DM2Uw5!!tu0@Y+y*QJ;u z8V>8E7`n|OaJcX(jn#EAZl4JO$bpTg(KrE;Ttn1mQ*j}Z!A1SvWI%^wfZuUCC&6*1 z%KXM+Aok4Z}tY0W-wlkUfqm-0%g&=&=r(K~9)kQ9cV)6PE5QHLoyx5Y<3&rj}%pir_x(Co-_vHa*8yC10`rG`?4v9+?OwbYaa~z+8>>S8I7g6 zK-m63q-Mb_inaRE)6)@`d~L?o2OrC~L3OmqM*89hg}LoYv|J;9YSl@8PSr>J@{{~3 zIBjP6qhziQ!-faSKE%khSXKOor~XhDBSxz0{N}0rG+M*Wf)hy(Ls`3lNJD4P?-1vY z?1HFxh%-#Jr;nU{P1+^V*oTP`ejY96o4RCU!@QZ6@>5BXL?{{zpt-O9sXnN_^Tr>_ zBq$Q~yQlJ&lf0t}VRmxB;+A3P(U*7SJ$Ke}@0ms*qE13f325Zx2>u$hfL2N;snpT5;l9`eXCCZBxB32HUIaHur_uWjQ-m zy1fEUNvVVuCo0$kJ5pxD(#t9^mB{Y046-J>YwF<0PXAHxTtku2 zNZI5g;?HsL=scZdEmSSjZt5guf25TSGDUx=FGMUG`P}Xq@NmAV%N*}8zqG!?Y~tKg zp`1?~MqXRQx{ImI+2N$#hVl4ZYuMjAZJ>iMuxCMu0eLO;4)a`VTf7F!5WkFZf1Vx+ z-q;!%=%_^>bO;5r;6UvL8}HdZxz(&9uW5(9)EkU$7b8=~o7H3SW>TWcAk#9lM$4ZV zEpJg{!Pzu!)DAn|W(FW?AD#JxOEP+>nV2&o`rIzm@9OyVG%R={#GRQw>UjbJ6Ilov zAxIn(ZRUPHedQ)NEDMBu+61+WX&+tqgt8UtCQc+F2`L$-oRctnKEzsq?tEI6MWIdD za0#?My8a1Z0s03z9( zZ|!3}HmtMT2yr5B?hb$^QOZo1 z9?N@=oYZfOAYF-qNK}0|ri}eU1LH7#hoEyo5B0I;KJH(wAVU2S?zhuxV{mXEw20g0 z#F8%p8-V(WaBJkzRk@*9{0FfuFEkhbAruQ2TTqmLW_meo3~h~egp93bx_EQErfTIT z=-RT-W;hC$7I|@~yyp*Pp=BYje@R(Drrojt%vh;CEvU<}h;!{C?Ij2VzA8Uy+yf+H z0c%4)_#WG)#?H-wW7}KqFlhN$*q*lOk0kEqelfL=MHqXzF3U-Tb__0J_WL7%Y+Gmi z!B7PL%P2x;k>-Zt_lH8kf?(nJfe7Y?g1N=oFJhrs$QN#Vn#4ORt8%J}FU~KsJlg3b z1tHrMBAM1uTgZ~_>2!6_xHt3)cXPVD)jkb+NtDV+0VGo{2)%HaNe^njCI)jX8Nd@# z9@2M&%4s5E3_VO=fz+|RQb0e3@V8%%-RcEGKLpA+wCYi>Y>3e2 z1a+aHE~pKahT=aA*|qWd5d5=fgg$i=iq2F4 zW^S~-Td}+1RK*PYT>Ix0SrtcZUfU1szbyY@`8(yWm;bT6*v8JpXLillX?xCgY{n*= zZ07ay-`bw3m|%TnhI{J2S??;(FP~bjDd!H)Y_qOrLgzy9h9)NDF-AHscFb57eE@wc z=h&9!P0?aP%@Y~sj(=M5zblO7fV1|u1Rtl3o%23e6s!k^60j_d#;U4-{+$xi7G;$AhPz+s=Sx& zN;00FWjtplhJ1-m!I?;YiqA|WKe=9>(0qc=WN%jx=?^Gt7F>lO07huaCmcVciSL_Z zk=#CE4qZ)Vj0fHT8e@CY&|GEPv2!~FT*OzyC~WITQJ77YdcswLo+rw~x2Ka+;WR%U zc@aBNZHDCxS~8GYR>qy1iWZUer5>va6O*yjWAcx86d@=C6U_$K-6GDoits?-{=+kc zN8vP{hZvYJ14}@-Rx>lhqy&A>WyR*49m{en__T95eC1sQSVl+qelPuf4O^^I%Thr`U9(Z_8TfdBKm3_?iOVXwFbQ~w(2@-k_aC*1;8M90ufSxxaH(jQojnr)>3W0~bJY&+ zu;@&fRgdb&iVj!1cj+pb(_W~#~z&T&JB7jg867 zP!j+In_+lX5a2Rb6$?<4P=2RfW`uLXr#;Fhe30$6{$#ncq6*dT_{s8U2e}N&Lfv0L zs*_B2!fn^x)}JmXsvSRFUI`cE9jFLgSbw%0RY#%5-$MMNds#YU6=-9B? zs$kG;6?z*lE%i1Yy0wCcZl)et9-L3h_{S}IUY75$OyJj&SN{H;R=_K=d0@F7TbTlv zQdzTziPXedyv|-kQM34LI)~TOS%erH8{>26G>Vu7j6`Cbg2(zUAWs?v{&jXV#0r75<89*db4kXwNchs2w zfl2(UbO!$#tr&RyD)}5N=k|g~(85P2!d%rlnVbQ#~ zi|dzgi|(Ei^5f3l*=%q1|MFW;V`KiEclfo=+yB1v?DC|ro>YVu;ai=IOF!s^zaqul zMQxSA9Q602O6zup+U~6L3>sDB4ejvUZ1Nn1-MP3i%iD^NX~59vu=^nDitoLoTQ8m_ z$A5z%gMD-Bd2RCvJ|EojI2Z~cOE?grEUfH~EZlB8m-O(nE$NAKV8IM48Gi!?%uetd zEb%~m<=5{!<0~&EBmMXxN&JD+hnuh9Ck{ijc&{|R2)|n8635$R6<+^@?{;+SiNk&2 z#OD{CH+_k7|BM`3_+eTMD=A5(OFSj;55p8L+Lh)~U=Kd5&`z2UaJ1G`MPB)Z>}GMMyhmZ>dIS4=S%ka84l&N0-Pc^hEe4oKOP*hVVnp3kX0;A(WFS zFsRr0gQY8Gr^(}w7jp(Rq~QMSYm{cEeKsX^qnXtj2LhY}J% zkfbdZx+Eo6+6;hybTRxeL{I@9>Z_@-r_yZ#0Z@IiRJ%xy?6d8XHiYkZ`I>R~ni`r$ z?bkqcG&<8-x+ZlW;e%~~{%=A3w+Q`L2tU;S459xMXrbfI>fh|z^_yzvB{awh3GUCg zx~hl?WB#r};r)OAiAlnn`~K$X)Yupy_Kz9jwO%;SPrF|0CPX*c!1#~CUcbYB@%B3M z&9O4<0RyPsb}T|ouZ&RfgP>>Q^9{88zY=m6gE^dUTY0AyjPDNqX2Gb=L3hf@c{Ky zJ}n;Jn;-EXVYnZZdaYNPN^5o_G?UXv;UYs`BZXmi7z!HA=IdOc$h4n{FK=Yx^)ZX= zfDXsw^=KjcU-6zEod4QLCgGC4#5<1k;6_eqG3grpDlvLtgYW1*z>fLP%tWsSU1JcY zs|%@|M%!+xxY2XKhLJLkDB`rD_~wE}Q(j}dPSYsEzPkO{Yv_aUW{0q017P}MAympu zDk73&W2Q>Rkkx2HC<1?U`bxB@)s)o;2^KYXmH8Hbpbz&is9_Jk+l5&*jXk|0z~KS$ z5VuTAS<{9l#kdXXsQB2NLC4b!znfePufRY}Qk@J?ir`EU|$fZp!q-EL+ zJ4vck+eoXW%tFp>X0x30`=X|G8|OXMOqMUGCiRkxg-s7PFPf{D$>%LtayL1n z#(In!6?2CQ;a|KiZ3VZxkovfE$lrq}9qLA&Cq)T10Z2Kr8xQoHn8Z?(O)lJjX94xd zWG|e&*5e>w#~D2avPeyAl;QsRBDmBkFd_~WJ2F=AT3SJUUh0wqIG5!@pB8s0Rs?I& z3yZ;(UBM4okUAsC9Lr6W;36zO_cTMBc_W#JBvoD{hz^~{PdSob2m-{tS4fDtPYb#B zLT*oCjC-~Kt{hhw>ve{cN*t#dUX(ok+rk8|`xxMVQs^49USp`Mr9)KS3ht5yy6I4^ zpmJBd9N{_(DU)d^ypVgdkSZ_@abJp|2iy$_g1N$gM9D;u3b-7D5pK5zS7G6Za$%rd zCgRiVxRig`B6X32+M7P0S=gu3V!7Inh^|P$ah;*AFo%u?D}7=AvFYdr8wud2cPZ^+ zUznwiGv(ZW!4)*dMu!IGoXRicC4ejo{b}FZlV8}@c?6}73w_Mda^3>eziZ5qkT}4( z^^i_Ft_f`*l1L?qo`nTIu2Ik3TjURmk&<8?a$r|T49NhDAfY#0SE0)R2kHz1y`c)} zA#RqIzb^^*6TU74=Hq@V_p*)%!y9RlmvyCqKyv#F1i@q-XBgfD7>pC$1Bv``x%+U_n?p&V~9UP8HceEENWnUh~#L! zR(T^gy_DM_Khhxufk0{ChgH$oMadh>11U=TGTtYpl~&~nZ<3b4@PK?En+niP^z_MH zxb+N^coN7LtKEXrul*p~6*jGt$m$Z%!+GNVFuHe)#Be8$c65)}`5dIi38P0Pk7 zSFI&$TGl+(XPw^kM6MCkoOM)?-dj ze8caYWxsPq`c1!c>UKN-9x13m5q}f{yHc4n-o|%Xq$~BUM7mraoLC$zDPF1H7H{Zs zN^adc@ZDR7;|)ig3F=&0gp#E_|Kn!Qlt-Gsiq~~H+tpX&?eV%JsS65PUH-d&Xt^&O z-~2nL$H-^e#ni>B$m!{;qvB@A-<-8ub{?chM`xV z-<5tdUW)=thu3M{;pDXzsrQ7N(D0-s`}Fn`Zm!nm>agQp>rSWZu=RB(3&E}Yv>OAM z82^AJ_&|h=>4mHiI}URIp8`;3LTO@@Flw@d_{4 zcM&_F`4jFFRS1m}%_mk0=j|u93Fk2@!rxizQpmNV*1tJX<}$}SPQJLuoz7R$etsqW zH+~x(~*q& z*GZ%~exIt_>x^oeArZasuJ!k~uQ1{;!`5vpT+fuk*I(ZsI%o zb*;0Z4U1HFphI>TH8uClmUw%0U)!^*&ur}^^EWxkoXwqdR=mcIp7+2hri>|1Z}+cK zZvuH!o!;JwcVP6_IEE7uzT@M0YJS_Pd1@$91?4x>cFP>|QCW!3;&sQE02=_O*ySAb47yx{KDIyE@6r!C9%Cv8 zUDzOXMl;k`C&N%s5Yr4LT$T^9!9G^lx^6MKxPH#uI>%0uY`Cjto?~Y~rtn0!8Hcr) z_NtE^cRyxI^Eg@xbOvVyd=J@N#{hGH%t1cAS2mYc^IynnXa#SSfyXJUrXtLG_EIF! zKP*gXULP(JTP|m>9G)TW^M#{BH(Ba$_sY_2a-;U9O=Ps`jka>5ZKBae8*OsxquDZr zH&BriNy?W#BYaWh3mi-^IZbQ}lBhZ z$D7dnOlnh}yPMXn-uNha_nLL+^AdFLIDxvQ$a1COY5l5v^+tlQ3)-d zLyIYiZal7gT{}eO=mcnq@Rlu-MwAfmu*XVyPN z9uU-h7kYmIia>T|5ug2C7?MCU4!}2v&#fT_cttgGcT6x9H~zOl6@;AN>U$Azs^}>O znt)*Rp9gO!SKDa)nnA&W9y{<4VVt2D!b}tfIjF`1r0(yKf3@(tA<}}|A(Hh&=5};+ za>DHZ36*~Kd!?b$qp_^BgC}!h1t~gDdGBi zL~tlpSce&^Lj;1EO<__HOttVl2utJjwAi0tXgI^f>xP(kHFTdH=W5&Jq3?G$#fDo< zflB#YsPmuJsF9$#n|QS$!Wf1aG=~;u4Cff`U^W_z!w~GPFx_er$PK;!mW`m6g(+#u0@|xkf0rh z^NJ}5JF2&Umn?GWDy_gzHO3kS8N+Eo;!Gh1g{L8AoZyHuxhoFzgvF=>Ax1rx!Ohc? zH`=YAFes?)XSkp0Q5Jop^T^kF$0v*%r8;}&=XfCohF}=(6aVG-nz5c>tbOBU0^GJ7 zAL>j$5-l%CJ9Hr_#LrM70VhR}=gfNys>!qfH#Y zk&hagUJ5$X4-y6ri7Cp^VpP*H1}O(#3{35hFaMGuCGq8_nc8^6X$GM=w~Z`m`!k(e z`R6uz0u+a{PlgCAQ3BKm|f;_nA_>`&bV_jka z&&^U=p5%TFcgK>)8*>vdj2|o#Dm`ACi`F>XI#_{5M?RYl_VhrvTZYG%UuGci5pwH` z&;V9rZ3nu60`Z0r6F>kV2KlEc4u=?@X^_!PY|J}ErW>0ge?&T)tTxCVx}Qi*cx&8V+Ak|?dfjlL>-0-Y7-Sk+n=C{ z93L~S#y+&3p9Q^rXKnjIdv4o-p8Ue^+hQ3G6|q#j)Fnmp)YD79Z^l^YE>aVH43aD) zQ}j~wE+}%0+6$5R^)cYR5|jDeqz)W)pfiQ#0|TIIFlFBZam{tG&jR{?2l)!gAc z^Z@14d}6Y#1|?JlmcmouD}DYwnMR{!t~J6Si2L(lXakytC=&yit$%`EY=wbXvIW%0 zNK+-|BYg0o$0v!y4_6UPc0sHQ;7B!YfK$C{2FG-3}zRoDp(GLUl3E%+#;-%cZ7HO-7Uj zM`lN1@Or!TW5yz?V99TU^}vDzpBAJTAox;pn58}_b%{B@*x!Rwfl`-)EP#m zu#pf0Ky-l|&-PBB1Fy7;)Cvzz0trF$1pF}@s1FCJGJ7BZ;eK0?o^CXax;kET1I@f(H47+B;}hMsF~PP(N$xV*=J59@$l6@c7^3c-95TBy4|SRSGlj=u zwA7Vubudn9e`%_R4hKX1Z8ATBzQAYD|3&pq=EZabD8}RTX9Ra!ao*CE{9KSBe`OJ+ zZuIbDHmqy-Fxr3(y+gRMuq(_WD*w5VhSZ zwdI7HrFLlyd5u7o@0t@Yp#kfU6kxs(f`h*LkU<&{_$jf4ecrv@a3!m9fKwx?awHk< zA(!Jr=0Nhex+-xrt;(gPE;HqaNQn(ImZQ+HkBzLJhDGA_pT1iZ-V#2!iA9>cf(0)E zISnB+q>(X!UZ=twkP)w%p5j_$1)KTrmE4tLZl|jV=SGXUSaA`C&O#RVuFKVdeBr;6 z+)L`?Y7Kfi_?>wKm!7(y1dj|x9T+$H3YXTJv4Ya9&}mmd9AP6NxZx7;4{aH zWw=g(rRE&KIwZZI3}B!m1p_Vx#O|s=Pzi~M9$P6aoZMaLnm&l47N8$45=*2qxk8zi zo}p4}wD{QnfuBR)c*SYeH(r&na2ahTE?sh6zKT)L6|1+&()0_teWWZykD-%TpM;a1 z=e%SC{D(|0xfEUC=x`MdGW|a*a1-6Zgsc>lQ-JaqVUYe#hO%!wMZ)o_EIRwh9aWB# z%z-ZTw#_Hp)bqtMvFAi{-8BS@akV?3pCtUtgL)TLPgiR=|Rx zr}p1&C?#whMUjnuugIZk>`We2QHkT1NwmM*p+Znd99H3S4N?xqHs=485b2GNws8w^ z%fxIs0C`ALngp|g#?p9b-Ybm8ITk4zpO^X9lgAxYf=-VP@%6NnUk1gj%0^^Kj37KN z%o+vRq>@~MN+gf2HY7O80CnLdxYD3Q!!GsU&s&g0&lbr7hq4js(F*b8{sQ_cT+DV=7M6QaN3oI9E@~ILlajbYI4Le2zJ zc%2(!613R~_mTnC_WE(Pfld?Zb&Zj@w>9B+8lAi{lP3>#&5;z07~gGGs+`XUx2 zAKVHOXu`D{!Ukl)F)gB509i|9hQ4G{F@vy*;vOafy#%koTbr4${U#jIsVjw@P-Vi& zRwKMeX)7_>aHHc2;Oi)Agag9GhS3tomyop{&R@Yf7VbxpevA^_T>k}|S~aq81TVpq zthz*oneJiWLN!v}72yg>xPHJKY-_?8c4-fFMbw%R55Fx;X8;)Yhe>Mnh<}NZd()Ua zo-jfpapZ0zYs1vJBYJWL%gr^$8^AkPz(oPNg1&O=OV!$u@y6qO)fpoQ)l`G1p@I^% zal~_gR)!-pQR9*FTWzCOpmgHM!zKQNQD~$bs?v?u1dQzh&i<3i!OVSQdxq?YO z>q`>cB}1!UW`vG-a5bhp)CF~nUs3Nd9{w_|2tGnfuHa$TB?n0ZV>^5=#=3Hdi_20- zZR$evM4khiNXqOvKq>7l1rS6yr=(xt9Jr>yEIK><~vScE39AFO} zX`2YdKvNDZ_a76F{%RuJ2B6mO^X$kg6S=<{3E4QvX$DHS3X%tGH>1+T`;hYY8wI(r zfptH{Od?at1R)sV8jWFuB%nwfMjHzM-c*V9?>j(k)5hyb5-sFGFKAaX+|`M81>znR zCBFi4T^|0ihWHwCiLX^adB)L{&qJl+iI`AFs1#Zo_ z^62Tog?;lpl)CaS;UjVb*lHVwd<&FoM#KC+CIQXRAT||IT1KV~AkQDo!+{d9>z0~V z7$Y&b4XH5N3DD@%o=NGpcy&s-;Tj|y-H7tS*aMQ_DnVM&3Dm%M2@At0V`O1UgLtCb z27?}B7jY%;P*;{wd!41OPj<=BT9~gVyCg{4<$*x5|L?4+*LsoxH{`0!$}#u%Z$7cz zMR5O7>EM`X$I+dxK5i?C0@iMjeN7|lo>sSKX;aIZN7~7GEiKJ0(_W~aGq-NZBC_VL zhPoH-TDPI8Wg}VBv|;0#b*nb6XR>#_8uCCX=r}3<)J3w_IEdf51E9$ zFHJ9?y*AyCko9vGyf6oC`hI)M(1%~BS<@m+X19}%Jh^u53k#ctT3%>C+h0CTHm`45 z*Yq&tZcJ5D*C?Z3*X{!YrH|yXb}CwVzwlB zeA$gCNG%jm9=e^+`?v>xeq}e};JzUF2?(zLThUaR=GE7`>rF4HfQ1d~ zS3T79g6cbrdO>(_@q((pY1PA|d)?aWs+u($9umavB4j=^jU?UEkBvV>fsBnU?NjDF z*xa&l%3Z71HMcY!RxNIMY$v&)>7kw9K6Vk>NMY{`@*;QM1K9x zICWLhequX%(}K8)L7dt_-$+&HQV{1;5MVBm)eNJlG+}vsKl0CRnL|pUFx+4a-!RlO z<KHLl5J%<}_M~Mzq)%?CF21h43BhtAnv-G`d*p5yth=Rg6tN8Gc7R{$%)qF!ADF zT@*pnFx&u|mhL;y?M9ie+0Y*8;L{0@4+j|PwD3ehlgi>=w{#g%=*m4|C8x!*WEl0A zGNlK6PY9%j&*TP%zeGW^PgotG2Hy;MbO*qR10N26QeO~Aop}TKXXE(ARg_7*4G_8; z7B%LH4%HqY#YXVZq8+3n?;x3N%p$(Zi*7sEodG~udHfi8>HwRShiFLrmuVhjG;Vp9 zPD2wvX7lj6TvosxdcyXaKI)5pJaUacbh-cPiBHBZ3{0CT!L=V0{ilAe^hfe+qA%}y z!5g`|bNA;iEPR+UO!`;ZUvygH8*9UyrSm$_=ke}#&#CD^-_omJvaq9m$%k|2Ebf>) z=M(g`zj+M4$bGEA;WdMf2v>b)atxE^^n+>p)-MLmvoy zM)*G9GjrWF^E%L13hNivbkr|IU-_HUf%ZX9ea%qvZ0h{%T=$~;I?#vsQVTXZQlA{W z`>uw?9m4nh?pipn1AU!P_|o8g9jOlvj*VTrHZpScYH#nyA4j8oef|B14qd){;uEj; z_1C}nV)yQ`v5!8waG|GXU;x8#J$6BefqFcuiVb)b#<4 zi_;(%Es;yTdiA(fc=bwj)EHu4~Nl7(06o zTWg`NL!<@*hbwsyG^u%#RuW>PcGPGj{xA041-^+YdmNw1t4Z6WNuOy8?Mwm~144;V zAhy#MN-HW2Z)AA{d?C6^5!5!cgsQuuyQ}NttGlkQtM0DKx*BlBh_0Aq2%AY9rKK%> z5X#UbT4IohfkNrzckZM-+}-c*|Nnjdzt89Ya@yRv_uO;OJ?GqW?>%=Oca8wgJ&j@T zVF!oEndveW``L{YarEk|4QN|URO+M!aR!XpSc7yW==9S2N2aCFF1_D~o^v9Ht7NiN z@dkaJm;9+%rbs2-wn!=KzcDa7P)JQZigt&~iev!*63vk+l(WT0dT$&+b=mbt)gugX z$K4Ndjgv4@KI06KpQ?Q*HYfF`NeWR6F*-~)}|l4 zsnRlNA57TzOoIY}=BKkaRmM3t6K0=Pah~!LPPjOK78=<21==7N%^k9;7FJXRGvW3y zdnd)6SN+@N`R*V$f?k`r_VGtDX|Ye_m&_v4?0oGk0(ZDM=HDN~Wm1p3F{yctVRNWR z8)fiOiP? z#N^zuJ$wR8&kHgF2*h*)8vEyRHwrlBYJX+S->!MSS2m4{6ABmzm)lZrJ(c@)4xD4t%;svz_e2_Z7xQC zHj&m(z_b@J?N{iZ{zVo`R)*<&aQf-2u>vt0EbSNpJV%7me}ZHxi^Bh1E3rLjeHW&m zTZ3+x3-e0qh3Mt^VYKKgXUKhP@GaPX)+Z%DkA=TlRyte!RZB4^EGEw|l$`y2r3S-b z6)LJn!ADF^%lkxv{)#dSPso$#7H`vei45zvpexenF*Of(ZJw_vy1eAyD_NvUDv43F z|6-Ts;u_<*HHGbVi2BxCeum?JY6%gEOQHn!QBCykE8*;|@3(y9POx=U{Hj12 zcG1DVQr>{wkQJySGWsq14brqD7F(JHxhppIHp*=~VllI8oIZz%y>fM4CZ>{XvQmA4 zu{ldEhv{?Z9zGHYaQZD9*^Zg2o%#4#%5@&|%EajAFi|4tM19shg4V|7g0+0o$0jDh zim_lV9iHSquT0%T9vME{zf*hGlw8B;u(b^JnigC*6Tc=uz3Z$wxdwb5Beqtx)6B0q zkMXZ1KL5BXez2>medN5XKC;tC_FNySCNi2M62s~|f<-URLT$|v9CY1paT3!0Mn2sa z2G@-nAhV4F{TB2*bOA&fQG~x+m`ZTtdyOv^)*(sbKJvJKPC5B*fU>(rl4@{x-Vgv^PYCINjn)fr;7#c9nr)ypnC;UGVM|h1>3};@X`KNG}XpRVHxuy@DH8C;NrTJtK z!njK0(_9j#>Q1mni{cTh3WKhn$uEIljgQ_aHiZW@oe24wR#k}@W%}~X+aB7orEx3T zB;aRv-?eE2@t|$X-4m4QE77eu^qsp0@4oXvNTWMf($nh5gvL$R>c_~WzhlM=zpF&RmBx!toBNJyF^$b=d!p4CR z*rYe#ez7SnEiIB}(VJI68pVhATD+A_dYL?}FJC70sAOC8=AT>~gBSop$Rq$-jf$^9 z!CDlogCLBa!!h4^vB`pf)_iPkn0+UU1Jp+>0`e2}P2Awxdo4wj*3$aBdZ-Qxqdb6fP6mcOb5`grA9FRVx2&`p9U&hh!zdjSHtyv#Il>)f zu50Zwucg&lx^YKoqs?7f*j`v**Wc4IB1=JSrT_8dZfuQYZQRHFpmeDlmF}oWvpEYa z%?0)U@nnx=?QPO;VII)iBWLzBF`C;$MF;dbE~%$JjUl%Ws-!XrTbt&2E=?vuo5_1# zO_O@or`e`em|hwrZx3mnM&n9s54*es40kZPev|IaLGtNA-QT%$I3wNB*4X+5wo&O> zkv5CzB2`#nImZ4it({@-Q1q~i(+;!C(%JFy9=p@8kn>>(!mfZ#JeL%)LHp+!u-#hR4AAIu2Ylsb_`U zVqO^9@7XLjH-u2;0S?@uCo;MJhOCCbuF!asb7cZ5&}G;gm9voKoqN)#WRHsqn`%Q% zf+$5u6#YGo9~|7SWu0jqd*tj&1-(53ko&9(jT9@?+!bO29F&B#$ErZ8Zw%p?Xa>J4 z1R9fN*g;(x3Zxo4m#xo15k2UQlX{YJsx2F|-LXai{Gw+XR)(M!H>8`{GIc&gaGcV9PJd7f|ev`0KyM0%qO)$G1Tpt5d=;90Mu1`I0q)+s{ z0Ab@sU47^V-GY$dFR|}rOfJXt3HH;>zAw__b*Ka)JDKg5>h^L`_Dnk3o2caxYe!dl zZoJa-UOE&Bv+rhd^oQw?iwp%287N3PL%V*_cz6O6g_e$jwSZlvB-5rkhl|2oDV9F{ zyhsUBq;d;|Txfe)2I}3v%ZLIvs>)DARr!MU@JlIWq8Av2^Y=+bs-w?V3g(U;cLP4$ zlkGh2x-3$cH_X;4Id^+Yo3-P)48;5+8O&w;l#0qRFeG8f%f$*;OkNiqj82|BxlVI2 zS!hQ)`Ev+gqrnOvwv* zM+k;-_m7y=QlG07uYx@SX(!>2-*Cr-WnbyI6dzxf9L8(GcH(t2=J z=>N(BlwXB7w*&3C)-2P|o~(|KvI@avx$N(R1)m0{tPis45fW-+nYy6Mx+7&e?R^rp z*Rtbzp#|I>iLAn^E-N6lv=>M{eNg6VCVdX7Gd~NgKNqUsYhCGIpuWm-6evEMGL0w{ zd3lW90(D^&YK`iC8;UNhy^Otn6F76amjnr44#D^YIx7|QJ=s2a^TvJt_tjq8^Ook{ z*LOUxcB9-P8^s$pL`;n}@mCx$it=_>8cR1ATIT`eSsiWkkF zGPj1EDK6G7c-i5RA_XBS2w01HjgOJ_ox`O~1^g62_-s zJ^BDC31tG}VF~zsu&p3@y3);N;nt-MY_j$qipMJg#G3 zcI`q|17`Uv)HLk9YT(n73o43&exmG+8sp2ruK(^Fwn@`>e~!?UplE>BE`%~(_Vs*k zq8=JPZjoVq({jwWz$$NAjyKg3=u;OEtq&zqY{sK#?ohZ<)3YzbB+XssrEh)?8XE7; zq3d&6+85TQnr*C8K*eJ%=7`c~ve}KAPGD?)2VB~p5aH}+`)LI654RF6F zM;uMzp%M;ItiE{r-#vfMi5ho>QuXhnFh8AB0mBk1Jq{~0|0)D4u3w|zNfi7N++-L8 zjgN((KV>MyhjSR<;9NB{cW#AW4ZoSWY>?AKYeR%8fd;2Xa_o9`VTk}j2B6NRuSME6 z9s%{aVc-IkPX}{uoy-0gw19tV9?KMTOwEJg7qJ96(D(^2O!`Q;F66ePhI9Q^&KcvWBg#yT)*jRmw~pD`VZ$`Uc5;? z2Xk#eUm5#sV<>(-#gLoLd&?4v#q#V53IR;Z-YU#QwQu3 z`HUT!)%r*+@^fA_cK<2ZKBxSnyf@Z4K}p!jRl4inV8{L2&6%zQ_x7y38ngWf=G zXsp{60?CjQP?U0EZw3w0C-U%Q7P~`ROeM4FrTJZBqkg-vi1RPacXWlvKI2jEeP~@s6IXBY={Hiee+kEd2%y;r+ssGsm%b1fV_57m1wy!Y^P97j3 z6NZsTaN^L~xIyzxvak-?BOhx-7b04mrPgMl4R(NI^n(i`QQ;}2Xcz_+fFe*-_j)MG zg}vcrYeB%*LzAyDvi6QbEjU3sEyr=G2AV~0)9TsD0QG-YP#lF=l>V+D+=W!lx!enD z2g6X+7-^0brEzXFFq|$x#YJhw6rRnYc5wr6n>HH0($c8=N26{S38D;+VF+gs6^AJl z&Gp;0FxNvUEM!Ntu;%pt8NKiRc4}sR6ZvFO4(95L)Ldidr5>-gJPfWfy7WA@&h687 zjA&JDfUC*G3KKAV)yL5Y+KhtjD6m1`U6f&? z2`mqzXpJd2<>USvXL@lVa;6s-j_X40aK(FtYG9#X@0bOy*2Y3;OAtVC+grdR1;Xr_ z^dB@FT9J|>toAkmIyNU1cM0wg*Y4R{C>ADyHw)KqYQJK_s6$k6OzK90!(<78!vl+4 zs91Q+G$C-$-kTBUDm}*w8M7sX79{`XX(JaK%6!t}BQ2qAOqaPTWU2;wHK9B1uW!(= zT&E9{YcCW%Q2ps<*)LaAeVr@}w%g24SMfOTPitFjxqBxZXa}Y$@ z&DEiL;O`lKQBggcH(u(Yi|C@FX4Bjdd$b4;C?z0L>GZbo?GWk8Y0loC$hp~3zt=xp z1VY62#QjM?%5G=Ob3?E3JN=$w7-kk0OA#ZJh33y24-oSh#Ew9ffFKdHj#8;*Bu{iK zEanydt;JB_GT(WimAvhrbkr72-p=D7!{n3@d6R*)uosxO0PW|CffMv%E5tH^&hv=Q zJH?q&Pg^m__N@QS;*@NEEOvAB!eZN@w8*f9e!dv0IgQi*D2A2+dJYiU8mMtWfJ+$?X#v4qRFUMa`81B5D zSh{rS6k__EIX4nil3q2AeRdp3;YFVaazDBfDop_(N02i2nl`dmQn+W;xQYZa9BAC9 z5Xw{I>^$yyXdE&Ei0iL5H?+0{UGi8p4z(|$3|51C2F9hVXJ8yy&%iiXQlmSE=*rxz z1ckE$A#NUBiF#!?{zw8{?&S3G1Cu>_$069D@FI;j(ehlMX1rfBz7l>=A|6iI zD?Jy->6P(JrAIQJbI)S*vAvupdwk1tmCw4FH~=U)vL2)`N)9FjZ3BVA4*?EAf?5YT z@pILXCEF=irsrj37H4b6jV~$1w7U9K<$ITk|LGg!0lg9Nx$QE$`s~%Td z)WT=@_mAf@Q83Q`=6HU*-!mRM5+vm)rtk;GBZGu$``#QMCaXd-IBz{WG`<<#%9fZ9ku*HfOS2${178>(^_i^n$-LjCk3moQsQt*yZF&U;&m$IiyoTVLyN;E#Kki|YgVv*$&w2CREdHyEeS=$)HqLOX@$u# z$oSs$y^Q{Zt0_;FKxxfC(!d2<1Z%_{CEuCQJKIwa`G^&HbzyteR84?UUT1^@z7K1E1Oe;cY9%6QY01NAF z&QfP7tlcpr={s4Q)|(M;yFgYMR>6K&3IduJhadv#sW9@I((x z1`iQuK7*d{Q2`2ELiaLZ=QMXIm=6y<;z#S^!t}z`NOM!tXW`L{+GW9UuAhm_#n%k z_uv2EgAYIa=p#%2NZRZX+mVqYEk{NeyVD1~Mz1}eNP~lo6Y)sap)%e)TXZN5y^6*5JEi)2ShVZ2};&>yxCN>UTDzvcNWY2%66#l{(f&>!#9u}|vQQk`oO&QdxTTc@KN z^yErXm8sXir5rw>C(grE47lt{(!qF{v~4=ML8q|j(r1tvYjv4->6BJo@t?_YvvuPQ zx{@1prIhZLyL9vK(ye-(thrG)m(tBE)zwbd-Mm(3dzEZ{nWS)>65*5t2S-H{V!r!` zEXQnQ3#m${=aH3$l1jtG$|kdEP&0GTAS)M}ZyYSHw{;UARb=-xXERNt zLuo-1Q0J$<+0rSx8H3CX4gkX#ltr3n4pv6eh_lR8d=idugF1Sxjy33fDgtQYxz){; z#3-dc!lSd}2(KhgGhK<)GsN3tHn%sEz$Ykoq@^9wS_4(7zeCj%0~KYWL&VB}KK0l7 znAOH74a)4Xr!d@Hyq!UDd(2jJx++`y)ipFpSB=J9gICFfZ97~WH0}*!iLnw_5X{pD zo324gCABaAfL6W6U5{Uv+5*J2QRChyB(z7bMZ)H4Ts1f*LyuwHp>b^xqFOqfAl2x? zvOyW|t_Jgx9IF0o7RSVSoH*Zv_Nw!;yQhFDRv5moWJcJZPw~7z1xAR37kt2CBiHVZ zGnv;;+jAGBzAPZzN-vu_$D~Z3*SN*Db<@UsA0!O(t|t&On#q;vHFs^;@~pNZ{uKggP9Jn{+U9ii$vFy%qh8C@*3bX`u_lgdGz0b?N@+s_B_Yg zEig?0WDjIA2?*?vg7FkBwq8L@#Dy;z0g#3ea5SHAUBX_Mg(rvTbEP;&6)|u?vWW)z zg(vOk1YBo`QFv5@LK#S~`qFgeC}QF56tk-@jWA~uUbNG-0(w^ba$={D=wh3%2Q+i} zU{*waT7K@)Bc+3b8Vu`C?)vVQll?oJ^xE%dtHu-G<`Lfj(RNBSi$Ul9(#R{miz`mf zvIg#?|M!cQG!VBfT}Cu4zm2F_emgPG(m-5uwEZWWEge@{0)Ik~ zB<@B#3kc8QY}&l>>H|9yl<9Lf+(p#YESqu-5T9pRxUi<77V24uolWqfvKjF(sWS|g zjc29T_pr|!dZa$qe!Vu4fOa{Jo5}}|B;zBDkh$ebCV1syXKV>qCXG|_Sxop&^ik)_ zKWhD>*4DHLwUUd|pPrO@K0WDR^3wu~4YGb1(2rEHlTIeaQr8`+iXYDNvHK0$fghke z^h1=2N?!`=SUA=GU^@L(R!WZIutMlBEiF?`-thr(?n-vck)4Bsl=i-nqAGo5$h(mH&C;X5Cupm5cxJRXSV2^I#s|8Y>I%% zf2}O%hm}EB;26%qK-TOLrr%l-SU|}5bmAvk39lfQ1!@c$L|RQ$!s(p)ND`=1w*&3; z{;GJVmv)fj$ydEipSpk!3W{8 zq?mlYqzZ~mI>Vlr@|Le6@%cZe#0~LE{)Fc}BY^lbeyfpR<(Y4!)GRicE;jPHo+U<@ z`cnyw=Sw41ok}3|TtZF_u<}%%i~1}TMLF4?Cyf+8mX+&y(MXM6#`FBiNR5moR=KEe z$D(KaHY5L-=VK$alfV7**c3m&vQd5b6;6&BDbW>9hK!VCEU^mM7~?lgrr*Gtrf~Fq z;DF|ZK1A~_oZlQfzXxZ0bmye3SaaHJ$wZ&_yg4tFhL7%uHS@cCd>Wym+Js_^P>A6_ zA|#YV`whPz&Li4W{4#@t|0%?AZ4bX3;y;5pc;x&zIE%Cg`SEZb)q41~z>-|3km3IX zFtzqO{taRx)E1HWfCH$%Y(yqhYdFKF8NP||{6~fe|Ayft!~2Qa1j3Z@GGa~%{sZ&? zky(NXnSKn@hM>YMYE)o0BKtc6wF9p#@EVQtTZ!B&bQF{dZyJr+;^2=gA+oN(C6r7X zi3h5B$n>e!e5?@QfqH{P(}ooWtad{-Uo%_9x0I#xt7l924YNh33BG!Eh7}bTwHQQ^ z(h6Ut#W-tl+1eb6`h*Z`@XgDXUcg~uS~4B8WV ziu#lglldXtoWVH9Ov(g%3V7MhDWvF(_wv^An_vNWIo{mpW)jU27nLN2!vZ=bdbbe@ zz+zuLnT!AD$M7GR4#DmKy+mU2?VXPK9!9a?NFe@~gC`qTv5vXOhJv1V33?v$8sw zoOgTe{0Vxfe?{H0B@>g$hPgM_uUZHB3vXFI$(T%5+`7PWy&;*Ts%|qcvZ9yGlP!~l z`gbH-|3m%MzgBiv@`2JjlCw&#OEyC4#^im;2Z6~OW^PX^Aw500F?o0P&ysUMwAGNF znfz(;Aw+TVoyiS?B=;nnlADvWlk1aPl0&%}B^^?i^?NkH>9-i~EBc9fyR2@~J^3rjA67L?-CVMcvg0-62F>E>4=5H~zo~H59Gi6h#JlsB z&wNO^@P?m_Ut76DJWqdT&e9vVW!yUX{^Hd)wWQTwx3OU5tfnl>w8m0v)y^MZM*8vi z@%Zuh@%Zuh@%Zuh@%Zuh@%Zuh@%Zuh@%Zuh-+L@vdi&V>|2P&~g<UuD}IpaUxE~6*m$!|V=Dl_@bm$!Z1*zoBqH)I}?F=xHOy5~%bt&u8rE#_v< zo@?_?!_Ozt1;y!DTWp2#Pgc!empfuhVoU#IHAsTxktC&I`EqvptSjf`{XB)k51r-x zyrZ8VA#cLi9qH4tJ(PGLxbDzdhX%tFLGm+eaND6gLJ|zTdi*jv^~_h2s_F*&d$sW}dTQL@&&E7PdrHbe^!|3j- zycdPRFshfr2NL9+R+(gmo)D=ovNuZEt=9NJkVE%pd*vt`VMfAT2`YVmnJi8v+d0NY zK7_Mbx$*Wv=2!Uh_{(^U)o%R@{@&Q12Pe~TO)}|Va4estW;>YJ>idRu_YFr;>`trk zZmaO92!pvCkAH#b1FghxrH`hiEqt0`YMDv5!b;**yre9XR8|E8kJJ%jval*pZ;(*3J0@2Jj&^N$%$3GxGW6ZY9!Ud zw=09|UOgMD!<$@=m5n?6I*Es*1L8Yejd|`igHf3O^ex@4^`Kfo$Y1lnZxw%Vfw~l`u9S3 z%P_rfnD!3Su3>tm0J&+H{>?DGb(ppf(~l0*Rl^v=GQ;#E7=-_1`-j=pILi-@Fh6)C zL^ezT+PUG_IvP7AoLTWHC=gr0K#^eiNKz<6rD;W!91+RS762%k)Lf2^By8;FjQEu# z`;hetR&y$9Gl?^|Kf`!;VN|^X6Jv zR>cHoKJPLwwVIY#&DGY^B2%?hZob{>bjeF2IQtFcm%oGuXTD^Roq-s}Kxb?4Q78vu zUoe1LVY=N~=@Q_M6!>YTUU(;;ynO)rp*^tPAVJS7Mb5kp{|u9?a%^lwoj&XI{*0B) zd$$wcRr+Gq#!1I!M0UE!u+;IM{@~^e;sT6IRRRygvodQ|(3GC%$H zP4hH4BDo5`MYURT~vYB2EVJuZh< z#y+f;NqS@5q*4W$$Q=)WlR=$YL7qiteh=S6dwT|1Kk28>_2>QJ&a-B?b`+)E+ zG=uBsZ^ZTAvgpkISql264N|sArI}U6J|$8>tQkP%t1{8w$-kN62?XDdwWtd*orj#}Pn zm_$BjHCe5?^;Yt=H0^JV>#f~HnLJqj$0U?^q)87M!I`hQfCBJfUT3ZFRk9Z(NH+6x zV2JCiFwjqYIBi$@XR-zIAK{uBB=OIQJUT@e^$0VJ)$_JxIJCyG{PPn7^cQiS5lxPdVT>%{Y;IE z)G#jza*IK3P&ZP2+4*4IaE5Y%q(ucsb&1{(jK&F>+Z;z-KION<~yulJ(~$hDIAvIdw+AHLterj-20pT5ej6 zj08+2W@Ca4o%PU1%>M-zeWa(6Uv${~0z^A|_`<^*b#+$bb5>ogmHwHG!c`7XD{U0I zsJI8}c(KyIQd%KrACSs@knBc0r4c=>I^DF?%9ws?1@5%kt3Uy8CAq88bib9U;MG0d za$Y@K+%4a=3sI2Cd+NtDC-3>F$3o|2rE1)TMyqbM=6S13UX&w<9cv6!8*sh)45Py6 z3lh|ROP2M()UM4my0Irv}CSv7YJ2>YOFD`nz*3;_1ja5Js6G>~2J z$B6v>m3nn_*RH=tF8%df=1LgaKpByp&{4JqXLNseFg+OPzQ^#lv$2&4ii*|8)v%N7}Z|qMMdBnNiz)QW|a>%;^IfSvh6mg)j0*qh; z$JCl61_an;86VG>__{J;0fNWj$>E zrIiVL8_0VzWHLvfa5nz9UOnvQ7?CU!7t6qb91SPr$bE!X8j-W}2H2I-_`pbf6uFKJ zII8DPRQRS2qivgbKGr-fQfe5tamOKfprY)elaK^Pvf^qGP3a;4MoEev!BK;edT z$V&jw!a1g5!4;2OhJWYKerqMgDq%FA<>Lt-uOu>4JX1;+mCod2@)k5A7}UIZc4oJk zoG&2DwJP3Frl^d_coBi;=H?2TLxaYnkKlUa8Xu6|L0TY1sPJW;&EX zAIqdkC2dmDw(C7oJ*Stxa>g{lyoqyr-_Xb!lh@}t`xwrF`xjwovXRGK5!ur7dEN6 zUcor!LIuCBJNSW0ZeClD?EH>bkfbh8Kw$y@5E-bC8vKD2PXD!bnF+5dXJ8)}YPR-#=Mov98D>u(K zZoCiJV^?R%oeV{rst0;)z0QNLWC^k?>Jv4%WxLYOa^*3bWM%9Aq6-$x#gL z0F>2Z?do;+YP?yor8NT@SCV}wi}5Nic4jph(w42wk%8H|Z?CykK!ui{R+?v+w7s`p zh4*T#>aj9qs_5mtd#*3kRAXKs;_k%>T>EA-eo$q|0Mo!%r~~spU!ji8s~HgZrHC+l ztC|z|%?OXN3jn+tQ{)kxgPCWsKVShq)x%>g;+xELdBb66Y)QKe%TyXfu{|8(t(`eU z40;>%fjMRA{D#>wzNJjmO?U%y0&@)MGV~4^A-0`sbIZyCg@MBF-c_s8%Q74ETDeL+ zTcS$JFy}?T`kC4JeEMuzcN&D5`>V9_hD>GRV3#`X9%L#~`@0O<^%Vw5rRiDgSmYV& zV7SX0_#p6)!25xZfiD=Jqa;=hF<3sw=DOR+gZ`8Os zMU+#3_rsWGVNK>8 zaF4|Hz<|R9@W7nr0z#~6tE`Y=@?O9g80ocjX{vX|_Ox4i0U6R^5+yl#B@xszft|iU zDnk|`@Yg%7)-E-HSs|v!G5iw zh_B*I0(m5CLKBA{6UjRC%ynN>U-uOgeBdkcEo%-g$D&_~`H|5udqegp$BdZXg30cy zaMx%!w)9=A0Bq+N^P5(3@dY+3H$2Lj-?H*){^L2Y?B|n|!iCauKcB;6hUvW6a6@qB zH?h^bt)rW>NAJm*3?@yJePD&; z-rXt;(uMWpPeye6tP0)xR?uYdzzC6V+-;5B`U#jGkzxJ-Ks+IK!0h{`)%39y@^qhA z0c`rzN+sWNGl?#|4U4UQ*J^y<`Xw)pw#RkvTBk~b4-E%rd=s2G#H8}yw;JEILTk1U z4vm&$$Bc=5Bx$M+jKs#XY$ys}@!6SDXHc~F=9{5f7Fz>>RZd=d|n}atRJvrs9YdNFjAZqg)lQ(=%K%;1 z#XWh129f?weTG)#A&}wXaO@3jf+h1*<9g4vr8w^|?R|a3X9;W|w1HLB&s~AV)MKu| zCTgiG@Bp>UMXdlfCL#r}@8nXCI2nZR&&+dc{fJI$@443y9ehFk)8Fnb6P0&eXezutH0_aW;7tPAI!@i4G{K&H|H}{mXHa^`HNIJl!g61uWwu) zkq!%EA!ZQe8%6`thS9CE?FM|;Xj3+$N@fNYLjl`4xlookL*)W8F(i~BP6n0|$8G0k zr}8=jEB&|Rk3K*!Hmyj8%g6YXAK9rs%Z%E`Tbw6f&d-!#-FQIjg@KX!9)zSCM(ax1 zpXcLPCc85qKPQHey2L)3k2jbQAO%hcU>?9oXAnA22+Na4^MNpg)On#u{=XI(LC9}g z-Cn3dNn8}rxZ!Y-llUX2k}8L(2FUpCx&jAU`N7y947~a(EEPbnU}sdd%M8Qh9}8si zvtIVOe6TaicZ~&s8iNGcQs4p4t~_;w-j%1R*$EVJup6rFNNGxLFfFYGp%KT5a-75f z%mAsiD7`Hg>>P@WHppdIIoh_adZ%TyPM(iz)4VF2{i48acM^%Mxn2|n-F3+@1t3QO zPcb>RBw8kR#^$y&XA2SqYqVzzCc$r>)`7ZRrRgcb(TUU@EYJo6U)YHmV9%vfvB3Cq zu8k<;aR+r)0`pVW)T|?nG5cVENy2y$r{v7l%x6(%6gt9}Ni>+CYA#l5 z1u~#ov>Pq%!jn}^u|4tu$nV$QRM=P8ul-peUbwq(G$4N11%aKY#Lr-{<_g2ie2o1{ z>m+Vq35r@CvrSVy6SJxI#G3i#L?OhR(KpgPTO6KJMZxWJDNY@=4W0{26o4BQF5lUjo4L&dH83@@@?t?CT5C1%sU0QPq7HFgFC zYfu;-Q~=wqS`@Ph7^p-(&MqpX2!MpOP8X;OEr8#Ty)TFiqZIWRgAmGMHehz1M_d?K zZAjp1e5~yAg-|3eYZLBIs4rH!%;GkFxyvkR12=4QVA~BVw zQn2~iPL~FpncQMr0Rq9~W_sH?=KMGrg#<@exZ2tPUozSt4%mo{E-DR5wL4u}F^us* zI?(JwS;K+-^o^$gQ3QP#d0wNNMWgqKTlW!CR606@vcLi97MapI!Sjh~!i|Y2nYzg?C&}` zyVIu+LoP@Ety850RI5q_AuGj9r~4}yRTfQmI!v*C4cku#I#p^&sx&Cbh5!KvI#oHT z0!~JiOMfo_FLbK%Aganom<5nsl2j20E2j&+IZl4t|Dj0&?)bO;=)`a6;ad(ztc>}c zey9J%E&w|z?BJ)Jn!ok4fldVZq`%Vic|S^D==8kUrAg}~+b~%hJGY1YxW63J&qP^$ zonwWeC@Jq`|JKQRy8Lf-vB56-(W4NuMO~_NjjR)xWv}ld(>m+)%})QvU3Rvtt4T_~ z)71pUbWe0LsthEL55{RhtU_R2(}t;v;7q>L4>~Ky9BhieZ#$tz8sdhdBz8
~r$ z@@S4;>KW}~K*7decG`Kuuj_WgPf)a;&g!PBAO^W9&WfBqp6F9_`}j&9Z}st0eZ1Mn z-{j*fd_3i2gltz1ZRr-4MifS}{p_mlaM)ow({FsDGs;@Jqq<*qM!6!V|HMz}u1|le1;r{{7v0-ssugU0y}M)7_LK_57|IfnD7>w4+;JPDnlb zyJcd2s%NM>2W1Qi_`L|fU%>YX_-6orAca2!`1*28>iG`1c%l>SL8?c4vnq~Clpg$O zvy-V^u!NYuq=s0wYSDc3>9!^F8;Ipg=P$XC4@P=FsXnU0Y`pBS=8Jxr1k`5{)K_t| zh$lSDj@lSVSdM1WHy(9BKb-zfzt@JYtVFV`Y+e`pQK#vhezz@>W%{-sTEv{z>Gb^W zC}1J&9_~6+1B#|7r0qFf(1dJH7eEp2y6%IDZu6Obr{^zy?9`*A`zU%lrVy>ghMIax zd)M~9+pF$-y6-Q29;zU%&(~>gb%r5_!$Ifun=_%Zkvw*O@W}I zoBX05)PNfP#vXll8K2&v=w8_zIRRPCX=!O~(AenrDE#V`9(fOXs~__8O3#fw&5TSe zyvgsG+k-ZSc?8|3Z^pd-%oJbDzYW)(Vt5rG^qj6mP20Jfn>&26`hZ9|Tf z44MK2_Hd{FtzKZ7jQ5u(A+YmpeY<+YEZ@m_Uh8!<%>d;g-SI_!sPxxpFz`IyPe0gO ziPF7C*?W3upfr3mafK#S+6A??vx+YNNG}@q;^=CN2E$wT`QyEl!$7hy3`T^wlXSIn zo{?Ub)i2t>+d@r=%=|puF~@WVhMx* z{=;_Qno_gtyE(fl*e}c`YtSGjBhmOR?*suU#2VGFr_1_fnC218p6&Eo`X%}tdR~9C z3EJ^@NU1P>vlxy#cZ$tN$J!9z-k*|tF7!Wnrp*kg=NpCO!mF0RP`7$q5rC z2$S>D<#Ydc&AX{_jn*7{>DXV6y>o2uv8Zm|K(xiwJ%l#GnLjW`mo+fGX24-OF=RSE zL_Rnaw(-{=zLl&$CJaGnS4F@d5})!Nel?(tnH%ALGS-3}eWyWAq2dNKOckAvld;bMI>0hQ2pVST+-cnV5jyK2Cj#V8YV7 ze~P|VAbhTR$u!G^Fv|qZa|aI9m<}DoKR(7D3A*7&ss`-knA1foPO&4$*xX=G!oofi zj5FwCqUk!0Saf#>40=c(97zB zu(r!Q<@TDD1I~k4r`$F&bAaFJo52%@XTof3v6HKVqu{Q_f z=c+9>7>#ZYst8D$GY2ARo)?1*`!@v0Jf)J;5a=Nb$&)!iW(*uSETGc+gDNGQRq6E2 z!E}CtPwYjaxalJZ&y+C$jntqI%P?;kM2+m^+`Q87KF+73K<>#u4z9(wK`FvbAE37! z=XZK0rC@gHWP0~;Uh0{3+>6xc0Ah4J$g4d!9}kmC5dFm_j{Y{tYdzNEoH=6vq&?8V z1!uB<4$=+B`8?14$JxC>js|PU_PlxA3kf$D_5$%=9@jTWf#}X4=cb#Fhmi{X@gNX* z5x9WzWct8N&r8RlI(?JG8@33Tc3#}<`SduCgYx(HeH-MqfGY?Zkp*ddfXzDvp{>Gy z;&_E$b_&!5t>*MUI|R)I8D=pCAt}ZlV4@3aK{?P`ruhR5g#-BiQa2(qj-d-$Lzzln zQB#hdUNivqW?_p@weItw-O+S^AJXe}`%vJ7AgVh&MD8C_$yv*Q@spt_=^j#Lq4=Sp zRx&tLo^<;EFo1UBhUKO9l*71hs7c>sbfS=9uRBG5GQb*7Ienz!7=6ot@zjt?#GX2# z3lHhO86v|&XoDE%5bB!yhLDe7d%pSi9Tx|}M)y$53)bzgtmG!sAt71VmvzGSLh~zd zih{FuaRBXC9W{P2R9L%^EjiT=1h(n7wgWXdxlgzvhn%HK z&-@ehl>9}D`Q(t&zvhIqfL?l{jRCVm+d?YL!6B#rffJi2VT?k!@W)ao(Dm7Mh-tx3#W>NzQ_PrB!)8J6lZsLa6 zH&2+oLvNZ+4k56)Yls(lj-PNK5|1=R&D>BE15GowgC`w5p=vYkA96enPR!^Dlyf~T z<-DlL(3a5>&TZS**!;mp#`x}#(2l20?1f9j8%X zh4zBOWEN@=wuJMMN}*}?51EIC1Xmcbt^y>6-NZr1hwxlm69a9BsIx(E#0tqU`$ve%9V8^qqzw2CclGD5ChfrE2{vYhU3s_TEx-h(V5|VHWi690Q z*gHYoA|g^NF_I7v1w{n~rPkKa)=F)A#7kRlA;#81r=4%6_uJ{2GM(wrxiwnbI&Dz{ z5o8m$6%jPGsYFaH#$83^qC)b&YbR*i>3rur|Mz{*bI$X)18c9#yWZP+*Spr*m$jh@ zlsPK_IV_;iz9jq3Cv^bRhfxDCzdr{$T!3cwjMpjgF+t~|T^mx$J6X%&kydF+}x^$f`Nut-0{t5*Tz%*?-q}f4?QOB3eIsZI7DsdoF%U7>1K69v13@EO0egTllo(N)RRma&NaMm7 z`~o6A^TKW30L?_M?gIF+0LOpoH4eD|tp~73h;D?u(%DHuKVC&bCtm6tsHi$r+40f^ zE%prrraY;C@ zxGHcy265vgX#SJ^R_O(D$+>%J&<5alk$>xlxe=HSN7@PTb`KInA~RP2qNOk#B2E3; z$&B||E1hP*z_itedBg{ zO)a+o7M9$7{puw!ErC=;FSDn&10pZ>GM(qZJ6KQlT7%B{F<=M-CnJ;V^rP~cP6nOx z*OFi@TixKV(@yu}7e{c};JuPA!iWYKTY=YR z@M$nENH7=?azFfsvgKX36N(scb5Pg{rOgwfpLAEum+->FTh6(Mke%$R7=Vckb`cE+ zIGmZ2y`UCoQsaRZuUiA^S%85a%%Fo-G>auk@XzjDL&hme;}r00M*rG9cR;x{c}|M3 z<)w=x0WFLyxa!T8+>7X&IhD|@J9b@c?S`iAY);{Wgc%)sE+UxisC*l<`U1*p*>$nG zHAN5xWzeK4K>@gC)S50dBv_oywP5Mctl+@-7mqc&pnIYS-%WdBz)2#M#t64*cYzjr zAs1p1rd&k9h}`dxDR;--Exsfmt@c3gGsP{I!xP}uj#{YGHShZmhLebt-F%HJt# ze)o;=`<=75&EAf>?uCm^Zjd4n3{nN!trbQn^TZGcE2;J8oX2v{)yAKJ+?6?KBsBM1 z|8QOntA*@yhuSNwX zR)OYYu+aE>KVUMaWuHU4%kD$13#gbKPGk8mYKuIS00+fOn)>%kCV8a7+Veo_@1+rk^ zhY-On;^4mOhqVw5a(aC&i~50osNjxI%Q{CRjIUip;d@+o@*;>()!w?U$@iU9ws(S&v<^-n?Yxd92|cYmCPl z>9Ia`$tw0(uXwEIJl4!h)=8tbD%%$=siR@x6xuU|-LS}`Smg1TX)Ax!8axWiodV|m zOG@j5m(Jc2Wxh{aBSx)ZqgLVQJQH?{3A+rU9lK;s<6~(8EbSaiCbP_gm#pt!LdD={ zQ%AMdG?-lTFDY;=EeIop-ztO{4omECk6M5gSka{}Okrnn47bkoRCz;AIxdmDtPp+P z=-S0gP^dn~qRX~vJ!};a*y4X2MRtjep-DRn7H+1i($2DG^wE!CdP}Lo!O}P`1Y|fa zU}k#gK43`MN0X=7ZOZMk9(HO0bJla99q(b82Rty+^Dk*Ao(7d0hZgWB00z=dv+~X% z@>L|y0Q0ejY(OzJ)cV+?KFx)8E;+i$ftKH2o^STdnlkZwvP`L< zu6SHY+A9F6RHA+UT^?vp3`~THA^6tH7mPdO)*54&dOT0ViuvsaGneI z`wAT61zyFP=v(hLp}_Fvi3%;y~+yYd5j+4tSS_sUnz2@`Ai=fr8R>a{o6T=f18`Naa6 zPLJPh0Cs`x65v%hqp7QWt$&*`pKtK2ntg}rE@a$Xf}ke>zVJ- z4boX)sDsZqWv}XSjE}xbNbtktJP9Tp?B|>Cd1)$ankoF=d1=@pQ|!FdwD}m+S^4O{ zmG7v6=|y!qNQb^vxAJD%`kTmiutjTcqT8-psvjk`>;#Y#h{zKuK!wJMX)^Hh?@aSQ z4bN>HMh~Xbcm<~Au~qE4!Fi9UtY+1tDwHE+tLE)M$){BFFcZ2fuNC4II9o+_sbo^2 zqyjxDWK?$fgjwwBVf54x&aRFnSiB+&)z$jCeAX=(X;aB`7(Nog{-N@@+|(QR_^#?7 zq$O+>;h}fc)Ecnr+R_1MXLIwu-@lSY&XeKzfRnb4sjPDrT0dB5H7&Hhwvc-*P<+;l zw)q}ZaW4$w5b;V=d1e~D`?qr5x+V9_lb5b=aQWN`*R%e{>xB zD6LFS#o=CztrY6m$Al)fw42?qN3YnUN6$MF(+?0)(_;xn>r25Qv0pEy%e9#N z$^l~3mQU@|;_~y_U?8p6E`zXyE!v|u%exP7uPKI7xaO=EI3^nxn(1P!I0(a-g*1AjJ8H_G+*J8gZS~_%R6SbuZ;u$aZr=^MnMLZ92{Se(CQLrMK?Zm)MHZEhV;H zRH*GsGn2C@nYt#=A?ZazpHgs0sk(V6bwq;M^0jz+t=s{;@@uFm6Sl-~}QRlsrC>MEZ5k3Wt-XvcTNQ<;+rC&Y#H!hSvk88Uyiesa%g;LvpHbp!rfm^|s?vaVI+N#Eejp&# zZ|}+@J zpik7GjRL88UI8_u+FMPn^_Ek4-mQcv4u?AOBR$(x;oi{HVDhsJ|8wUK+gq!sznkf5 z?_VjY_wUps@5db&=B*;$v0@l{qgO5nJYprU=brmNZrwDhmDp-58FY|5QZR{+VG$zW z4`Y-WDk0br`qn0`enEdA5le6PR#KUu+^OF3)aagv$g7Cz=4cDe4~?9rOqd=OCLr(8 z;91c6M-&=u)+=Sv7&Irvx80;|`R%rwwre2!(T$1s(CT05CqUZ=5;G6b|Ha4Ux9`|i zy_0)f{x6t0`R|!2f89{3S@fVvGY6>hc$Ypi`Lt7j4PBz_5&3#$LS%MknoHg4p(aWowqZeXkM zy%=3^J7*sh)BlrqeJ)gO3gTg#ABE7g`B5Rng(3(|LeX@H$HqZuijA9{xgb-Q$*#w= zsoZ~B6|Y=exbo_I(Al_2s8t*|OEDk!{=XdaS@Yqa zfd7|ppOu31EjT~7$7kJ)`EGIhW!|Fkw|K4iNV?e?g^{ZZoCekkB{guj2_98 z2weTNC`C*qoJbAXT5XX@x(K_VL+Zbpyt>pB@>|5zI$&zoa7C_U1XzvBbW15bw)zijl?>A*{lyexWY;Ja ze=*4e&`{NFDG-T7=!W>6ssPEZe$W6ZUCC4gpY}i<6UXO1|6s?>pdOXrwu-((h?qC{ zN+krdZ5!KX+l=k%CrxevG*C$#My}-(LGIp&2z|9%v>y>N!Xy|iGj83yF^ZNNo=g4T zpZm`7?b&baXTCnp9J6)M?IXiO*9Wf+T)pUaUFbXS>^U1*SF4-QiE&8Dt?F?xRuzn&GL5HLeb2ytYj@UhckXTVzx7 z`0_}jNMuvT+ZM%76!G}8#Tw#-Go=aE^urB2zQJvZKnwg*P|{~SSebwgJWy8;VvvNu z{}j^$q8G)V1%DqJ6P70UHuUSzvC#1poS_vi*6p0+m3SaSepy>8|9$}SwIOU(k~6RNN&lsv&&t^iuDlo& zHFz6RdD;ds0t!Y(rgO<~9q!9~n%&z;!iXxyF==f?#H1+0q^Pi}Nl{wfq$ufdv~D_j z7YRf>NBVXxSTkcmj+q_^Adz3j@M!F6uoS!VL?wo;$y%AStSG-Azz#k#q7?wY0lrDB zP%8(%hY$x(7e5$@`yyb;CoVK9?ZC#`TzjV&4@X}-W*h8!SB$-{7O|h;jwtVI_Moe@ z9$@BM7kNn_bLMgTXxL>}gFTJx8igy*a~oLU%&SbhkY@+kOi-4y5(svdbEqa4_fVJ5C>LOolQSTBP`0J}zu|FKLltA5~{X9V(S=mu>5ta^5@7?K`Xdd^iq zgv5qiu)vwC5IfloiS=wg)ZaUh;TKVE(*_R(R1}aNg!OA1EomKzJ|6#Bd!m$kIAv177mBE>dL3-f~- zJqz$gDr^ByLx~m$G!$=v*u&LQN>4#Uh7%vm=HOfgH=euFVW>CdCe5vHWV1ca>P9L! zfh(L$jKuh%WS9l1W_CSN9*@md%&B({WVCWJHV<(yVop7CIQ8^SsHa!p0w3nrkF%4_ zpAE(Gwh5zQ5=9R3n`~Ip`t4&vH4$KlKWbE~QQ&Ir1}m{}cpM1>oy|_$uQ^w*sE7W7 zs)J_Liu$%~;55{O2irE4J%M9*H@lZHP49F~jcg=tO~ZX+w!!EnRbuIgHf2(zT&$Fi z&qgsJ-(SF!j+iGo0go#eTu$^p$HR^%9NQ?a^Er%ENk-y`O`sueot^u~a>Lxuy5_~W=>vuBjV2sf#An%_{g?NL=@1+!)Q&; zQZUD=D96&tj*U|t>!!&C<1+}U!>AZ9+nKN188c=iyO`zE82xl->Up|&O%4Xy zLeAe&?nvAh&>0Ro16}1HM~gLqzL1m&Z8?Bm7DxvKOuBl|@$^i`QJ@703X>9M2mQ)+MY;Sjv@3a>WfxDe(}n zIG`a$nVxA*mks(rlZFca5Jp1olgI4xoRv)S^yVrzzNZWZw_s*hFp|P_Bziiz-!$K` zb*5u81-$%NmNGx*TiCsddzxrZ(kCV+ofqs;@6)+W6z<`K0y|rH10V6B&us_~vsGr{ z0T@-5t<1_@lCpADF{ZwDu#u2CNNk@>hY1Ca9hf6VdV>c1X~PGZFC>YVM~3DJYu!Z0 z=OLg<0M{@TlBA#}#l1~Qq9#Rp6Ek@l`PX0>wjX__X)jY16ZFmJA>h`@txd++pSOG- zGTZ3oo%}pRi!YtMsuggM*b6SO?FoBo4hHX#ZEU2TR)SfM%yua!UgUJ2>sg{IvKIi+ zFYK!2Mx|Fxnx$6>|U^W z`D;dHBPH?@sUw~8`T!d^CO}GPWv+yz3LFbywW7lu!OhYi#trVPU31mL2ghA#xT=tV zyyH%5pM=Q{6+3F(7Lh0;S34q-ju*_$9XI@byi9y%+^~1Nj2@ckt6U#N3gX&o;HL#8 zBv-H=6*y`|A~&Dob!uc}WMg`J&ysFLQX2X*jZY|#$qaKb$~eXn+WF0_P&^b1HnQ0; znThOXK8S|`r?D};V^%1D$xkEfjt3pZjta`1;PP z5?9k{vP{Ho_{0Q|RtX<$OI9ZG#{;xUY#vvR;dk=5jwce8C+-8f6mA}JD)yS_JB8qC zplktF*|rTj-qQk3Z@FjZHgwIUah@0$fVNAaWZt<{$fQW=HDhDDQXXE_*j@>fQ7r*k zV?us77}Q*f2h&kB7ZchGrzBYc64vt`vL2SPFAPgTJXT!2M=YQ0g20Rk3xXl_)EeweG(*>YeF)I z6J#^f4wqlrrir|!e=%avKk>eIMh>Jf#*G-P z)4V1kc-$yp^il#3lS#4h7^%Ce(b;k(!Zq$3UlnfF3&pmW4=m}qxw z#~j;myJIKM;pzm}22gB+|L0gB!X#oxFl2!p9-@a_mF|dKWEVy^j<&8E?%kW~9H8TH zub|y4Z1+mq<9OcCc5hgF9OlL8f9m|!m!_ar0pCPo8t_fffrp?E6}b6n`%G2M|7ejU z(wyuteS*GiqM}{h&;#*KXQRzV1>0WopiX(n|t8M|-vtLa~y~Tcj@LmVJE-oFwjE@|E6?bzdea9VT z_*HSQxof{RHh|^q{t`D@jb269=f2Y7gaCY&8Th%wqqhRR_Nc{as20ZwRN1v3#Hr%K zYA&qdLUGrA@$E}SG;o8yS%i3^Ia;*V)OF9TXV7m@JJ|J=Fcl&})Q6~W2qa@GWf-5t zHn8ipQ5+q1H6UfTpx_}0$iGdxqeld{MH}gddx*m+}iTwqLz72Hx+70X@IU^c~{<>hi=0T-5Vp`Hu-H^=H?Q{vm=wAFYN z#`t2HO>t}`#+Lg3GFsNgk0-uhMd1$+GVWMB5|jA|cKu}H7Su3{$sKXbbyQ8Pp}v8( zy+T$7GA59~A51E3B?K=~r>*eQ04&-qY!${ngt1%ve_9_bOg6{*ZQemkj1CS{$7iHntUy6ter9=~jpXPMA_6q=7#Tm=$-irS)G(N5K649gVHR zNrZT2dDzDveY_|R8{qIvaJCwQM)o25bkf~2pXqGWR|J1DG1^J%knOyY2a~hE)EA=n zDt%C~EAp%$KJ^wf1;*nPJe#dDd`4VHC;%%RCY1boAwte?;_bCgig5EO~EYbNN} z#bQ9_^%6IjMrcD~K)b@PD8LWRJ#H9xcJa>&xE>-vJ>=&e=efz?ao(BCd7QI{-QKRl zzvy?)9v;TK4iC`3pS(VL(G>j@MjnlqfGJ5iQvy3W8qLg)X1<69JKDtA5fjMx7j~41 z%6`#^zKCulz5^rTAdnGt1u%gT4QcsZ73QuIu%4OG8ML{pqN{|nrwYiK6WxlJ1ng-J zV(%C12~4R3^P~O|W4F!hcG-{23|!Mc8(GP}HnP;SCI8yUS|@z30(|cs&+Auj<#4*+ z|N0vO5&4AsL)PU7@w;1pIr@wg;)4a3wm)E^%``HOZP8#BUqo|eVUG@&1t%Dog;gGn z40T%|$(iWPDS-qs(Gt!?Z^Qn~U_PD#26Jf2|89tCn{s+evb;pD?R7DeVw{b~&P*xk zcBc5uw5t_?ia-iPBjpjJn@7#Z3w>AgE@|?M)!A&m1Dg6X(9eDbilz-_`ac~f zC*G3hn4#_HQKp6V8(JMHlXk9TSFd2#|KdqQIQ@`lHznqau%N>A_z54oT0FsoWG}!< zUS?NIwz2CagTkSS4{RjbIl?G(mzbn%tzA(v-83jA>#%j&m5p(*#X`f-Q;# zM&p~*ye6-YxQE>k(!}P6HN|1A-8Pji23>X{W1C#e@8W9Qrcd_m)$*L3%~U9)t095I ziWP((YD8<7d@4di0sG00b#ol6QXEU?vPD6FTm&-Q3+MEv?pAg~1d@T2H8F>PHVN6v zCUcs$DGmFCIUU#B3FD?;rzG|%y8)`@!|J^vu6qC8eN@E6MJrVhWXT6`pAXKkW*?w| z{YENXL&-q_+{GbwdCmQ6R|qj?`!wY$$aM#*(v9Z^FI5`679zM6kVJA-*WCxv_*AJ)ZJYMv|7v$c30=AGll?>_ zy8(pDS2mHMpuIzJPV1pK((CiYc$DmNwV+8Z=04Op*%lR%Is~o4DTJKd1Ym6bJ*SrHrwKlBQGW8I)N+cW0PWG6M1TSADh3hsd67BY7J%nIK5fl&3LAF zX4JHct6*jeMjqI=EEF?(Vz!ofn1!*?7{QA+iMVZZ zpH}GnXcs9kxtbx6e+VMb#DFdax{Ixn5;iwCn>94kGi^XqKJZVM`OLJ@n_^KKXL||D zop=hwlNL59J~q)X-3K`utBFu@NvsR4Z(wcX6`!b=ZI4CBxI1UNV_%3>#{D+--PjLf z$71m~RCiGmowTS4gr_ST+gq7+am~^j{%ATEMYopi&2~_OjKF{}5zbMjg!~x!RelVz zjBqH7hBaysOanD^Txg6Dmh5qaH-b9n6;o%8UKiB^rFOnue!OtK9nhJf8G$0wH4T+V zLAk)B3_EmNz37J8#zsUPK>`djCzc8}Hnz)yP%SOFv^ox-GRe|w^T-p=tLEJ8u4l`TsluYy<+=mV=`VKD zXuWTmKGf}EZpJw~2W(~H8nJ#|yIpMCMMaU?CS#+$-L9#qPyDppn7p}MJ_Y4vc%_hA zp+UK*F}5dfvv`xCi1D~sklkgMpRoJM*qyXJ*S=1FPwMRxq%2^G}D#ch#=hQ_rClrEik7aVVy#2cvp?g3WrpN^^ zXiu7X|7`q3b zhrA@T6A;0DQs7AJZ(-?m^CX(PYG`MRKB>2(MU94pies^V)xvX6ANj%sgzzZqnl(!i&reoSyr4?SX7vsu$Wl7 zsyKUP;hKV#SkhPZhR@nz+1h^FOi#!#DgI%Coy2D?<*=CZgFj(Elow zb}r@PL6I(nz!e4v0c+;{Q)=pf1BKI3{KH!t|hCX7NeJwc*)p z)nfJo&9!F|ViU1I@*&N&=M#Po^9RkfcN6FoQkgI#aV9luPU^h0d*x#|H7|ceCgN!>o>oB z`IT2+d;N_!-+KF`to~Mb9K<89Vv@A1y>Czlc^rXxsOP8i=q9e1?A&kn(UaEt;!{q7OW~JFrpxzd-lQq8Uulm8|rL_+#)Z(-R7mygs#wb$yXM{3m7T{r`Ve555174p)-Cu8+P&TbE1!kND7<#B2lZ zY7w&j;=T2_>uwpC|i`PYziVFF8_zHZRXd=Ch zUx^z~`hS!iOg!AL%6T%!P@JPu8Hx=#Pa0Gh^`ya|l46)tnV%3vR zs*0tUu5?@Fwo)A?ma8gNaxtKnN)bT{4vfOEjN;-Qn^9SrBe;5=#1%fIs=^Yj*T75!~6oFY`<8y4=KU|89Z*mk#;Gu;@6O-q{(^n;T-tJju=%GLs|?6LP$vsQi?-pkV+?TbHIiPTB)EHfmllD zOrW0?#l@Rch+V*=Li!S@5Wfb2N{|C-v7E{?0Dgn6l1r;}l{x5a5QsUsRXHjy4Pl0W z+x$h!{c$Hm7wAaEe)1dxkV4t295E-aD(8U*bpHC#GN9WWz+Z{dIvv6bDJ~84IX!Y` z9asJUD(9-@Ap7w1FAxYgesc1*<)M6?&aeNRCyR?wI%iXH@roRdUQPgir5|5TG14na z=b>_)Uw+iONY0!9JUUVSba65084^+o;YATC(u+J5(Nm$j+yHOVfxrStqxM01M!>g^ zF-)GYjUbR>RDz7048*TreqcbSuP$i-Mdp_pF*D(sE|ID7&>T^;6E0Pw+)L-hmlBSDe;SU`TH z08XTwfB_hwc@3OhmLkLC%o_d)sWc4P16AnnLVG^_;~ziWQ;AJa2()`Z?uqs{==^-B z^57WTbG!YEi&dN)svNn%-ySQ9H|1c`V*ie*kZ4hCe@bY@$<4g*r)~d?Gu;&quPKR5FplRm&j!8JDrK zK;OC?C{O128AJJ8IplNX{Ap@zqA!jG=mlg5@RgAoz>ny1kw7^@&#@I?EFcz!_#O)! z96mUD1IP5hF;VtY14M)je*42F{He-sf4BQt!2Ym+{b2$7!vgk)mHO=u3)mkPus^I) zkb(L$XMlN}-68v<0{x1burK7E6Tmeix1C2wQ}g`&5P2`;R*<7HaQ=OM9+q(5#IVZ^ z;di$FLVimuE-VB;1=JC>)I2FsIRW?MJ;HQY7M6`I#&WPF*itN)&=FZgHnEtlrAmJHR()QrfkzUO3NxsL2q8~ zvfkyr`MoQ8SN5*zEx-z~)z})W2)f`}Y#mlY6cVe6HAE3nOspl=5hc1p-D=$$U6HO> zw^p}KS5jJ7y1H~tX;Eo$>DtnDr6s09(`wTiQ<16IwAQrFRMJq`u)1MQLs3IL&54VH%HhL#3v!;yy8hPH;I7K^3X(qge%j#yePZI+|Gmfq&xmR@V`k>1wcw%(&y zJ4Rz2m<>CIG1zhJYoeW?i4MX>93vRwIPtZvT}SIWbT-{F9iuz0`?|EflrHTkwUr(# zWlE2ier;+u(WVZQ&2-Gfn2wviZfI|y8#)?n4aXXohT{!iTiPwOrNd&g9J4T%` z+k5HWj$T{uv0kS4c<8aB0(w@@OrW2-4(@9g8>6EG4)MGl`aH65J;bcQs!>NYu zhMtDgmJ^mv%SlU@<&>q{(qlQ@d!o0q_hfHZ@2TGI-k#pmpq)<8|4GpCNzmg-(A7z3 zjV{o57ihH$G}i@fa|-l-3Uqu5^mqzdsvBCP8#LYxTJ45*>w&iE0sZ%Yj(f19*lFES z-D%TN(`n05%W2C%vlF|9pVwW>I&ZqR`@H2^^LcC#@6!!t^_d2D_gMy;`>^Zy1>No$+~D7*?rM6 z(tHt?V=n&|YO)A3UU86Jo%B#0eklCbGlMm@4P9P}*EP&mRw!ey^@WqslDLT5xke@W zesk=#8{v3`k6rBq6m-~}Rpsv;{LXio{o%^kxYvh~*^j)u@8n>w^L*dcfop^4q1ZkV zF2zP9d=c4KFAwG(DgK^JlqepmyTc3cFu~4`8JQsYq~s1s$41PdbgYBGz`QM~^>3*C zd&z)1!W)u#Jawjfm-WvvcYx&I@w55)g6yE|pnPGrFkh4{$`@yg^MkX4^TU>e<%KT^ z&yy^X9LqQJ?3+GhEoqg*{yO9T%SB!f&~-h6}5? zu*&4_jmSk(?;UOmWJI8IB`Tf}9OX(8P+Kaf11X)V)u(-0=Z>HvHPL)3NE0n0g4GvH z?$J-uE|_W%sNT(|7MIvHq!fLBl8Vrf7$$>}S4d)F8D>JenO*rT?MV}=HS#IcO1#Vr z&2t;}moppF5?P3Uf?{?+2ACw85`X#FC*{_SY3fhw()O8XjE9j$QW<8kny^71yECnY zywZ%1pYyRF2yYQ%H+NOKM=Q)_G{AA~<;k?5w+vJU&+UUM>Jx`xf&x{(|dDSX~RZB$wx`XuveDefJkf_mnvgojT0-momd zv^2B%Ld!V0Oa7-ON8*VGwqsO6)V5pcmp%V}`8QX#wQZVGfvLx~H@oqO+{hwPi%ov; z(oMGd<_`lme>4B7z0A869~y)oE-m&^(HcU^>fJuRtg@Zi+hXizb1LS9%qwy&CyKVG zY!5orl{$0B+Ke%8no3Oe+z@Sgv%)--`Rym|1w!n2u<;v}Afa&icVm~y8!_gOse69! zOABhgmzcdEs^a1;&5OC-_px`>&ouvVOq6zOZtsTS40*9JwsLQU;XAMMBkCJ%l>CwO zl+?w?RFiO+^jrA%v9bC(T&FIg@gCixJQSgo>R^x zs;0kJ(O4ihpY^5=#%GZ1IjQVcbY4SqzeB1xp z_`BDXOWNj-5cs{y%v;XR=7C%E4{04o##gL5_uv;xZ*AOhYu$xgMyW z_OjN}%9~7P``q$!+Y>b_S;r^U7uZi*ttZD?yYq!u+!m&FruzE&X!fwgx8b_*@VEWV zo!K3iuuD(*IEHe-v%kOG1a%ufBfct?a>fd){>|Tv+v5{QkxQVQyz@%|-d6qSMyL zF6f^AQ_M{wMV|7TA>HUQlVH_5Z^&iuT&c<*?NGH25|=+H4*BkJ=JEGFq(>Ezqd#;P zKEHnUe;k>T-};A-o2|YDN2>pk8dR8jqh@_UtKD(&!FG{v?(o>rw8A51TS|$GA>y6( z>X&nU(HMnC)x1*B7&kgSRVeaRoo90j3jQ&?rQ4jjTXdlPv8?yIzb&ndfBw9R-*ip% zdcmg;trrw@-!T7~@Cjf#WlCdC~M& zMl$sOUOn>i8zUuOUw198Q2L}}PMpAkCr`R|7+9QJP}8iZwTIKk245|q9j|Vkhrg@) z%=vFaQ`_W2Jx30FA{`nrUvtq-M_a0n{$;c%NS`vAJ^#j{Z#{+QmKIdMTvBuOl`mDe z=0LlKHV-wqRpVd2*=qRGH;-vT9Z+ZnP z-dDH2dF!(eUvlls8aBPz@mFS7R@=p0)R~f329qa0`RaXbZ@gOa<*OwZU;T;@wmA&n zA8em|S@MQB@zs(UxyD0(J=A>l%stOve)iGXm+9`ecvs%~;>7xb_vp=K&(-Qz>`JJ9 z`E*7JGwabZTTYgg~b6>(T{td|Nh&aIG-TpVyFOupPMb^o^d zS)o{dX6(wVvSs(K*mC1?Rd&?%MFnzkKXv`mZ|)y>NAyW-&&KN28;wz~z4@@d@Vo1m zvn0OVO+C90xt8qR@lLL9?w`SBoXV|!`AkMh`$(%b?d01>SJ=`kztR~0TJdJV=!Swz z<{Ru98IHX>??$9Asc=}&K6J8dm^%XMs;bB=k; zw_|Q1R$lx-<7j*;-xG6URwgk_+oQ2Dp+Ns|`K(uwA zn?#s)9DvY{arS7F!D~{T8&4juZ7a140jWJvC;!^^DS9XICR-&$Z__I<(|Bzf&y@5Y zw;xZ8;yn|3uk9lfxz}X-+}1{$Nz7D{3_m*Y3Sycd!br13@fVYJZ<^UelN)207vwPd zO87!?tBZUXC7s0&3W-*USSYp$rzA*kG9Swyej=Q>I=$Q3EWOw)J#A*Vhg}O8M{v5vkg2^77w@*#$X@fY4iOtuAI-jI;SRBO>w~S0p>^lZm=P8HB^-a3YG$G+8qZN%J?8t%shb z6N!A&vc$gdkZDOl7>>?qBFNM3I_r%;qxC{a8ynl1`WU5r#7ms~bBs5dit?f@xq>a# zZDRLm39U53Y?Vg)z0%#Tp(m;X+ZoQ9rME4L@o&N1)?5;2i5aLc{E%8!?Utgwm!2_*F9pwg2=2y*~$0~u{v6<)C z%6F_2g0_U51omzrVRzNqyTwd-Y?N3-jC4-7&mewq+N$lHRCu@DVAnjSXJTVJ<+JRz z9d<$qIo*&Y9DxeL(I|VZU8A{OS$G3tog82?s;UtVP3KTg=TLV8^s|~0f64Hj{8+o~ zaXTgI=IAK7>aoIWh`=C+zdPy=e z)Q`ba{@9>`D1LL1()MOpQbfW9jZG+K%u_}HASD6Rk0UZmWXM>Dlh= zr0w{j5Vq1SMx`aUo5;vR?+Du+tN4zsxPNzK&p3&NjK?^P*mxbLA2;ImXYD1h@R^)d zjdDah(TxpNB6CDnl&`71ruKIqA;7+xYEXP(stzXhw zzoe+TOoENa$mc=jXNcM3@N;a%#*NtX`mzA|EUgd0#ZG?mVXa9hst`?zm@!#8%2k+e z2Pt}Zdb^)$pk|1i6@CFxJro6Q&4j&rTy13|EDZlMe!WbV%piWy=p|Glt?=0rrTn@XnKB=weASkzDN{dE zw_m}D<ke7`R6c1E5`H;&N^SMP3ZJ zj1qg&raB>3XmE=P#>rxGJlNnK1?7mf!aZfsI<;sg`J~MHm>6-LQooLTfea2_s$3Ol zG_6>SUWkTu>3ILFz%jJ}ta9q_KbwSHMay#1W3RUBGpNuR2F{{jCA1T@vJ=?E_`cmf zi?4Ur)y&cOu?VjS4O_;JcNKxL)UlM$Zun~*ldEtTMYdg($gx%I*c@y`9<&bEPiKq% zT6YJOQ2fZUPTqLl z?(x3`ejCXIi@yl#e_7lQ%ZWxrAYdp1FNYq^pnoc;HCOS|a;{R#KJsVcZ$=&I`SN7u zoWi5{Yn=?>m+~E}f{c^4Cr_8&pbKi<@?o7ATm>+*r(9h2;Dqi=s^|o0YD;Y!QGUkI zBQEpv)$){b+~siD)fDo;Tk&LO`wWLsy1U%5Sq9d%Tklwhw=%z*(QL1=yK!ok!ze35 z#zn;6ZdAussUzU5fQ(}!#=$$34yE)nZtGe^U_xB*lKfoc>A9hBdQE-3y+DZeH4S$J z+pFAOHm9uImPG~6nPbZ)_=ZQzt8JMj$1%OZK2GWq_0izxfD^r=P5>MR)i}UY0*64* zpZgf(Zh_oQkozdgt^HBGY6Kw!2oXT=0D_MoxZKAf_X)_|47p{Hi?~NWyR?oIVG!+H z^-D4saXB{>BGH($6@G$R+A)qcPyRkzM10yj377QX#mlTyE$At%Z0TF^(8|ynkZD)&H(P z+Gp|~6HgNPaQ_j=HsL=_{~XMRTHrS}FS%v5LSM)3e6UU)#%)Q!v?rs~Ei{MaQ6aaq zH_x7%3p1W!=H+DOBLzv++dh`(Gjl2VVi+Y?4IO!F)&QY$7(*JVD2-gkd`HQX`~|;) zf_SsTCNfeIzu!RH=`O(JA&v@>qeARh5R5TzlN?5lTF3U8Ngvm_n9Iq{jchi>XEby0 zb2niW6nTY|%p26PXC`xM)-C35$;|KO$nRkyQW`07T5LU|pyX-*R!wtk6xnJfywt{M zENOjRj4ZaEZIC~WYCfX|TIC%fGe>sB2vwp%aO+x}9wbFGU1V&%QHYWKi&w2yvik@b zIk33;6;1u^O#u5HTPHa-M-~`9gX&d|RlJSL@bJ|_^cAxl0OX_$y)C6&=ogU_>xhB^ zmVf3zw@MtFBYuQlT=Oa*E)cW(T0&;lyrPjifZ++JTgj%#J9If*A}E5swX1F_Jj^KwF%6>1=j8pDT{=Ac45v zd5DUhe_JR>I}V9Ju=%$I3sv6seb#5F9|;wOmhT>p2cUz9BSITP9P2_kMBpZ?_gB$O z$*guWo9#)BFVV}Vp_B)VFW8eRm{ubX4fe2WVkllJ&ohlf8a~m)JC!@+!KjgUiXA(G zff4K3l)=O>v_r64+K#WTPZXdylbaOs!&DwWk@u4n7D(X_)F+Apaekusb}Tp$BUjX0 zz0+K1f3OhDtjS>4q~3~YOD(BwOLgvm&P10drush|yNAcG^~vv=FU9Y zK`zf?PELi!8T4;t{9q!BPHRO4HKM91ZhnTBufoj*t&O&?Y+q8L8rv;62_SJZk$t>- zWMi7JGx72h=-ga|HvOZ5H8M;}@nvF+yMwhq?Se^NZE|1vB(2)Sgv=&4W&!U3Vz<%P zy2tjFBP2hE_&GZWTvK09o?48)mfkH}TtDIZJPUZ8HEz^?WbfkO;9yx^{p|wU|M?|q zbmB8i+{UNO_-yoEzOB+i>XT!P>2!@(ts=s2GPBzXX!PYFntU$VrlYRANV49x z(Py=SP6nK5Fhvo0Wm}x1Az#5eNoYB(IXE5K_dhd2o^*1AkbqG)K<~9Uew^bR z)~0h8&qt{Ou-C)6pb8yoJ~zJ&R#bcW48nqHuX&KgaA zvlbqJb0U>vb3kZGj0&mZ(NxM40IPq_Q$W*)?6FU)I`)#cnLl;xnR&A#hr|Pm8y$PTSo0nrd_Y51n=nBiyvBMk zeg3ZbS+%7e@XiBT4gFecO&VR3!O-lshK2eS<@rhEx?6~iv*{s(dp^Vu&kAUqN)`lM z$``>d5q7ARm{Oi~+4oX@KzV*Y-Mh|u4GH)Zk3|$O3y%;AjnRHbvYPumWl#*JA^uGc zPGsOm_Q-cvvKA;$|Byuv;b1TK7Q3?%IxNt~+4Dn#=J^9nFC3~Sk?FIP{6JSo~5%^ufVs zj)U1Cnu^l6^1FU(hwFQo(y`bNgnZ%AHAmY?FK!TAkG3Y>9N=ywqCRDPmThVToc8k zs-T&0fqW!*{YPVJWtwBA9>BAG?^K^V)S8#;GRK+gXWxCyH=J>Y3|9iJ63_6oTtT{f+i0P8(!3(OaVuxj(=77&(REP?{h(_>@ETLWbJ4Q3>W{BwiB z*OLA?l?P|!WsZ%Q!l8+&9IDvD5KX6(vsB|TT;SmvtXZe|6NOGbm1ZJ?@LcRPyP1O& zdsq-KT=j=D8SolrY%~H}B&?3A$)Y}h%HV-ib@q043Yc60N`_8b&E2=}p(Pm%uyfD@ zm~0D$jlkO(la|*lo8qXi=u~#DDJa7g$`$x<*?4y6(zm^Y<7tRz(Q9*grb!Y+F4BC5V(8Jw(yH}I z9NRxK$+z~NYczaKrIU-m)y+z6@ijYzS-vZMH~Aj)ec&*q;KA%cngtxUDULJQc<*yf zFS#Zexdul&`RE8%cwRBb&x2WrBF$9a=7qk4vtMq9saZSVrX9k=+ak!lYW5J^#zGkl z9${=!zA4ORyXi2~9aACyOt#_u$oXGz<6WrJjegv@Bdp`TB7#u zsA^|E75PB2OtM@OEO7#>Bw@=#-bK}BqI_ORYRc~9l#B#ao}RibJ$5G(jpRip?9PxQ zRQ|50d1>#MB+s`%wn&ajPDqL+6enO}A|DUC^e*j ziLT>aARLi>;d%A0d;|#%{wBCNI4{@;^wg|f3F*_Es8XJk zWJY(rZHX>hy>8iBo>>n1F)uP?rT4nEQZzF;Aq(L!|5J+3toQz(e`fjo>VqIolo|2J z3@3^k6HH}TPJI{HVJP_bzz%4rbUB*5Gj>}7nvkBJn$G;ku|vc(hBe*XY*vVQ+??nr zIbuvFOB98t&2*xnDC~l159KkPsIXiT!E~}(pRyw*H7f;iqSIOQLR6*5w?bqg*P)>A zL*TT6qrE!;9@B?sN}`egChBWW2gCFtb2FSdwgz> zm$z5{99|STvD0C1q#?2C@d3Qm38`U`=pXrTsdP1*p6Dt`B)o#AeB{oIt?%be^=RZu z$;Zq7s5j?BCF^{A$&CPR7WpFEL7#Hpzr?eU_`a7> zY~-b|g(G@eItIoDYAxE3K2c)uUpRAWPgHqS{@K2WzW2mEpm;GGbSMRZi~RVxa!i|h zcq@^+tzUg2N_1$zD+X6-apJZWqNTFLc4+v;lt z@zp8JZ{x0j+91RpYZ!__RA??v)yI4 ziA_e=vjfzL?xRNrXqz0W0vS1VEj=T7{af{N51_#n_w0l*MHcuR2Un4d(cwQAw#=d-2*%|h7RS%fqHBuw}n~x^( zS&nhm8=p4~Mm{dfQ3R=85phY1JnOS~47NwxGE;1O?^dHzg{8!|(k*Jy5qW=;^Bsl_ zHqjer(NI@7D3!PW!4|G|a2Ci#R* zDL+0qJpQiMI448*Y+_ihe|)mreab6?o~UM2dp&1N)U+d*6By#G+zE*NHONEvbwc)a z@Fu2?2x45-`Qt{0*ceE;-o+U0sCmrT>wUco$u9YFoSn0;tnBE4bzlC*0cwJ(c#?(V zj{#AG0}5Wj7ZnR~c3~rTUDpq&j(SDV+&I$nzFi|mhx6gLWP+9_jOaFLhiZmxis8ok zXx3{^;xLl>1p?$jh||_OJ4QZEe|U@`Jc9!lf?+`r2XQ?iW>kYFBV$63cov=|)?Z8_ z>bkOi9Gc+7F9i6SQ3u1!Gb3D36wSm>>PBe(16pcvGnZk1_<>GhY&+!5U- zkn!vHy83Y!%tcFkk)*ilZ*h!&Gq91(bb4uUYi+!05NUPA7+0sgqpPmKww-F%ZZDCE z(#{W*oYcA&9^c*@*6~%P!?w8>9@Ryj14q6C*aKj{9mt+VE3!82`;AQ>Ro3BE-y?If zb5FFFW@b|NX}fhZvQ-1PLN$hC6(Zl)>fUKsG_tw8J0}ivP~_5`)OoqN0qUFuEyB}8 zS8)4>F)@q-_?Y7e&T^S?SU1vPkRR?E&+s?;Wk&sSkfDt;Y?!bYXa6b_xhlA;KH|wQ z&zSd&58`(MRS=0UIr}f#-q?SHMm*$K)(tsG!$(PYiKQxVHbZx3_%uexXAS+#9=-pU zhP7ju^g}6jBTJ02XnQ&e{pCX0=hD@;2)8Nxpb5D)NbGr#;HjRa9h24N*&?bw2rCS> zD?}Aap7rgrj^o9$IRGJ)*W5D&DA+2BktXKR+DK3d>g;K=qHa_)zUpIGD)Ux$u~AB1 z`rm_+fpXNVPDZdTJG=xg5gUVdH>7|vKCmPn7tN$#pDRBzwu%B^&lHamWgJ1?sL+qU zAUObMeA-E~JIn!8ix|EHr^@RY$`!a-Y&cw#VH|x$884K~k1EtZovA$Qmufv8; zm%1)hNA+xpQG1r2M$ZJ5bz_HO`p+w5j&+zy;mb|Jiw(=QDTi=HqaT(gVYDSTPYsvV z1?zrZ)YGuPZs?&`0Unz8Rc1q6Yl$%Y$fhUW7)^v#Y}rv6{gV__WNXjhGxM;kDG!IF zeOO@~{s2)*Jxgx7HL|A%#U!w4)FoQ%GwtTk`@cZn^3_Lg4xbV8MG*+*ZvPQq{M}Q` z>J;WG;42o@l!>#8>)VP{KMGGHPlzUgtBwFSUi=&+n0TlHBKs}ySp1qEP;r@_l$#mz z>b?#ro*of>?>?-EK$uKK2`m;p1&*KG)y^_DxaFx%$R}7vhQ8NtqwAF56Q|QcK5TOn zMBijEx?UpVoI47w;2fw0h>s|zU072d6ZczCDARI#KmLTVy}^tQ?TpvXAR1XP_T(np zXYJ8L?50r;c$`b2Ys2IV0TGDp2c)T^1xGMG`sR&4fWg0uDVlnVCTbM>vgW!1S8Nfz!re@{G`I$u7jw^9(l^<3ne$~Ko;dYI5$SX@X-;Zt2 z>^9b4_~x&5UfuqCdRZbnB~*BNar4W*iSB#*gkQ+YugF`!11%TVVT2uZQt>Ah|Nlhs z>7Ip2#N+iB1yHpP5*!bJZy(JzEIir&aUhY8H$%;G88{4j&ts2u1SKx5aG>&_vVMJ; zdzsD_q+XMWbYVqUk@n9o{Gt@wD93tYavK@6gD(e)Tca8W+_btd?66MzR9D@BEoyCF ztX*6pT6$r)q*==t6l3`|p15tzN6|y6X<-oO{mR`<#95J;~ntYofhtA)AUfIkO>#LCnp(uLOj_uxOS1O0 zxZKE3*P-5@yzwwW?Y*LX>xrnb#y`%4rD7dArZ2zTo@3(Dr@Ha6nt!y!BUa6_LG*KJ zz+NpI>6bUZq-|;XKpch zTh{SM^}>Z{BL%mszN;_uOL%u>_N$v2W5@Gzl9ttmv@N5YhbI!Lwz>n%TZeZ0FV*N) zTzwluU*g(2dHz{M<|3!ygJ}D@k&};o;t|U$c!%%iN4cBNbbh#&5x&Mq-1Opto!)^B zzn>arTWQAm-YM*_w4g-i{r0{~ZtT1{{oY)RF^jLN!#hTEU zc0EbxqIV@}XFaddHR$4Xv0*Z3!FXZ;Q5z6tQ^vbhdJe=M5LWZJ7#Vk<&=TekCe+yS@Efe|vchzZ@{U0eG za|!%^WGu`7)4cyAE~g9d|MQ=Rnf67Zw3mS6LxA7b#cJbsEjUOZrJ@KaxELVp0Vr&e zF;FV=8W&Nu<(e^I@QC+nC8?aDQ?mQKuv?jo@3E(e?Llh%zV|6XK zKm8>j>n32n%{E6s?Z1%|B^_h~94q6adNeR=o^qPyzXUZez`rT~n_a|TtS><65@L`3 z#WuoyK}$iWdFB@bU;^OGo-dDVEf>gI%QF}5ne#;EF7W(if#+`kMg3nqyZdt@@u(aD zTu)Dw&AIZI00#f7&~pAQw0{eNoCVD1DH-$i%{TdPK|8(%#D7a?{`J^Z*(@HgP@kn_ z{=<=ox*)xInKc0m6T@Fr|8IH$E&F#r{2$WPC3^NZ_kTV5SC7w&cz)XX&XO)zS&F=0 z9r@b_5d$lmuM$?KK7Z{F;}@yHMc#Lg&@Jbm5oL=jzjFbAB^9l|b3|KfA>&`kYzb&k zz@ZZnpm7l&rwhhf^Fince<4u?Mp|QE7c9($8uNoKtALzcfTUS42*$h%`&Uufxuu%_b9(9jcISL7`tNrRYhJmK3quTvkS&BO z_z$Ph|7jcW|7!bROXy$3Vqn035cS#!2rwRTa3KG+8^Hn`9PIwujiLWZycy3o`JX=v z?4&<|py;_|4D9=a0->h8Lm_{TIm&kR$ggjJ&BeSQ*GyBci=MN>*2x1ll0nxX&pD4t z%B>(3?n2aSwb1edPGdSb(un$+fLQco5oVqYE#>U56jzVs2iD!~x zzaNapcY0rVi#!AUI)%EXcu}s50e^R!;iwIL0H;tx4>9X!s}~8v4(ZK?3Z^#}xQ~)$ zuL+sG1lQ&UUa5u|cp&DU$Fo}-$rDSx5YY9GPy#L1 zH1!hR)dL9=)Wxlp2uNE(p@erwH%atC&rG@hO!$@*tdU%?#xpiFoL)!Ryew>!mFjx5 zN=;ongIVrzgnHZ;)W^T`>dz3+d{@hVLd1m=cgqtzDi*muOZAQO5APq02u;1UO1{Y4 zXzi=Da$o-u{fvR8jZkQlToLc<2Q%Ll9vbVqWn>~_8DwcCABmtWCmfM;N&-7+hD*(T zOn2?{1c$K3a{R{B1A5tVD=b`d?X-t?fqB~1SpC(E>#O7r-lraU9zuBadh9Mx8LF=~ zTC^E|p=mjEyn-PvI7XPM^>mf5^6@hD3w^kZZg70KfJ7)@%t2~;uv9}rhgV9~GZsuu zmwxUR9-V!BO?X5`o5xQ5g7lv!b^@|+E?xso$PnexZ!KhaTKrl0w@9vY*ykS4jU7OP7wRhXjw%!lknP7PO z*?`=h(crKXh(PUStp3t5d{NtL_p0&G3BM1A3EpC(>7&lD$5xa8#AO{@%}zzb)E!6 zha>&p?L)mrf_$D?PiiDg=Z&|oe{H&MWN}mjrP2Yzd*ChF0+#}#TNKb zNql;0cC}~aPe~=|6Gp~xJ^v6Wp0@BO+j`*jb4O^$j2%8OA+hdIysiZD3ZZg+ac-g8 z9`WC?9)I@FfZT{{VriqR4vDDg+2+#SsmZ zbbvG$77tpTu*@p^h*#{e*dincHO8x`R(uj`C2go66LjR}LWSfr@o$I-F6&l8)yjR> zg^H~Gw#ay5>qcIl@*pLZS_U-igJ@j)M6yXV2u(+oRks%6&OxQ5p8W|)1ocw~r0^cF z>g&lFl0`hS=lt5YhM+*{gyhQh!jh^(tU+E$kJxomg^Op4(%hm_BLRpRzk|}Y_F4Ut zLYYdHNz~$2AI!7&-{?)Lb52Y661N6=dXQ_gyWLUMp#HVHW(oMwZ5@Y>7rL#PCS8d) zaQcMTnE+p$fVD$=M9=X}!jaEX{yj`I|2C5$1IsGn3EDjIIM={UK2%H?is$hmX`Ap3 zxL;RP8%iY0F+hmiNWKbwQEnz*e9u(A4hKNZVz!hb@gi+bta8K*NO)`mS+`)O4_p+d z>McZvIm`s^)NC}H@x%`ZrK~onZGIh(>wsJB)@#~3wUhZUW91H612CJ2tjL5-5vaQ} zmllId%kaizis((%?t{fwZ1P{?2OGIGsWyPS`;9wS4XKvz+7p|Y5FgxA5oE{xvfJ+S z9!S;g9U31Sw>Lo0@0kAVK+?L5cz}9?++Km*o}_^iaf{>O?XLrR@y}kSvabM<$IJ8* zDA3s_khWSQWSX2(ynJuMv=X+TR%v}$>Lrnbk*BcR%bg)u0nj0OCdOmCvy;&b=^Pu} zei<9J&G||zq&e9G&UByR!V>BTFB)Ffy$a)<9wwYk=r*L;l8#1MpOp-j)w!Y#=CHbe z$qwsd-w5t=WX>Ly%@p2Cw7nflj6S%IKjsq1zb3bP>Y7Aj?tPy@ zE|?*_3#tRADK(xS`-cQDC)c#G%(x5EhFLxlnf-&tri=Y1PIOb4DkHTu;d|2$P%B!E z;7$+#ZoG`prdzfO7=0L-PfzcRhLc}ve4iR(w|s9$T9!=H$;ah@AA1^^IvtiCXoULa zs0z2*|3hn1YA%%OIBnQ5*6~(%TF`-ZGLFsMa3g-Fo|C>}3^@ARQ}={JD=}z`YMdHm zf;a~P9(jV~-;*7T;LNUo8_5@*W^;P8pX{Qm(VNK)2%iz%X zQMaQ=|X_Q&FNQvaUZJED8Q0epBD-uqd*hN0=QCIjT$o%1?|@2HXfv4Nmk{P+KH1oJ0{I059#L61XtJ- zS%>7k1mQcq3F}-b+RFX08n^i%Q}`^S=jSK;?`e=9{3hV%zZG!h2OGu^t^*VFLFF$~ zN!(G3xn3Z$$TVblZ)1%SQLU>H^rt~zB8JE&5X?1!Em~n+z+O% zV!YPMNJ*p2&JZ{7LrS5ys<>$fOA{61zN|WO`p`jp(j2R8h%egyA%_dyM3eVL31G*d z8iao?T2JNC?*ez5fN|Vo)ff{+N%Tjwr4UBJYp!Gih?xRzxJ9QV@FnGJ>#qk&8`Cmv zR*ZbR567udHMq|b9T$~-T-pWhWi8&*1XEuerQh`_uTLvLq3&(0IMLygc@taRrZRfB z4_ekRk=x1MqO}7d3M<~n=N6+0qw5p%`h06PCJ;6aCGyz!S$wfdm$(tQ=5Xmb)avJy zq~f>~k4;ds%AXc?#PAhc_d9D2*>T*A#gA54;e1pULKhv=r?;JHW@+nWJXh0RwBY&2vnzF^AmCB4^HfaE4944;89*E)RyZ?6#=u!Q}?E0ZsHtN?l zNJl5Sbf+uu^6NI!uy(Z~9hw<#ave`pwR-IVidMS;riZ-tWRhY)Yqb0XckX(UiwPg> z7nSky)$n>qq03h8%Otpm6=Z_zrl_^5)-Izq!T(}<_+Ei;2(BF&!O&N>b@S<%S*$OiC@|*f@ ztL-z18>m}+&$6x^$85g744V|={2Yeb(FRdgk~n*~y}KiR&zPGkB`r(VD+BQGYpzBS zjS$fw2M&IN(g^lPxUVzBA6&Bv@k5cV`>n^{-?(>aYUReG4-R*XCMB2NI#Bu8xH&DP zl)0p6E~S|CYw}OmixYeHzrkNebQZSU-P;zo+q*}aU0@eDHzCml!0Un+HwxcQ7P+Aa zyHnkEy2l*J1R)E8!KpY)BXFOhN4cGAml?ZaQR~pJ4i9gXOd2?) zim*{P4zjrK88@yI$SJO=^f&cljrfR8_FJTAw9>No<N!cMGZ z76x0p+#tLP**`+WE5oeS50nJv`QI4)}N*x5P-CPS>7w6XG%Kbrx44KUS6qx_UCK|jmn@8 z(rA{yvJH*Mf0f^v|HFXoDyF7%1}Yc=+}lfJ$IbE}aI(nhTGS196i3DPK!vH)oG-fH zTuh&Gf_=NOt)f|2f*!D;gZRA0TPg%V{nc7eYpWtp#C?^9D|JiAQ1L2>%a+47SXeV| z+!f|*O4{#LwdC`=sdVOh+2e4I)3w&YB8a{#DH+-u9|tgLyQva^-cjisjcFI~IX(?7+CJu{OWtkP;7+Q;_K5zWUgWPCC*2HL5; zNZJy4Z&+tz`l+v~UyiB{H4PowUirWvl&u7}og!4EuOL~@(4)^Zxn^8(FC+dSbkH)Y zR9Dg`(%uqL$qaY;8~hZmjj?jna@}64I5R$#_H1wZZ_^3Z5zW#2>IaSKQfC<0^uTGF zMZ7-rPKcYlVSSZP-+m8Nho!0Wn1^e|$2GN%K0bKErT%)__;mv;P$6#Peo4zW&E#QA zn=_I+e^ww+%;I=L@KoOg=FsuYgrM`Nh%k0cgNNK88t-}ykif{2oa=bt#e?;m(%6$Q zxs+gg#5yUNJ6Q;}tU4kQ|FVZ&R;GC*vyrHsvx?sS#gl7e<+A_!QE=RTde;i>Ee$0k z2uw_iPff>9Qa6WhRJLaD$saF4nf40{M=tRzDzo8pwXX^IR9;#}s~!z!J4MH-o*L6E zZ*tttZF=;yvz|}jMQqSLjslh8G3qUII#WHT^wW|{hv5gN0;jP<-(YGwK5*eCV$4*u z--Eh2yi0ewHzE9|6_H`vM4{fkTOxlAi>%2Ut;02&xAD2W%>Hv5wp=jqym0ICtAs!y zS9nH(hn13kVUKamX@bRWQPSsi8@y;gX^S zRB&KVXEUi&;M7xrM=KsNo=9vQvwlH<)3~Cv8D+BT_2!aHih|Z!2zo#$81of0zi6$r z=bkB?pdir}J5M~^=hGS0_xuq}N?}V#RZ*op$!!bX%v<41TdTO3U0Ok-FoXNRawGv} zHk^sK9CqBi{?~tHA8fdHVmg{8C58*D=+~|;RPjV3x-er+n1KUxePr9OB z`i1;4I8Gj{J0@}&;j4O|&5n(s zbpfS7UCWCE7PKr0opNfXQtJJN(-rV9#+NF z*K%{VNVgm{62~f)>lEF339H3F-w4q*_tOEJA=5P?FUn#UR76{)3RkU0kx04d+&}u2 ze2OO5C-V6h-R~x6NQ)Jl!IK;+(xL?KV3m3D%fBBE@{B57uPcf|G+|1xXI80dOp79 z@X`}ILc|3@`D1UH^gN4iX}cLWwGaIQ9Vxn0c=`B=lhCQtXMR3geC|AS;o{N5*MB>9 zMRDWmuh%kfZgEgpWY8(c{EglnSe#=_!6;cUB}0@QYNdHoDDvJ?Fy{1LLtR1+-&}C2 zuei1ndp@^~x=&S0^wh6g>Y&HQt5F__ADx{!s(*|R`YVc(9AHaj`*>}X&mQa>9~t+{ zERJDw=f2n;V)7V0*f;hw$d$G>n)1?0oF=9FlM5{W!Oi@|Jy9_2{8+>4S=`b?exH`2 zuWQ61SqC;ZDWskY&%e;5n_0Y+ZH9XRy8rQ7?!@BOnC3$?16z!ul8Y+NKg@fV zW;>gTGvBzaSX@ey=i#Q7aC&T~j_ueo2lC$?rCGMRI?3qgLZ;PL%QvO(xpk-iE*c@w z%G2T<@%y1t5M+7#Uk7Y{{t>3vkr6Reyl3uuh9hUhayu&lfZKc&){=P@{j7kLR z0o#I0V(cx$t6Lgi?#hE6>>Dn1I;AI*7jzQV=R20C<``Zs-(W24Bq0i}=IB~)DG2;d zP`p?4Z)D=>RufGKT(J-{+Zi4`j4;k>pPqC;T^-RpB-0wc^itTcBh_2P$vsgM!*fv2 z$w5Y7#DUq?1A@6XT*4uufxAP2zU8J^sg@ajy!7E&I!>!3S3$w+uNj}iMt%7lmG`Rd z_=!7hk&DtI-&A-PF}TexhxeHdqJJ)iO_=e8zb!qKMllJ#CDFV)or z`}0KxpRnJcw@E{0`tSpIsfRQ{s~FB}(WukSF20eg@^pZw>z#Ybr|WZV%^1yDJypLI zj3DAx&rwuHzxbjcC%%}JGdB`60~l^ zB+usTv~kdSgOGvxMRyoN=(lN0eaH+qrm8_~uPajL2F$Sx5OxhgGG^!;XKo;NoWcC# zqkj?oghy2%Bj)0UEJl6n;eu_x`!B8hnnFou<-3wT1}2V*2fu4F1aOs_vUi-#lIA_1 zn%h8AVZ^jb7QcGQ2%T5CRS;j+P*&$en0z3V#@KszTqu3@D(G)X7svm{T2BizL|W0ol{cNH=@ zF)zNZse>*{m>qlQ2ghvky5T=fN$V_^@L5%*D@>Z%0Z^8}qAjdRA#wVYrBhZtlq&NI)7MzFDU?X;cw8@u?bD+bqmbRNsDL zH)Vc{@21lC4&C$M_uxt8TV*lCNhMl<%Jr#O#V{zPt|%@WW;81m5L<(u)_|_i4CUou4BG5DV_k7{ltD5KsJ+8f zt-`7fb3+TiLixc|PaphgLK{Soc~gCSgRjVwcWYsdA?}I;1h26|m+_Lk&0(P=f%W9x z5%h?QP%8fJwh5ezF~3B+0kHjaAE>8JXfO@j*6?}1ZRps$X|p^q9G{+aTNS#}hZ+Wy zI`vRFIDNV$BcyBw(U+iL{|K{oXEE*FxK|z*GS-4G6X|1c+5k6e|3g*GnfNz#Ow-HK zLJPH0($j-N!skM>4wY`*HcjPByo01YHf*TIlk|w`IF=|yH*HPF&5Fe&c~ruT(jUg!*@B7~KT}??Rj%-6Ii*&gYXO7pwVqC24&(X+lD42???xgS|AqdpA$I(lZ>?4mb zaqugtUsj>g*YMb67<4Sg2mrAs>P)zE<;ID*76?ew1*T!WDX|Djq6_?|0h;X`M#e_D zk{(vsbQ2fTf2Ba8=Qd>e2^!l?NlE9Lv_d!u?0 z8lM<@a5>&DP#o+Fa3Akaxe!Cp2#YwvaSuLB+N_Z~ffTN6R^-&6BKY$3NDAD3;&Bk8 z8-_P8BQ6F-Q+2DC-SP{cJb_O$oT&3njm@230&b5zU~tTadSF@J#-oiNAgG{%+p?z- zO6~!>Pmx@TVwzvDwn#?|vLb{LIHaZ+01+PYz1BP;VZKe|P= z8NB*?T)frobbHS|Mi2msZV~tg?Obm>$pFdvmeS#&Nn%CX3ZlblGnD7gCkLgE9V+=) z3~)8=Y+1$A)vTZ{RfsMEP$u&2b_;g*WXzMJ0{wM}--?tfHQra0>Cg_CH`jHP}^vQ{l|e$L?W!DVq=W&c4Q zo9>iAAFHGN+zk0QwCtgctjpAgAb%rGcYw^NZ;OOtiN}b*Lr?=~R^{2(RSJOTE3lLX99|C71MCTr z;^U&Q9nQE!ZvW;)jb5;KHC`~h9x~jOj&}v3r{pBHE1GS(n!khJNc=HnDz8{RxfcA!P^Xh!a|*&_ z8UC?nkj~T&%MzO>$eygKsa>2|i&+SDK!T zOWtgha=B&mq&Fb@s zNoqMi&~d<(&%UWcy=0%cz_9gr?*JLw-`B@=G%zteUO1GI{KVZtoEdvyZ(J4rAe6cv zNJ-!A=L1>paf|)QQ+LmNT!!fe>V_#jSo+@sy-2FT)#gAKje> ze}+>Sl|&un#7rMfBcR{if>cyUC^-G&)EBd`o?DyE=Y(k?VZf#}=S3SCjZ;aW{xK31E9B3~qxchAva*mC0a9r&a19yA27_wRUw~0!WY;lp9dSd9}%r$TW;53Ep z03rVu`u{urKlT6r$I$mbGxz=fr2qfFtp7kP>~Hi!rdX%K5l}4{ZWb&TrKwXTKM3vB zERygza_?;ED3?X&H{Gcp0rTYG(k4hm=q|&nIg?d5Z;CH>`G6V5HF{W&)Tss^0D?>= z5I8+XSJg&i4Hz%L2q<#+{!I}6M^yuAr)2B;G0&NefD9y8Kosw%G~6RtnH(e9 zgTNN=Bv30UuaG9MQ#QV45@08oY87Ek{qVp}AN50B8WjNz{Rkgcf~MCn((_OaiWd-E zBGK~koz-si@}AZ4^mCup4Q#PQ8-#pmAz(PzOB{X9eFKi69cNKgqfujtapOvj4YQsb z@z0$5W}dsjRytHUy}zQSAZ??-PY%1O+e{m3EVmj(D4cgIG!-tp6-b5WZUsu=y<4HB z@Qqbydpc?dz~u9vQJ-*wmPNQtXY4Y*?@h;7cr8wiuZZ1$#=#Tv33UVbl|tjQFpJAZ z!0fPQ*{}uj2b1xA7}GzO&~EWb%~Bf$+FEreZ2=^U$#FN#Rm5tBqE6ua*JI~F+uT0K1WRaP41lQ|}Iuc1xD`j|z)|(2gfTeOiSA%IC)k84fkz$$b zU^UHKL!bQ!;fL5vT8o_q#Ak8idYXA{Dg@F_o4dT;-n++^0%4>Sd^xWf6y`l(s_+i2 z!FFQIqn*&xbvILsb6A{@+YD}Aw*I@@A`7^j(*Qsxo-Kxi%j^!V+Q?f!(Eq+>e zc)KNwB-#fhIu;T$C0&>Mjxr58PoqyvFPna+Hw_!Y07^E$vW7!!HDMCti zEC~GIOIwp^u`_E=u0u~XI`yR)VJ#+U+=ulP#-ORnf~bBB;^q%o8ek;2xnNRq6L;rE zS0Ab6j--V+x==oL7BXoz*MuApC`+FW6m>Zm*^5IaQ=tfRZ3>0}Evsg=ZQBT5QT^~w z=5t3lWn+dwO7e|*r)EEKBM!Z}n{d&HqDgYBmNUD)f%+#V$r5%eDd{Jsp0fHxDZ8*w zZg>c|4L4;&^V0-qg5mIYL;*$b)ry4Vq9}y6t+Sv(w58o7PrP+G0ke|gn0p&7(*$t5 zy`uGUA$Opq7!BbzQ|@q|4b6{iJ+Y3rXRsUq4YfHwwUs+@O}RrD9d6LZ5rc;#G1CHT z_1X%{32a++T1o0i0jGTWs+A~rbdHDB08B>ue-mwg${_l5TO;b6nYS#vu9MEOB+SVX za=xCJGV7w|E+HLfk@bp%$F!|=v?raVNReF$XVq+Q%*OTgUv6%9bXT&Zq8N$%FVlRJYy|G6hJty5 zbwLW~#wuXTW+dG=d1h6(Jm&HW3XF8O7*yBNG}USJGhBSj2nG2!>S_fh#_ff6#isMk zwVvzFX@|z^-!iv*rmN#*bW~0qhy3;?Rt%auj-e@=)8Z%1QPXGiZk^Cisu)`wd_xzL zG54{-+~8N##3FUy8W$~?arqMXhbq*0Z5dVetV-*=&iuKeO8dO-{Fzmya~>@Vk=0iP zyq1+O)^M#spU23kV~tgMPf*2cORz&M=0r*ld9qxOvJeJ5+F=Y#shJvoT{33(b!7!a z4yYF(tAqKMxx;;naB>PmwczEmid^f}SERX8Ua!L8tyVQlzNwzpSOY@Q_Sn)AWhl{r z-0ddv7$s`e-7`<=%-G463Obw^9x&a3&!ENA8Q}wEg4UesV+GtYUxjxc!+qP(M}g(V z4`iOH6T)kGxL}kbi8Ws-kv?VUgxPOW@L76P6GN@CWtH)LaJjTVS zL{;x=W8kijWkllu+PN+6$|_kc_td)AjzZaOW>aF_WN}7MOpa>S+wOtuxyER>UlrHgN7zDg~!-BZOoUP%N;7w?|sTs`@qoCBl znKxN0)P(J52czp30B+g_e9(%@q`3t6&vgwr>x-a-y1M$5loZen`hP~&dVf9974^+v zaaQsro9wF!QP|rVoGu<|?dw(35%IO-+F7evSE2#WjpLpL-mkMX#m{vdbAZ|RJgBJb z%M&8=R0BWMDg8J^vWJU?-nEtWNIBaBre1KXuKuHiCO?amT+Pm&7_1x9<8||D)R`Qb z`?Q}PN=NHfwXwE94M55?^e0y1Zke5$7pk^qc&MlM`lv2Q8@rgzz1#!-W-c?mAtSZa zeXBmwd3{O>nhB@->n^^pbiQKa{A~)ih@$a(BtQDBk+KB=b4d}gOV3X%CyVqbPi`^9 z1*H9K^<^xmjpB-xSa2;5)i7R@+M_pw}8SPW(u8)hwhb$`#Lt~LP=%Xg;^7a7(| zpmUW(1F$`6bqxi5SyyEppy2QwUv1~D$F+isg0WY5cpQ9?Y2PXD z;^g3si&Jq1eDJ)Rz#(mk`~IH{a6`N~HfRAb523n5t4mm)@yv7EL(Y#~9+K_R)d>~* zh6VbNL&5>*DQKiKBwA0sT+pM+6I6`ut0$yPby@5EiPayiFf@Q7AnJ@`Sz2+n zT^CA5FZj-a^a|5b>~6vNA@z&P*xmWc%Zd91DBZfu-De4I8A^At@7DR%T(~WDi%w|7fxvL0-4Gc(TgxFk ze!d!MRmbFb?`eUlUXAHcuRGwthiQ+P`i)(>;pxnQ?($mJ09(@3!VdQL5Py-oS+EjwKDF}2+^Y-;v~)^}|~{P~=>o*R5MA@5rwS~}Rx zMp_!z6&RHEE{8>EY{o}4a)^Pl; zHi*d=MhDLjJQ_gLsdpEqUd|Gy2!0LaMRQlb1%3sj69iM?&?o7d9kq5JC`(^9J^01O zgpdJ!sk#yszru*Bw)_eQwmF`S^;!AdFEF?RvEs411&X5sS8Rd|?Vis)(5sMd4gMKi z+$&oyyNb%Ql{Ha&&%1*wxt_GiQ+(^eSGGJ=U8f~x95)gv_;kmcx^df!J6N3~$fk$R zsoWC}U-GN4MgAqXr%%~hX-xB)W8b>aEeRFey*qQKh1)hhuYNY@qHR51q`d7) zuqzbZFRW)C_xeHq1lJ^>eDzJ7p^$0~lxG+hz2jZiMtlf#OG7Iw(}$%Udqswls{f4 zGinq+#*M=npKBi*sGsU#>z@6zzmbBhd`cVj{>&`5K0ie8uHWW%6O1GzHN7xpZ3*ZQ zHbcyOlFny}>6YsP917fmjgSmo2Nizk38W>~K9>Ib`+@RZ=K2P@7=@UqNwL@?!_U4t z^ufPH4i@~tPMX3ngLUMAdXhP>#-cy9(X;*=c<2n9jU9WmJuR6Y=Yc6Kx5&r@u;GS(^&C@grUT=)%XhxWl(?w8;~` zBAWE7x*kwX?cd=AQUlBUuG3pZykfA0UCBIRP~bZdQXQQ98SkZ{dUO0jEx6&0J5H%s zVZPqs)D~mUEi7=jqPjF3+7MXd#6{7cc0 z=(z$jNAF1*%4p*T%bK%Xbk=^5^1$okuW4+??L+=O_nX($HR1Yd2a{cp5qdEOb!)_I zKI<5?0I>4vivk5Z-OTEDx+dgz+=Q(_%w?Am)kU|UJ%lsO6uiD!j;i_PVfRWGjf)`g zfTv<}OPcX($jMUzwT~4zJ5!5319T5hwY83^JhpAIHo#6BQw3HN^?nWLUp3VpET=Z% zG}sb(wkWKmq`r={B;f^T%Xq1)`?Gh=opq*)b{ir>MSn{1A?dUj7-P8G?MCU}UX!uS zA|JgFqGmq{-8|8YtKW^88Pj8OKhO4dmhRkJ?hH4x^WtbY>z-y*Z79L1bJ>%h2q$zkGx*Y^jOgFrJ~BseTL3>+kB?#oKz0tP(N3&0YVtJ1jf9ew{5!rYwg9D z7Ruuv9TZEmA?7T(A+_~(7gFEU{0qI3VA+pF3K=)z>bU-2R1gQR0p_>k^rloIyg_{% z#fkC~e9_)zKw=EwqOj^hFi^f#)kD-OR^o%Oh@i=BWRv%sDcYKvYW>{xUp!j_sV67i6OZ-t%zQzdH!3XtJZ6?_d zc$Q^r@B?ifLerEEbpt6**7iVBFUCuW8tPd=2vb>ltc#jfOj$Eys=$Ca)?+seqrlb8 z6fP}EMb?#>y`J4qdt}~l7hsmp4e#I;i^jQYhH=CC6x+@vL}2zBYxRl@Ihon=)v=WE zu}jz!9bwX}XI(wl&F*T$A=>5)B1lkTa~G8jXhN_mW;H9|a`0lJ;q0SIzy-Nd>;PfB zm_DqFVND07TRm%fh+cB<6}FY0kK~UGe0;N~bmUXm0qI49OEc5iLdrCNLxy~HdCuh2 zZINwkT*GSOQpL}n|M_C-<*V0kdVULR3BB0JR-VJvh>_cB;D5^N?hN+T-Q# znjfi+EWL-kYGKrA(E_pLwf8FK8k3PqkE4f`JBKQ6NqndDBtL+HTk=DP&#BqQJ%uaI zA*+<|o9E*Si;h?a?7{4KwBv&0{4d8eM-YalNW-rqjvK5F!(X@+o)DZ2+qjaradx?# z!J}L2&iDWR9heBAHbI#Ip21(#YdsJU5~ihQHWOSe*C^1eLCtvj$cBzWRw#_Ere-au(ph$@@5mSZidn226BiWnmCiM&-gWze9#oqe z_QiZ;U(*B@XNWIr!7vS~7*o34fuGT>bJWdW<`*Q|cVrJ1n<-<5=y@|J} ze{i)0`m4pO`y4pe*?R@C8rQ4XIUZBVd35TqW^ z<0|s2Dm0|J-HxEJ@oENHq_Hy{r7f?Aln*S4)mkA{9J+E={XAY~NjuKxKD}_`^tdF; zE1La$&62anVnTl&eeuPl&qz2ze$r8c(^3G~fZ*IQMh-K34!qa#aH@=`$%Dq3h*p6= z_wn0H?^dEAfRjzJA!?LXo~Pbh*_7?Et7p)btu%!V{>Chkh7V|8ZjQ`L?KrV1~lzf8^j;GQ81z%VwC&@Z{czqnekGKd?l!ahL4?`%#8uc}7!l~CPnB^a_Gt=-Vocpc`1otB1k zqq>iL{HnwaqBU4b*wh#vqk8=*x65qGx9$r3~fvC&Ub`*4|nxxMgro{ic{4-)X^^A9x;*T2(9F&T=m&p_gZ_Z?@<}`Y7aop@qp| zDy1mPewQse0s03mOrQvhIkS?n=5MsHD@3J9RBmL1{Q_E8C6L>=ylEN_=RjE%U}cvH zeT}>DFDx$TA22THA2crLA2=@PA3QF|^Lusw;LDGnpbw?bo>!DSD1)B-`JR8jiuVF~ zSNWFy?Q7Hz8Jz|(78DdN8p;WbqDBv{g=E;|yv;mY<& zzWD;6*|Ny}yDDl}c=oCLsz!&-)~8?bJQENDarO{9RW1&YW;#)#nM6eif{LwCM2nVIK~c+~M(m)q_qJ+l zd)s^4s1dbnKSMenVLw`RYTg!Ike4zi|6r(Tw}lEOXI4$L92#vH0UGi3! zhFi3omvYW2XI5eb4&_Eah55C#AKU#+cz5RZZFH=HtxC8t@s?_>rjF>@lKF7pH~g_7 zJ95J59Z5wfAGuwCpFXxK;Qi+9eC)BZb1vh3OVFmz%a}E5Syt7RB))1-)5y8{>#T6!z#H8!y|=BaJ+@;l`|{2Fmu}w2$Kp0mt01>sb@n?~PW$Ilsm%81 z&zsAaUJY6|y`KHgpGq0$(`(JTMvVVBcZB1bsN;<9V$E;c7_xP3U7N-!7E*RdM+%9KKUyeJBYAk8Y@)T*h(Z__~BbMjy5HuGRLXkheWNslKc(zM)&adqmG;h ze;zx3c+++1#?!xuv`3Zse_X;^N5p81{;`FoLT+P|tZm=PC`0`1(RJol3wiBfmGkFn zwxgZja=W>+bk(J5a={Msxouu!Mv1eIH0X|5y99gKDc^Rwf3hh0oYUfBTb< zv#YFz&sqh{?xkPqw%_ShVL$elGVJv`{9oMjzeRa$itPgTnOpF_vIMD@W3o~DXJ~etVeX8qYNHr)$9GzEt!)8@dedGf zM;Ji`bQ<5vkh^(A7ySsog$;B316aNh_p0iM%b)8Q`bCX{9RZ5@wa}8HAkCr+^RzEsk_ru6GI;~N`@s=~hf1t$sir+rpNyavk zv~Tkf@e91Y{(Rvo+-LEGbIsaHsitmw=d@_z%nOn)uV5zzzmG}4vHK6j>(1vLuf#sL zzT0M3eU?$}IN?%R4Ak?T&;P1xwLT26U^`0D03kxwHIKZzeds#o;N9=b^WE?IBKc>aNbd z{=-$R-#-3PwQr()UY(0jrQkmHZBbUL>m{?L2fOLyhJN1`@OI{)a6rHd&F*p0`CTd^ zXJ00?e53cy;s}(=fD|SprmKc*~KG!!kE5wG@`MH~R71H6_ z5cT$6Id_{)xViVOqA|%ti=$v#)|G=lf8cNWV`#o2?oz7IdPtFgNaLfbLZ5Wf!8qsU za$(9~khZNMu4#+aYVVHJ{>?z6Lq7)%I2KJ7?(D6s8T)>n@uddhi)o){^(#k{FCowXr%`ORy5Y-Sk~o)w=I@te^64 zB+@N9a3{o=DrfAI?mkqM1;1=uROcRhqg;U7H~lFUj=uH*rKGA~zBGsq+~I$7Np)zB zS8pog2HEz__o@^86AxS(bjc5{8*>;FzyIOT^h>2Y5mNv5+F$eT41YW@%=@P2R{z=i z*U@j>H=nmZSy#^K5L#EdTZ9*zNbRn$1IW9tzyJ1Z`TklCLyKtFAaAVxV7AYsTC}!S zE60#GI}Xl%gI|lR!nHme(69fm=0Br#|7l*U`^}t0$5;l^R5tEE-G$Xp>Ie6q8ouzs!#76tKjb(o z&sLeOE^6mOvtf?AA(w7gI_6yI+P24TQl2-958Ro;H|=a5{|;{_{1Wd5`stT=b5jd1 zxyQeaJjcona&tpWf8WM6?`G{SUrVyL4O>&spwzLurc*l#Z`VZL=G^Aazs< zX459&DV@u*+PGnqe{+LbmO-bKAPe`P+x%DTVK-hYVcc$IZd*az=HBku5OL3OcvWsH zO=3vWH2bjU6Qy>#b|%HzWy2}Xg;p}bq4c|GH+J3{tiQj>T~~F*WRVC?o_q3D&=@Cj zj5Ri4{+NIMm~hPJgE6nM#cpM+aY@4&Yf3{L^ReX>33mTW!5EJ%FF3-;662?aSM)eG zGxAN}TC2Y?h$fbQ?;o-7W=W4|`dzz#HBgojgjmb!PnQky6ocYPsZ>P|I&>#hV;e3^ zinA992{f=K`A^Qz%KQ=6k}0+PxCZlAiTzV9UJ(o_^K4kaq(|?$I_ql&Q-?jD{_~uT z=@-j0ew^?E*>VHBEhb(WbX*fh-ENW@S2nL6)2vOBzr{MVzAzjSpSWYg*%!Oc6nO@>B&gHB8725oOLf1mUoO22N3t@mMc*&R81W$$j> z)Mbt0g^UTt+$)lp4RoyWY9qm*KZwHYi`$mSDCjn%PrI@hu|gXfN9(N1w9oH(BKh6kbUgi#fy(>Z()t$fKzm)=h{mm)J-n1?eLs43o90&? zj})(ouu_MAY`Fe*cGC1@L*I$Gff2Dk*0R>3(a#S^8gt*GnU^W+P4v@0R=vOxD;5Fw zm7b%2$?Uco&~tFF#a_{>0ZHVax^E{LMz0+-m73~``;vmYEVN#m>otNmUky6;DBa;_ za>N-5Z#M+!KOtOaT_0a_H5NHC>0u?!SD^KA`L_mYI~MOgI(+DaPQJBm@A=llBa?)^ zWJOC2tUC_p_3s?N0=i)V0gXl)g`H_D#F}$dAe}-(?h~za;Bn z^c~oCkBJWTiSIF2uGoBU9^0rqKU{L3z2gw~;XBrIyqIYy=Tp{nvu5HT_vXQ&phn;O z4#fY)(6r|VPV(7zc+cJWxk^Ky<5h_#s~yW(wz{@^PdKelcrQQs(d)%0KG9EvK~MbO zdeT+8mBV{%KP~;ZTsYvWXr1AR)PF;kw!Pzie~R0>MZAx+`L4v8hGf&QOS) zMbheXRXD3^C&3KC?7{Whp8q@ou^2f!OkkY@om$|1((REqh z?M05J+Jct3kRb5O)~!!Z4qMz7xEC=#ODG_njdSWejt8@Opok%m3SuAmXRt79M*@iNuZV zH|VEo#aG`Gt^LsaY1|4@JUm;89j~04p+Ed)L&=+>lmJ_jcv^6`UYFPr_l8LRwj+Ay z)$%7Iq#qq#!gQQa&gbrw-l*qJx||-Ck~%Gf`<7s?vt<27{6z6O4HEz4cS3Mn>C2Rf}Gii9Hqw15)(#^%UHpDETpKQSwmcL{ju+2PZ^IKhVV|`KhquaUv zoUOwgrDa>GdlweyKhtZPfc&9W`! zS1KO;n6=`<{o;*tf6E^Da=@=(>*@;I^u@)Uo8N!1=t0cZ(#H$0FI*woc8%rTzaj0V zij_w`TG;iTGbK;|xG%T0FEfYgEy$a8Yv~*Ps*tIJ5AXk;M>Z$gC!grapS7u?48Pv- zB28p;-54t=+oD&NvYTw@9m8p|*qFH18RGb&nU7PZ{a#Xh>$Wkh>+8*tx0nB(Zv1?D zdU4sSt(S8*IowMh<(5=E?k`O5w`{&x{AzXi!BUO+$TG+FyX&`KqyEv|l^u&$ z-+Z;S)@&j2x2}|J{_5DiCE70Q=GWc1d&{@P6o*X?f6}5=bbU*$ziB+1{TAc_(1R)$D z#fkji-(+ELh%f#pS!sO9c(>X3rIdUmRw9z3-C`tf^tI8~Py~6zVF>A<1t=mG(t!;` zBzjH|RO|SH_5bNNockQ^3T#M-d_)4wB4oCCiqJe=hzb!6-#_60?GN4M=9{DOHgqGx zH&4bdkdNF+?({nGg)E{WETv)7oKFHr?u>luH?lZ8l0WkC{SoHQ6>}wH=`BgLN!dm0 zW%PdEy=k!QaUoPp{LMI7hLd}M?IevbUwxu#c!A`d25At^2kWKXeSb~qs~pB zleQaEFClb_=|X>oxjdNi&)m}{{uH&^<*7`IE2^KBZr*YB4@FGgv|26Aa5Gb|U@P@$ zX3(}6iz4f=^;nFm%kK^qk(oR2ArVvkD|V}H?Yw?#pChGw3jfDlj!$#mv5Win@-r9i zY^}1P*(XzT9Vf)grs_?uu_X1Ven(hDAL>{xUtKqnFXwE2O@B9|b@rs*`R^O1~^ zqt{Q67p+n3?r1~Xg(~xgC9dsz-rr>YKVb0m>Ru_^n`8atJBxm7SWLU^Ay=jONn@;Z zjAxX-?7u(o`~*MwK<2_*V$p7|K>rYvYHq?6CF8atw&-B!k=sv5jr4<}u}l9f9Q#DY z`8fMv{~P^ZzSF<=Qvciic7EK%m%bv!F|(~owCLR)3pp@+wX(s8QvXG9<6E3=>Dj6)jpT8 z(t_7}Ip1=;Qu0SZIN~IKsCIo)>?(DAzR2~0(!IG~*Qznp*y`WyKIls6ag%K#H-5A5 zw*Y1!cewQu$(ukOSy$9{^)%vr^|yZN)*O*fZ#%v3i?Ev0_~83R_a1(-i>@qA{jQ$8 zdRqBMxT#7!n11<>>XDk7k!{YA-+0TBb#C(JZ@s~aQT2#?6O@(98Rm z34HQepWi>%f8*?-<~&~SkuCj7yodMX@3yyTo_YekVzxl&SmqOx=exN{TCwJh!MwH_ zLv6vL%*NKMy88F1GY_+Q9QQHS4RrOLKaLFr4~UvOhQE$a@9nFUX2?h0e*FHo#vk_% zeD>rs=cBW&JAcS~Ve_<8=)6o1O4QY9eNWf9<59Mg`f3My%g*iP7`-QNyL5DJ|JItm;0vFeUAV>0 z3ET4SEhpQjVubaveUw>hVZ2-}zl}^<_RyT2H@Iek-^0HfNLTk1M65Z?L8+tjS-6jA zXAH+D^qgBO4G=!j(99n$(=P=p{o;O`H&LiqwQAMe`LkKI=70A3&(FFbYRE%>;PoEd zH$QU#e}15z8lsiFxOeAA&E`nbCnV*aiW5EtnIb(~&Sd^={zXaZ!%U0q$voA92R{)^ zx5RtCBPTbn>dr~RH}mI1{Hkg?P0_*-oXJ4vJI&(Sykrf77L4^NedF5ajXY-BxR1gQ zy_IiX$@^s~`uY6xvq>Fd#B=NkyL4$bHRaAJem3;Adrs~b~ z{Ktn3Ah=tz#aXd+Ltb+EI%Ji*-=MM$R}(D7w!zuAg{W(1Z5ADAs9 z+t;le_a2kkLpEkx85j1 z^#4eP<@$$#p8x-kTA|iZJCMuN76coD<;!Py+8LsX)mHuIK?ByPDz#KnrQZG%&UD4k zHndS?idLP)IZ9f}Tw4*xwiLDA5cIS+#2R%1KoaOZi_?(>a7!fsKS_%()4t8Lu!F`@ zJEU}w0+l9$3i5n@ZsWY%oU9kV%p>Pq%2UnDy;hKspOuySW!mD!SJJL6PHR}2w&2SQ zRi{>8kw9tScF++{N>9ml!hVQTbWa9MzR<6~4FyoC=mS%*)LKcvNYP5Xpk9 zYk6r)3P8(c*YZ?31`qnY1*&WLOD+|pEj8q)lq8O(yruZ zEnboj_?Bl%_v{RPwlVbCzR+jeLZ9sleYPp|*`Cm6TSA}h2z|C8^x1yUXWK!a?FN0e z8T8p+&}UmgpX~&_a;ydJbakUN?Wl^eMG%5@&vv?w6cpi)qm9V;PFJFt4r$)@Vj+!@ z)O!rA7*QKFI9EDThBFe}JH#yYA9ybn&b$mN&Jok?px_)a6@akpMon{3r8I#pP2#I7 zrLJ7AYbk1_W-u+4aXhuli1w1Oa;7%P3sh^c`{_Z*HhSu18pD~!Dy^8!2+<^x+vp@k zjPHnw>DjF+wxcY9395pS5Dh7gWWWup#uo`Mmr=e_%hERCWYbshL+^b@K4an`;>8T( z%fwY$A%C`MwLJk`Xi_X^(9aXU(sJSq+_uVU?)4*C&Bm8OIsPTwxJqznT&pZ1m9xo5 zJB%sDlo%UR#X-#CR;HPsG*@j?`1$z_@(=`J`S{{4K`OhUo!KkAN@uE!>u`R}X@Xz9 zFwA^!p^y3ADA^Y~Rm+Tju>RwS0oD;eh4_87rVd{z&6Uon3O@7;zF2w=&#P)memI$R zXFZEE!fN-Ck2d)j#APgs6Hom(qmQLEcLFJV?86H%%N{8i*+Tj%-RsBsG8!LXPkz|J;>5rbr7tHDUQxj;Ub&vj z22AlWmm%AKSf@l2^^@7_vB%ZMDj_Xrand0iM~U~b>ywRv4HMX5W+^9Dx$`C+ak(bB zfo5@L32AcR8RG$NST(9(wD>s53B15ZN>B!A1En^6kkOK*rFz)%5pf_(NXvlLd_r}z z<#x`e@N!q#bXMLq33qZx2-H?ZUz0xucbBVsnW`xW=L8nP!?|)l^Hv1&Gm3uDR!67; zFs@mH{Gc^U!+4xi(@}uuveJ0g7|1zs5{yveCPbQL45dwkTs}cq8Tn zpD-~p+q~7+yhVU5r0a3je?jKrxDO-@JW#fYm>>1}#7=q|@xNuP9#9By0p&Dr@-}bu z@!a^DHwspjniCKvmPubA_@2%LwOBB0*#J@hw-JN|kVw=EYRB>db)qBRyw%&h#V0C9 z4R8I-TZDE`ha34;z)LgVY!!)+`b26e+ia0PRMHe0h-sYt~z}fmb@)c7Et_Ko-&H_}9V=nVGuN7F$7RWC~B97K6-GHW!meBE5 zRtOXj5MalA#*x{<&=h?5xf7WSDRuldvRoOH$uvuf)YP9L=BOey;D*ec z>usLnV}KPJ*8sI7Nb0O?m2Q?+NI|cOO1GZDvwPq`v!}3>HAH7M08ofSYR>gD&k^z^ zIch-ZcAFOW0z^yF)vg3Dr1cq!XT1z1)Y@CE@tHz>6y?imSn6#>>SO z#cQ_wPf2?9mXgg$hbg8vjbAZ&(Huo7jmb;I-d;t(d#wzy>Y3iTg~G_i1^J12s-l%E z)XQI7T;QXW{DO;scg)54ECEA_oyD1Kfmm4uvOYvjEfPG%WFPor}G(+Xr;99rUp)#TL6PQAa_5+^i@7K-Vf#=>763*_6T?bS8RVjb#IeNh!UJ*dd#r3ZDB<3Rsm=Uwo9* zADV?U1sWVV+rUUEp%36Gct}v&#k17L-87Cfj7?LJ^2o?YzRq5qq7>r-wr7k4r;VZn zt<}G@U0mYRYOn(Ca@-LLlob(iNTuY}d0^NethkhD=Y<|Ve$1bz2$&#E!5`Wu!@7$% zy)8OlDaljfZxrz`+jiiVY|O!B>m1AXsWsny@tw<9_!_~p{o7cGGkO)^X)SmfHkN}Y zZY61*f^=gn(TB(YmFA=1D{+8x&c#_ip|+iXrEuHUKr20$VA8L5)X>ZhCEjMbZfYe5 z?8!!rlo(CHhwV-p?+9&A^KeuvGWoVud4$FA1;SEug7@Et$?f#nrq{0y$S-W{nMfv*m=UaSehN9NB zJGMRKvLn-w4LgrIpte#AeX9nU zt7caIYr+HQx$`#I2elpepIpp^da9(9rX1K8{h(j zWeUdO0XXn0C@RLp$a0Tj`+H;n^aczOGh_pv=#=6EaK7trd2xclvw&x?R@t~!xQ|`j zH5_6`aU{iY0^GYp?AbOh;Gn7setsH<%eM{VH!YKNzBom_E${$JWYi!uy>~-X$mJH9AWl@g>I?JT(HZJb9qX{ohJ!BhxbDgjU^L+#c&Ea|Kg-?{M ztD~ck3tQ*ZXIDyvV}NV#dCZokC5^N(u8tN`iz5_X9yqE~(BgA*bkDHdE&yRLhiCLR z1{gzcjz-8wYp#w8`+f0yWK@dUD&8ry!Y`}?N;nXNxK_Hw%?R}q(fxot>GcyoXpKQJ$zS_Q-cK7fE!U#vfrg1?4gU~QWg*muK{cl@{6lDk0lU}>;d{I@^$#J? zf^IADg=mmP=9=k8Ex}yze!$;K!68|$worjVBt(Pr#PigYUkJ9rm4FDb=crgA#@2vj z972)c2}Ke8pCN!^@N2PHBq^YGM5BE|CQ=`Y9Qh$qU56oa;p$hVp|*Zni1VeP?ZKB2 z9F^8N()o6U&C`n(5Dloc19HXl)zm~lcd!!CgV+Z!h4@Cl%MZw8EpY_U;D*ek#rs16 zGxc&mE45!F1Azgc#+ATDEC3y2W#e-qXavielRU}V@c~d+)>!5X%#J*t7RsY(j@&ET zhN`L}n*6aSoG*Swt>hiA#00dUqup1Wrao5*QbYtayM3Mns;yEAjmvN}*|q7a$XA4M zl6=)*u0^Q;yTC+BB;hb^*$Noya5qsMpn2$O=2P1G7^W4Aghq0esTm z)bA^%;GAu)kMiWtLW$5HhM*Evs`Z zf59W{X2+mgBZ)dYs@cYLG{N=wd}Nib&;k7OoC253>BP)k4y77-%(Q7x)hqTe8P$Jz1E zXNLbvmjUWesl`aLBtac@N}ZsTJ(0-(t&XKGagused`2x%fp&ptAZT~OE|V0hrIG?Q z2-*^ArIHua3BFQzQ>>P(QAbQOCxVdVvlr7<_T{D|pGcSmn0!2bKDgd?x zi1QL9pr-jz!C_Dtaet5Y%m(UB)rlZmF*CTA ztWZ;0QEK}d;G?}WVubqPS_ zHd2D5Bz5utFtV;KiLNbEB;INgKTQ(>XXr0XvD5yIdW%u0xr2&4G~iw=aVWxgmA5p7h9Gu1{7f$|+^0VQrg z#pn9({cBam+^l7b@ygOIFPCrKg3nQ1Sz26CTD*FF30_vd1z%gfwd`)e*44m&m2TXE zS5}ml^{4<``C5EK$%gWZCr=+heMw30(~xjWc{#qiw8yjJllvdk*{Xsi4OwL`LGZPu z>#YTgReAX4EyY_(Hk6b-o>%7i_*f7;uG>2PyrpCvz*RnuzoNKooi(?-Y;y_t#Y@ZZ z^t6I``gHiQwRkiR*RJr2l8q(BTOK_n2rh9w!wGr=qbc415a1Q%eDi&8o_9o~t@KCg(w3rfq@tS>ID!1GHsmlRj5c^O}@<*rhQB8Wa9z>zUe9kl{PeoF$a zU}A$@%DSo)7(|>ioBOqQ53n7Xt9w_pMDNM{Iuc0lGh3k;}Tw^6o9wpmu; z)PLdsnE#D1=P|!Auwa*8V_^Q>S&e~twJrWA!a=+d6oFSEv~OiNjFWFuw!jWzq=jp; z0>>bgV!Uwq8=m`>(xrB4T9Cy=?r91tp8}t2PJ>)NI1E_75XmAKVtfsqMy@zIh`JSY zIS5%9axaHd;GY);@i#r4Gd2wNvl~3Ur-#N8y+i`fVTGw8^-@q&i5i#{a=#7RU#V)4oZ%k+gyV^Wi}4;-FwK(p(P0zn8-GOs-g{R)IRu zPDb{j3V^ffBoSnP+FWC&a|j+?0H$~z-a@SihFJ| znY=tNBpk&!;T((+F7yVVZG{#R+wa&6;^G8`5JZd~UVCE2aX!nNfJ!))uUA7(DkN<{ zn?zcM-I3o7?sT4Hmah=l0rO;zg7s?5Az81ES`WQ2f!e7Vm?zv;GBJjd0eV0v`Q%14 zsFT`=D<*W(vjFwea1LDQz<6+_gh}0gy5>=3OI=qg@woGF6oeJmJ;D57(yMA;~xRmfV-cE+gv;;$$(FXcz zC4r7w?b7iG%i;-PfSQuq>V`4jdVaEE%#of#IQ4yjR9LiJPKy=Ozz{y7jl?862^V+* zE8r;PONj{}=8)iC14*x_ds%U>sGR_={W?�`=k*I>4LDP*is8vF5B+s*(Ld!uV{3 zT7U#L_xy&l>PRUZGbjOAP+vL;2*!xBjA;aLX?S;FB54H-RRkN$i3xi~{vDEvvJp8$ z;2$j2$VV}b6o!RTeye9%fmubFLkv|h+0`*BCYY6WOGumJgau9|8R(%0PMZ=nH7lC> zB3i)`_jmAbyfaa62x_y|8>?`9RcW{zLJ8Yx?@KjdKq!O-+*pMDVLIx}zun!?~9 z>%uukcK<|>UW6mP6A@_yD}{5B!)89mLcV*BO+~Qx;%vq=_Ng%BXA$#AIQvO352+1j zs=|??aOU^n$g945Gh&9Ly`5@S9n&%Auz%LNjIS@tE$7QQi;*!)D+C!ZW0*-s+ zor#?s1gK&SkcnOVT9{SUQ{8?EFia($8_Lh+W6Y>6YK>H~MUCc%8B`!L^%0{Jq21tH zJK1FCFK{K1goy{EWdgNu7J_ezN>>}V6BER=TapD0nhe^nu%t{iHUlUkxDp|Cct$ob zidBSPi(3cgY;)8SwNm@Fww^{WAwV*Yf-JQZ+Vl{qWQp1iq*@q`z@Q?~RpEPq2%A9t zErj5;1c9bnosL>Di};mJ0_FO5Ci*>>!(ZpU?Y&Ju?rH(E{k-mCZnx!6~MkhI4 zOVMv_v++sBng(|iL^e=8cqkd4;KYtWBLj>LzX!lUjM^6Or+3ZRV{p+xd)K(o*RwVq zK#hq3UNF@q@4{KG;U2er3sY+OWFo+mf-m$H?^kOEhbvvi!ZV%J9Wi!D&*lI@vB1py z6tb-Eu!3yiLGBS=eGG-2x)*=rbeKLejHVzJp2b~^15SNM6n$C-+68R9I|?`ynZ`(i z;G54?a0I^48(I4p428um@N%4p%2L~5&b`!kg0zCV@!VzC90e$oq^py%)sD(oO0}!6>GS&q|klQeQV$?zyHAYAQWqn({Rj;{7*U`dRRhNse1;D(G8v~t_@8rT_>rB;>#dHxD5IQ6>y4PbjljK zqpMDOH-`pX$A$n(_#QurgdxNPK(iMdwzraV9plhbwbVdF51<1_b>~T1ny7_6)WI%b zbah1#1hTq152JWW6lQoJ%SvFNlnw_YfNN|Z$T+KD3dGrP5myN}V;G^7|5W4@5h_2Ti;bD+Zur^LXl0~2OEW9$WSt5Jh{ z0|o<&#Sk#hR1PKhR{QzM*-DAOtP-w77!x)4G%%&dR|1m6R!;)T@~j6GKTASEg6i=e zzledxg8KvsLbZzlOP&)sa$Z*hs$qx6jT+-Qe4=LszyhWt%t=9$r&A9Ya4Z-^wJ`rr zgif3~6$OkDKm^F=uZO^e z%eCcYD|x>jI)3AXRO82~#hHGb*`PTO7-)w4k7|GcfC6^=VI5E}c=BUcY0$^` z>U7*(HL0xMf8)ud?7E1=h`NYxY{TEIs|NMo*#7+ums?(K`;5El(e7Fm925*78=uV^ssRe&iE##Qh(E%njpOg5J?+2m{`#U)6dHP$oDKX3cBJp9BH@ib1F*k4CK>u-Ei6!1E9XJypwr)y^fjPb z1{3Y_)1t9m;PV~o27@cNf|F&PWQSU($0tGAK)0i>ob;?4!xyZGSh*bGFchFv z%g`}mqb#T;%CCzZ)rF4Jz=i#QT2JQ;3(D3pP(VY2=X}T7#r%R58)0DJT1EpyXJvKW zVfk559it1>v6u}}02xCOO7GI$s08g%MH1i;5#6Wt1GgH_t--I$s?f2CWNbp8CAOP& z=Prw>W87uk>1O#gFcb#hBQ1Wh&l)nJD?+=THD)TPpC{>rO@PS%;AW!#S1piBUn~(H zhoEdL%8pV%C&00dHL>Ij<=6lO1I4isjf_~p4ISWREGyRU2s2C%*b8M{eOAsXZL2TB zxF?%mPHPK7R#ip3rC|Q9;2u<&bOwy2YBTAgcBre~%&ty;OW{lSrl^2An5u=QR+4zs z;spXZgG&6-WRR0pUwLy12g&8%qqZPS+V=vOB@+A*c%UUDw9*`4CC6xx%EXO-^VT? zJ$m<~PN^08Ccnve7&rmL4lJi=$kL{f`Ue2Ahc!}KLOk?tg?Y{yqo(mxl-_?T46~jZ zNB^n%Kc>P)gd^uQH6@GgJK`6g7{rRNO+%2{IK~lXtO9sn=)W~}1hZXOiSZ#g3n+Yi zk6u3oeNos$OE36NecA&Np6HLOQqhPCSOXY$&+D}A*KE`t@Eh^Ob|%zz&8unb&hn9qQI3#5T#&( zPGH#t_=uGHaR#t~(6M3@KyZ0u`a}?(0s~HK6)aeZ%|LJR>1bjq3S8T90&lMefjZjH zq9+g&fWPGkn{KJ-PC3JPlI~S7jK3H>>;>}n@x-{nRDM(s*3Usy;t!|7%$<`fc1+>V zX{|Y{NUFPlAqK#JZL|aD7+=E~;h(fYF7db7QnUMJDKP%FXFl2^i9zEwoMAkp!*p%c z9_)d()Fz&a7J{_SiSZQI>0priKJkzsYl+rJHO3fLHlu< zOiE?I>Ki~Hr~`2mW~II(Y@KIu9=Nto`i1bZ$Uvy)2}7~#br-)QT3a0jE7YLY3VKS1 z!U&p8aAlxC8iYu#ZT|^SaG3=P5M-zS72tOSXyj{!#QFAEz$11JJVX+VmXKDMxkP!Z zyCvT0wjQXm7EoXTPf%+?KVroQe7QK-IX-5qEke~}r3r#CI_XJ-$aoK`k{>(;8Bg|L zgFP_JO7cka$K*Ex zBS?@;!L*842~?B`qy+>o_ojsk5gjD=m0}JcTLqX|7qqf!PqqQ0a(f$S71qwlCPd>Z zIfw`N3xTfqE485J_#kef?#n=ur~qCW0exe$;e;nRA`TLQ^#Bk@P6h0C4lb`c*=!wZ zGb~;qO~4&z;d-H^8@@r4Gcp%;+d+20aGcP!TEJbiXU#??lWD95s!67^FqusKpCQUl zfbZ)8(9q5|$UqJbil0&nDs%=We60glke#whSWW`2dA9B>m93O%AlHE59N&_K^Nc5{ zk0L<;qVVjBfeX~eC%{RHL1erMXG~|?d#1R7y4=8{EQU#NDf@Ah9fmJ|0;&DhqpN;- z3L=$8h5*L48LXKLpK+{G=k4tcrKGEpR<3?EECHY+7zwz}an`f8odUOOghi)z&}pfc z>E#`CF}Q3a=|((6gdjcbv6m3s%bx0Z<;D-OcC0TwM=y(}rxSkEEO5bz9?(`H!MxxV z*mscU_ZZ`tJ(cEn6OjIAps0Tn#PS8n5ANnQe0q&G` za{c6tnB)PtJH&ft-_mC~BM$10@>h=uG^058n$`@(Ok0<^HU+2>F@qwDt@tJ8C~wOYu+ z;6p{KdJj$UeWCb2-(pRL-n(Of%_5l2MAAw2#U>!$v5AN;L!p#kC!-X~=<9ul^^G8M zHCau4u~QqEcTf<~Y3lH7fSMz$slz#^34Q^G*Jf%pVTFBn6q6jK6wOQkis8fOuC5yiU^Ko~jMc*>Ly_TM+2mmeGx!L0H)w?UJ9GRdE_P(9^ zHO6Ed*^cY0hlRG4PeE2Jj*JY6 zd?94Pj#g5WfYdchL7qskSI)>JR z(oU7d+e6RbQ`)3fXe(h73DH~ZeEWNTU>GfP@y&}^{<$0J28MYocW;(AIe?|@|6!Hr$tt1C@aCV8NyPFYK!^`opg>Vz%Lxw z0ewoW2I$Q$IVS;8;@Bek01n^TC~Lo#osr>8W$kepo&YS9Tc*UY8S-guF--@cy2)f< zX4Vj>uW^LK+h40-y%>b-C%D5BEDV+w8JzqXPaA>;yz69JO%?ezwwW zoGKjqy3*cCQXj?HHFa7j$$G%IO3ndbka(xl31Pu9&R#n^Qa)znL$TQ5TT$7@RuVaZ z%szpja>O~$@5UG4)@xrva{Q4Qe)0?Q`q)K^u$hx)&ibF93G(Rw>-p1}w`cwypOsJ+ zUz%`x=9Yw?62DEnkvKzy%Qft-oX)Xq4Zusfk? zMoj`|=9Bn;CA^V1A?}MAs_1{j&5I9}8_@hswsOJ;Pp0W-5!O*)eR4ou$$vSGL& z38I*%(IUi3f)oiWve{{=1--J1RA_E+ER5gW_*f5m^KhKN{vCXLr;r733pUsEO7CH%Q~Ng zi)GPP0||m2_|8-s3bFwOzkR}VB*I~EEZ`#7@{lolQ z$*Ojqu@Fa7{N%g=E0rAIYIlNXuHOLVC&y;^!I-Sg03*8XKXq5(-Y0bg%bAJ=IkA9~ zgm36a3O?@e4v==suuh$`&J#=w+(KtM2d+JdclupJXOjOxU~Mz-GZzklBmm6N@tE-G z6)t>_x~(Cto;7p%hhnp!1%T@syiBPB5NIgt?F5rw2!|gzj7!XLO~c?APC*%OrIf0 z2L#ujpXqrOPN1PsfKC|@2~e(e>X{atB{h+zmD_?36^59|fPm1jusXcY1PYyC=8*6| zOuE=pyHG8Q*`@HrGOQ#88JnbKc7X}cJOVVUFD2fo_;yw#D|V`$3D=0;4oFy5vi7s8 zlG$IZiX(f(L-mvFF(*z;=+*poaG5hnGu+Y*0~egDVD6UlTi6QjM{<@Uvy$v%9ERv( z;VY9C{$UUkCDg)|sCWg!L}TOo7hJqZF%uCUdW4B8;``9}{@9A(VHWxY^9yEdd>qdD zg86sR_Y?R_Z+!KF+@Rk7?dKcIi;oy@eDr1Pp&xq=)9SJNVHp<^9Ce>+R`!=kYd-*S zBP739^5l&&RxF6|0R7-6>IgG7QH!uZga~>{Kp0^F?0Hbyg>b@VF#Dd59bXLFJ;A1q z@IJav(R(j&&2Q4)_kAgcQ@SGTJ`1uc0>#-1lKMxShTf$R^c@L9*|7;n(Qy=q(Wf~6 zE<6<=SaSE>WzYY<{A1VWNW#{`H~;)`O?sp4Cw{`YdkLGvRy^pqz2;nh@sZO$9(qB; zBg`=3h#z}WEPR$6WkUL58HBGt3rJ;0khwpHGJ$-{)AR6o7NpV1GH84sSCYrQeWL9Hq1hJeI0cU<|jL zBLkQKfUz7*&qNG_%&8F`s41|#PQj6at5YXzB%L}?Tp#!L^5n!+h(GD=<+lIB-n)P| zaa{|eGkRIFC0VjT9^==H1ZFG~5DLhOV#{C*Bq71X5J;-A2_Zl^NihKu+dzc#YTDE0 z(f^#2)7NR~$%8h3S`&H_le7g3Mbu+4A;vK;SD`AEox~_Y7#Rrh_^vf08%WaL|J?ii z-~H~r^=z1GT|L;O(@lZ<*y1)$KR2R#mVsW)43kgm3ss9w1~}S`Y^nM&e$>V1*<43 z^8B-JK+9@&4D33bvwFwjZ>(-toHe9dAPkWhup2;DpbCO>LLoClsCaH+O zkKM{D&8Khms#3u`eTB5?KB&xEzHH%w74`QB%kG?iDo9oyoyQ-o;fcTTGd337eM`_( zIq`Shx66~#eo_T)!gp43UdxGg_$}5hSk_V%&coj)tDG-z%nOxE{3mP%`g7CZg30t* zhPZ7-LoQly&xVP{Oxy=rSA6fVd9!k62KgfbC+w?_e`adx74c5LI7}2$ko2HV*QPP5$LV<<&s)?AKL?34RC@K}?-0`=|P+!XH6s zy{tFWt0f+IP-`k(1aPd$Uh9EylMJWQ!jFm|Xhc9mz$C3#i&<;ot(9T4GO%|%FN%VP zLZwCc%0+k7KTru_EZ_l%${I!GJU_nmiW&&lQtWMhz~i5{Q}S2N+gXEeKc+V!T&3iP zr&m!al|=fJUYG%ZA$^#=L;(1-QaY8E0KAoVtZbYor}x*?5X8DQc}SP^o+rgG#ds+hr1NTJ`V|U=L{IH)n{TUy@Ok(H>1x)0LxQV$p#F|UHBx>O z9OfqDtEs;u?Z#>lg=|ZSJ2*z;2UE6~7q&HD= zdJI;%p?od1wY6B?4wK0Z@Q^|U1k$X-!A_O=%A^PQZ?1qJ0xML42Yj`)ZrR@=RRH4C z$oV24x#zi6El6)VeQg@Pww7fv|5`xD;+r+GwQ@YtL+pg|@5J&ur1EQ}A1uFKD*q%_ zDtKkhkACrsAJtS2V<)FnWU#>Lt)?c81v`tR^Z&u#Qt9M=uxS=EHbyCfW7hcMc6cw! zyt25CQe5Rj=^uu8^%nPqiGAcdhGrA@TVd#JC1T91su&aB594fnq1CiH<>j3@tCMzO zb20eSnv=h+)>u+0agf|0p+H0Uyilx`?u@)}&PGbIiF4i`ad1gcmtDdIZ{8|+gW;!Cm{G{h$p z7ePQ7q>~-eg$+RIi$#D`C@rQ^V`KIz&YIg`M-riZ%$6$L)N0Rd01uDZUE;3M5A`E_ zA2arguX_n+c0>131<-K6@{F*`#5gn0lnx17jRVTV^G|r5;KbMI6;Pe+PGf`XlFenc zxgM~&nxwyN=mnkQOU(*x_7OENz8imepj$(SKGXJ&rMzlktP13_BnFPzWpbV^@>m)^ zQwr}Wq(?i{yO75S!?T2!iASS z3!$MGuB^MMwr)ASa@`i%G4p0OO&bimXs2VggI=&@-I{Id9+dXKFI%^1+rr11=s9=Q z&=!?`>ADA-mMySoG8W!-&zjlN&m^drNs{y4_0#Z!2WhPj81;yYmR}*AdP_053Ar8Z>0Ez8IWlEkVTru}9x1 znpiFKmoje#fD5_a@VCRyd$f(=#du&byt*^|J`Y@H1D@lBn^dHNh%e~p*mBWEPeD%2 zD3(}t^SNzr@^ z;J;Jk9dlmhm`i16m?@3Ia5favqibaH8()eEdx{vl{Y-SJ@XI2m(0)evSP3N%K35^k z2nQUcQGhSx^_++auV<46A{x^z4Yg_%Vy2r^iw`=~UiyUTY5?ji8ZeuPeA5S1XDsBo z!Z9POOA7-+gGIQvI2cvpAi;Yw zpt(*d!5e}eB$YsGU=e?Q0 zkixz~i7|OkgcI#RLAuiY;3-JgI}}(5R{C1%gkiKfp96 zo$hxM$PLIR5K-@Wl3YhujIlMm6m{GJp2h9XBo~sC2153uTrBRpzzOxmSjY?MI*$h8 zz9CL{Knfh@!XN8S9%q zi7)A_Y&Bkrx5k$ok{t}>>ig+ea_))7cl@-{ZxeIeO6Ed!tbf*q0cDftkClse?%vO8 zJg-y^DF0yJSBX02Q?$bSJ)ykn@hFt)FSlo1jW0&U($ik){CTBla;V#T>!@szc1-Sn zp|?(0?DDpuouJ*7-h<9pD|t|EGy3ZYfEg0+cj)`;Dtqg^mCnbrsD4m%!CwXbD)fiN z-3|p6g2L*lgA#&W5`qsPv|aqOLz6~$-u(;e=a7AGU2ip#(c9F!T6(|GyGweHIg$R# z#a?ZOY1H}0N(@}(d81M+>8{HXtJ$Eqn*F1=iw%oo?9up=H!54jORO?}H_vodwm^8c z*uiEohbqN`tV-;l2e#NY^PV47QopmmR(Z;AJJoEnz6MBtUWo^S-8mxd&JaIfGutVn z=VAS4DoWv<`kBJ{upWxwY_H_|>!fFs^n3xIo>!#^i6lD117L4LxvFUmfTC+PxSAx_ zE^xgdxyAtS6$ub2j<=u-Qrh=cHrv$Jy_LKqbyAt0pUJq}D+jWhz%y|EE$7e1sck#` ztt_#VHuu-b>H3?}i6gPdOoCG5YfPvxM3@)bBG%tjMxwk&uFL*e_$?>fGuwj@`pnJqAPR&?2Nb8^t3#^ zA@cYhx?p=Hows8Tn;WmK!|y%t8qy8v`dVKbGxWc(hioI*Pf3ELVq)8|g+_7L z>4io}QVr>Mu;kE0O6^2f(WDE7SpgKQ*?xT9DoV8z$ka-}{V!037(osJe%dIII@uRr z4izYn+A22D`+^+gt$t-gaE~F>e-Ot4^3q4~HJStQZwC4g4v4)6AK$|ty+^@^`ezL& z7sEWhKOi?AUf|%&Z*Y!qBhX+Y++vl)!BF?RX`S!`W2a!uZs$QpGnY1yW5nk8-evb{ zOrbsvi9+H7#XW&SQ?i{;=jzpwmzrS&7~8dSTBG;#cxyU$rnWv_-{sW_-^#{22F&C< za;*B%_}(7SbG*B?Bw>0yJ3D96&YVg5)|^RRMb0GCh-F?OIgik3tLQU;&s9IoRqM@^ z5lHE?Aw3hDZI0eX7D7#VKMyUIiD;2%SS;t<65wU6CFm#;NTma8!#B2Vvp$c?V z9`v*LlEYkx4}nnZtvumB;q{&f@O`O1ujPd2A+G9#mpH*hvd{D^(GaK<3}v55dL8c* zCwh44>be#5iu(BrmUwp4^zz$l7kYMwG+O__F594qFkK4pY4KyOdWyiRp`Jll0og;d zz;m4Ipz~0sZ`UkfjpAQ5wX9aOX+YxC)G#q_Gd~;)^$ki(npXykm5z&(R}bE->=|vkKUdL|z)ryVV9)?LL&rH{D-1^Y?9F%8*KYEUXUa@7(+K1@nGwJ0U zAB;he`>R0{P_+c)Vu zH*Uh?z1E`~X;lPEirdYm>G68EvXZ)T*a3oke!KZ&<(C2;B)$}od1JuihaJJrq8oJ- z!KC(g;CcXgBum{%{pEk38YbLkW>8jy645AHOA1$v*=Gu!0RfksMCgDSnRiTQ#uXOO z#4tih==}K3C3Ldd_PR+*pnz~DY!dTXGxL#2q}WU*X%h2TC8IK@^}1J0XP7**1g(-z z*&;8On$HBH8k6udGh`6@KUMCSF4LK4jMNc2=^Ur9!tAAixTthA4tx-&1xm!4BU!m= zMevoFtWpPO;Km(yj{jlLqhoK_G~G25N{70m;by6d6$6`}^RJD2+COKM0G zR~(?-KtFe$;-IaV2H}=T_L7Fbt5ku?38H>G0?SEHbC3lnqhGJQVW_FW`6-9Wf`#b# ziW+Av0W}lVAP>{fL7Trs{L4kxt4McEs7TKDxe4v)l66Xj+1X~}&OJY)XF-}rZp#kE)C^tXd3Q=wx%U4_C{3L9Nvj98M6lb~M{>gw!${=`Q zvb=C0l6+lzNC@Nw_&S;6ykk@XD#zF$Y_Z4#B#e%OQ%V?6J8E`=;$JtMDsGgqU(iM(1li%3U9aUlhPI1ODy|BKE zcs}In(6^_1QC>Ufz@Q96Jax|VDd+6voIU9@Az@cu01MNHtkn+O4n;^w`ZJ_R!V3}E z`!Uwj!$CZ1)gN+|xJ+V~63GE|!M7|pKoBOuUW|G+w6E_Jhx&DT0SlCM7lBDMjVWly zBw|3yf?L#{-fKOj96Zoddb#u>Lf`lzLLY}MaAJJ<-~>l?0hGLa(3@h8a4w?txXG=Y z1CxiTE`)1VN#W%}bA%&JL=r>l&^of<-udSf^-)Kh|sg4ze4sR5=SaSUo7 z2d$d!QWE%3*p9Kn>r~L1kGJ{aLNrv}aZdQS2v^2=80@??`{@jb#0}^W46O>3V||{2 z+CI+VB4YOr1VjtjGC1N_v z;ou-Dp;7zdzK=Ot75DXXi{s1tIV5vI3q7^vT{ge!-4=EdBovh`tR8b+wi-cTLM+U0 z5ne4`ECKg)^@%m9BZ?_WvG&MaQy|XsCvI^M?^Q~4?ohKCDdFEBb}V(IAwLP-_<>>x z>5;|xxX0Pm#!Gc{=%ZOMpLTTBX~?+mA_t0(6k1=59oR^;baX)t#FwAqLI`k*L-|y0 z;Zt0|eu6VkYnXC?&a&ki=t}@;I>|HtG~H_m-c|@5N#XqrDmF+_&?Y4fasx;$0@Hka z$pD99Ku9_0Afzi1rJ++U2R5cPU%DD`@R$}F5ASq53GzmM?OlWF5*{P46tTW|ecU&| z^~LbAPNW>KwS|t@&qXCKt?ucGQhCB~exN5B8jR%!gqg**_>zw~ysgo`oa3=2uzsN| zQi?8Usu;jWcfQ4%Ah5i=Jig=f_Y+My#53cd5&?-wjSKi&%?NV+v3*!?);XH z?t-El=VA>Bh)5X_m zGYINX&kRuj4FJWYZbg23u?XVDzp^=^0jkzjBOIK9A5i{OKuvemqQ_9^C^`+Y(qC@i zY3wZvoG}g|zEJ>O8_2W{a6PVi`TiGrueIDutjj*iAB_GOvOfmd6z)!fCWF8gu~AE>Q4n-2?R?>f8#W)-Tdf zg)s7jf?{KirOZ-fJ-NXHAlLJ&qtqAdgi z9LU3gP^)O7)d3?x#Q~c~17qR=S{a~pLZmdnYV@Y#BV)X`?ZrB%vv!y@3z_VON4i{N z(%dpY3m5U4!=Is&wWF}%6m#$NQ{~>A1D)l;xzcAbR_)DldbmnvUzuD&kB4LaI9;5? zo)`7(Ip*keQOU-j#zd0+h!Q3S&hfEQTmU>2tSV-V4SsRVMRX1x#0%K)4B@t-&M1$y z{B98yZyzKrJXGD~L5kpoXNv}BWb=a$8Ti3{EI+u(z=NtiSTKbjOqTP5KPu)2zhB4? zK3T|n4WPwIpJnjc^fbq(1%K)^h@-)`?&fjgndQv1*fE;LIMPZ4tYEXRk5q6 zON#C17IDPtH?_(2-}KhEa|@3BaFny}Biv$vXOevxgR(g3w`{dCe!Wy9=` z17Lxqg2Q>nHGWIw+gzuT1JnG@Wic3X4tSg3FZUV`a` zR@fu#&z4)bLUsjAQ64|T!F;Al1~-=_@k$`PVg+U}{Az#gLMiFuD28qbwktf@4SQ1W z8JOgei!|OZcc2IcB!Q^#dQUJO&NUYNS4q=L_qOy5Fh0fX?0_&FDecmG8Ucxy5yvd}5B$G_Nx51he7%Si+ zr;<9W8M~eQ;jg_gh2IwGLXWNi@R%fha$g~P2^@35fzl=~#dQWxDM3ORoSuhDYd#EY z49R*kQNYMzvRP^*W~39I6EF|A<4)*i?=Gl1$bATAkNA>0&`I#J6X6F|#P;eDqm|8+ z@OsB+vb{MOth1(YZ6GFmVT;-{WU?dm-UG$S?)qXLI3L6c8tO!7wMMNtgA*pKvk=af zI1PQw$vT6yi{frB2Bie4pnY*pf$58A%xtWZZbH>aFITN}j?y*N<|1vL5un#o+yD=x z#YM0x&&Lq78Q!13dnnq6n*I1skC;Z~BD60oB;n$@`V6Hr_=T^Ai@jkrx)& z;>$rg*Mg%ET~JnGbD7bUNM|13Yt$!@R5?S;sBo&$mhgA5x@c@JW*(a}o;T(Ksgs8u zoEl8pq)g@jDsvhWG;Ald?AIWNY3>V~FlyD1FcQfH=mM{N3F<^#upYN-lf}|n2^3|K zT9xveSlxu6mE?_C34bt*tB|pj#A-=l+tid$SlYdLYEl@sHU~8}D2Sgl61oGOfFtc< z-sb$`MOGVL&#FeqDDTYxPJ_vI@Lq!V=1~_`$wY1M#C0Nn$99@2_jk~`5a{XMQ+uL; zrQ3%<1Ja3_<|D_a8kxkLC|~X`uRH+tm4e!GjU}V*jO}9nX>KjH9y36H0@ZbK@R@AtQwXI#l}C=kQq~~u zI<$EzHiU}+wP@Z{XjgwqXtpIQcxqajSYtn;9cQ+~Bo9AbYRlkoO%CN#*?IKXN zz|eB+=07flI>SzEFJ?@f#uP%yAJ^ev5OJGVmN(jBLl6zVDBc8U3z#DE*cPvm2RAYs znWGD9Ug~>LC8R;(R(!!QaDBx>H_|7T$|Hz>VAqMT-_}WuOgYe*YcpNpnJd%18r%!> z>2$9ON4qJ&ko^C>*|gnxk^?cMzr-nU-{{-XyUR-nKd$l!+(6rVyS+Wa<1{L)UBLU< z4Se0qCBIp@Zp+5?t@OezTbj1ae6D8xf+hDXqib(pzT~;vH*H$&-O>HEZ$ zp$|S+yK#%OnB7XRfAryppIh1_VR>#jUVr&5x@q&eP3sMYX27zW9OSz3fuUO3P=3y=*tzO=g#MllWU&wx9hS zd&~5pl93V^qj0JV?ohRZLOgcE3I{I0g{B1Ag0zy2YDzk6MZy1St9C$>TGMG^=6r>c z*EkT*zQW+oGf=ivtAhIqzi!1blw$M^FqT)wNRkW*Vtyd%LV+*@6IlS5TSt{#gQ6|2 zF^H2O?Ztr(h?h7&3Ci`~Wle*9VSRmFz5O`@G~x2iYu2uN&Tw5rJtuv*c+OD2Zq0*q z-KK}H7-~0eT`RHO1qfU_lcwut9Zr8lfq-pWT4&6EplQpt8MklP)U;*YLBonI5ACM6 zu3NkN+GiIjFZugR*>mHBe9fv7Il9Yh3clp}hkdPo{QTqRzwv|GPS@mE-(&x%ivOp6 zMk+xu9`}HDoZL|f`lw}6->`8PDy5*4_C&|qiT?EKTI!OjwRabuw4i!RKu>LBKbH}D zwbW-a1WcDWYesP?P1+vchw|C@bjWD6*3UWX7aa4{Q`Rod+Re2)^BSB~18z2k`Sd@G zlKKwwtA}&y8@#;p5a<1%w}h9!48NgFe;Gb6ExZIb6vuEGMmUbk(mfqrbr|@H3-3q= znNIqAc${PUr2t7lw!be5*>aHZs-x3}s;lsblb$)0t09=*&(`@t_5?+0Fp?h{{1}zy zfV4Zn2(lSUbR8hXfeZ&gvIPP8En4Y!ZE43P45a=iK$t&w7zzKtnZ+Hn(gqURKpU-{ zvY(!8%cVY=9gX5zw6dHLX`d|sj*O2Qwt zf6y~~%hn84Ps{J87t}4guMG|H$s0D>WRrt;-oAWAn`Gbb_N5Ek&^n=HY4E-_ z+2G*V*yYQK#HC9|j~+WVFwoP}*LUE+#f!Zkwzt3f>R^yb3>!hpxge%g3(94_%S9nVAFL-?5}J6GCx|oP6}^TTbcdmBb}84}HrK z}hEt^;&7C=Mf2Kxs0HG^?YO zHq)rhM)d;B`lcAjuxCGE=4NV)!oi!p^oeUn8}M39O!b#Kqznja6p+RneRJ3y&iBqdfw#kD4`f3Ccv=RkF=lfY z6T0~f=H<7YFkR*82i9$iHkA_QOrpK2GG6bvnt#}F;&J%rrR_0WJ-easr|ol~{NCE9 zQp}$r^}-Y0n@%K8@Rd;Q-rbAluefGjKtoK)6=y-m95^H1Nt25qu|q37Tf}JRoWV_T z-;@t~coj$Q&MMC93&FT@^ls9eMdbVA^#dkW6Jr!}&O~w7mmzlaZdKI3hn;CVL0#71 z!au7zVKZH7*~6j-Mgh}h3{*n#G|Gu+D4bWNAt8h4o_I|Ut1hDdo%)wZlg2tP#7&^*ve z$Tm>Ug}@fa=T9`-(d7h`G`_W~5_hR~S4wK;mHxVCSEaZ0P3mu2ZQoldZ3L{tk}AAy zZ$_FthbsrXkquIRh&+CDISDS@J11|1weGECx~09R62AD3F5FBwB^Sm+sBz4=MWQE7 z&C%s5{^&hQK7F=kr_-qP@9DBF4nbW>Ee~q5SNGy#X#CiKQKlQxr(FG;`}f)u>F0! zAQ$HjP4x~zQC->Fv^^%Q^+qolzp^}kJQBT%$0n}d{>Y5g`KO8X6?BGQtgWEQ_CS>X z>NZ?n-tNZB^BOPYdI#FPY?pT#pVG5xLlPhi$xAtWYNu$Yr#vpMa7-4Lxy`y56R#GR z(@N%ib$o9$$;-t|K^dV~P|Ro`zE?~E>xH0<5Cp{x0GRNKD}awEf33gro&>k&z0Nr& zJ^2mj6+SUC&&`5vwWsR@b>$yI(La9v@$-+L|L^{|2}+5ldx@%`vg&_LQRV+%SydWIGx&+O`I1UQ^jG7GbZ?1C1h}?C zgWjO4_^X*LGmaVPQM`Y#&-^)OAK~(Q{NU{3#`kdi{Ur-kr^*22V@|;zSHU~9xW9UT zASEpFCa)E%P8ce|xn1$z>)ue<&t``g(OLb~@388O-s;wjIuuu0g*&~0*4}E5z;$%B zJB5kWd5zLLCX7{?uJElLC3PPJNAMdy771Ybt2YS8<{Ecd$YF11Z%Ig_#E-*tu~a9f z;lNeYjXik{;#ilEDg})(uOS#K4aD!4zad|}JlwyF8g`Cxyp?F+y}Kz8;hZ98$?O~U zjB%j-+ldC_E|19dmWaDkN8YVYzSmdXbG5%@@xZPw=49ExJUXjoK*jnd(*hoxh1^;O zNT}=n>JD1nzgo=fih<}BJ;UVK&-7R0e&{@KtVV}eChfDly-TcTv&H%J1*8za72qBd zX-|Z(+Z)WSp4@#~bsyBwfPyCH2tTZn<9dRVt2HXAwAty>^5Y-IU#3!jE9yU~6v$NZ z7O32XE9+|OmY@IWFj>A)x_cw|N9kUGgo%)D$4F4=H!Z)*M6f+C=%#{4 zi-W3?;2lKpKb1i{75pU?yon5|GlMUigC{k??u_8e+TeL@g1nVK)zT|cqI6@Cgu_hu zn1=}RUOeD&)Y;&hJ?8VOga->F%(vOL2F~m9RROrjj2YpN?Vf|O1 z^(9~W)`ah>|N9pD+MT)Q@KT5yEcN|NeVwJg=Vjx0vlWSl3<*`Gd5v0lT#bIoO6!=< z`h-tdSOO@`=bYK+gr}zobV=~%)9^B$!{bSrBA;Y|gLzkB{hm*F-YSHx-Ip1W#m7zf zD2IpeXAA^n9^*McBB~Cx=L!FA?QYLQi;#q$CVAQ7UqZ6@cS(sx5QGE+ggizo6Oy^U zw;?%WI2V45&anAK;>Z(jz$AS)z0n?ECdm-q*aTg`zTfi!Ys7c#<8GD71ryf{3xYH8-!LqQRDU}do+ewSTdG9MbWntrH(4z@;|sJ{ zzml!Ggz}oLx&+#)OYF~2$hPX}Tu2i`qn>x%Y`vX}LK5nF^L2^8Yrc;EzUtpquc`K` z0;&&He}>;-6{*fq+tjnwHR`46HEL;DO}4MMYD?3m4YHZQZLOPQxR91M#n;qCe+zA2 zKY+(|)@>V>K7Fluc+0vq54Osmz=p>y`=NnBDf+>tbz7y?4UC^K{CA@X!3Wkn`XIe! z&9;dEfKS^fyupUzQVhP{24dZH?g{F)ZCoc~7?$h=y1w2{;FN(~eJ6S()5`ppEc?yz z&GId4yV0&+R2pLCVGqCZ`<;!xO0n3XOf>j#$d&I(N`x%eTc9~9yrG_SRcpS(*N3)D zWAbK!J@RG&cl6qBftcB(>HEYDyaXvSqErGGzhG>RC7#KS!pI+`B2gHJM@*^U9lof! z3V=^`QN1uxB)%`1MPMf-lI3X85D`qpwWDQ+a&UXNU%V9@Fq0&nlmRmmKPrwdc*F;3 zArrOefoS0uRD8)JJ~Z$H$)_> z?j;%8iW0X$8=Re??I>}p&{2^(wR1!T_)b0W5Sc-A#A_sYNS~jdFXf=cfK>7-FQGms zJqG|-K}h7qYvjBztNd$ub&TirALDubM+TJBMcSGHRm!u@*TH62!HhtwlEE$7q%)i2 zlj6&6gVc)kS=fpd{B>r?#a(WNw_2p#c`0VCZ;t0d-vNhKuxh;|%q&Tm^F#w}YzMd3 zAiS*gW@3njE{QK$>nkP-^{x9^?Qt!ua(qr*==0J-cScW97nKq%tWL~>W;LCpbWS7Z zRRBr+gkzP*$N`8}%&YG7WOJ(^*LPWkL$i4Mi>s&$+dI0gTF+YFyIpCF)P>Y_O$99p zRWXmg1TAS+K-Ja{C1+J=vW7z`uLu=5dhpn3?Z73N+BG9uc*-pW)tC%)l$cIm<(Vx$ zS2@$F6ISbl$vUPD9T3)jfK|?=2AL<(&ohtsTw_A14&Lnty5>?B%|s_MXGPcqf336X z+EaZY;aj>uPcgxg-$?#8r4D<-p1zRd(?CyBqYR~>x{`Q3;UXJe*Z#6L5Ve_7d;)FI zv{#gvMqlGK1+xq8B$Q;PqQE&EGFK2xC6R9$y{k6iAcp%!qp?VI)MXk2#Ui4MxRjL< z7daMjsj?$3wL0Qrh_qY*{}u?(gEw@3i_vkQP=b-qb@cJ;>mJCH^j`&Bn>dvTQZ6-3p