diff --git a/TSConf-lite.qsf b/TSConf-lite.qsf
index 55da076..56f4d19 100644
--- a/TSConf-lite.qsf
+++ b/TSConf-lite.qsf
@@ -374,6 +374,7 @@ set_global_assignment -name VHDL_FILE src/rtc/mc146818a.vhd
set_global_assignment -name VHDL_FILE src/sound/soundrive.vhd
set_global_assignment -name VHDL_FILE src/sound/turbosound.vhd
set_global_assignment -name VHDL_FILE src/sound/ay8910.vhd
+set_global_assignment -name VHDL_FILE src/sound/gs.vhd
set_global_assignment -name VERILOG_FILE src/memory/dma.v
set_global_assignment -name VERILOG_FILE src/memory/arbiter.v
set_global_assignment -name VERILOG_FILE src/video/video_ts_render.v
@@ -391,7 +392,8 @@ set_global_assignment -name VERILOG_FILE src/video/mem/video_tmbuf.v
set_global_assignment -name VERILOG_FILE src/video/mem/video_sfile.v
set_global_assignment -name VERILOG_FILE src/video/mem/video_cram.v
set_global_assignment -name VERILOG_FILE src/video/video_top.v
-set_global_assignment -name VHDL_FILE src/rom.vhd
+set_global_assignment -name VHDL_FILE src/gen_rom.vhd
+set_global_assignment -name VHDL_FILE src/gen_ram.vhd
set_global_assignment -name VHDL_FILE src/keyboard.vhd
set_global_assignment -name VERILOG_FILE src/kempston_mouse.v
set_global_assignment -name VERILOG_FILE src/spi.v
diff --git a/TSConf.qsf b/TSConf.qsf
index ed721a8..612f56d 100644
--- a/TSConf.qsf
+++ b/TSConf.qsf
@@ -380,6 +380,7 @@ set_global_assignment -name VHDL_FILE src/rtc/mc146818a.vhd
set_global_assignment -name VHDL_FILE src/sound/soundrive.vhd
set_global_assignment -name VHDL_FILE src/sound/turbosound.vhd
set_global_assignment -name VHDL_FILE src/sound/ay8910.vhd
+set_global_assignment -name VHDL_FILE src/sound/gs.vhd
set_global_assignment -name VERILOG_FILE src/memory/dma.v
set_global_assignment -name VERILOG_FILE src/memory/arbiter.v
set_global_assignment -name VERILOG_FILE src/video/video_ts_render.v
@@ -397,7 +398,8 @@ set_global_assignment -name VERILOG_FILE src/video/mem/video_tmbuf.v
set_global_assignment -name VERILOG_FILE src/video/mem/video_sfile.v
set_global_assignment -name VERILOG_FILE src/video/mem/video_cram.v
set_global_assignment -name VERILOG_FILE src/video/video_top.v
-set_global_assignment -name VHDL_FILE src/rom.vhd
+set_global_assignment -name VHDL_FILE src/gen_rom.vhd
+set_global_assignment -name VHDL_FILE src/gen_ram.vhd
set_global_assignment -name VHDL_FILE src/keyboard.vhd
set_global_assignment -name VERILOG_FILE src/kempston_mouse.v
set_global_assignment -name VERILOG_FILE src/spi.v
diff --git a/TSConf.sv b/TSConf.sv
index 159e744..37f2216 100644
--- a/TSConf.sv
+++ b/TSConf.sv
@@ -112,12 +112,12 @@ localparam CONF_STR = {
"O5,Aspect ratio,4:3,16:9;",
"O12,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;",
"O34,Stereo mix,None,25%,50%,100%;",
+ "OS,General Sound,Enabled,Disabled;",
"-;",
"O67,CPU Speed,3.5MHz,7MHz,14MHz;",
"O8,CPU Cache,On,Off;",
"O9A,#7FFD span,128K,128K Auto,1024K,512K;",
"OLN,ZX Palette,Default,B.black,Light,Pale,Dark,Grayscale,Custom;",
- "OO,NGS Reset,Off,On;",
"OPR,INT Offset,2,3,4,5,6,7,0,1;",
"-;",
"OBD,F11 Reset,boot.$C,sys.rom,ROM #00,ROM #04,RAM #F8;",
@@ -142,7 +142,7 @@ assign CMOSCfg[15:14]= status[15:14];
assign CMOSCfg[18:16]= status[18:16];
assign CMOSCfg[20:19]= status[20:19] + 2'd2;
assign CMOSCfg[23:21]= status[23:21];
-assign CMOSCfg[24] = status[24];
+assign CMOSCfg[24] = 0;
assign CMOSCfg[27:25]= status[27:25] + 3'd2;
@@ -152,6 +152,7 @@ wire locked;
wire clk_mem;
wire clk_sys;
wire clk_28m;
+wire clk_21m;
pll pll
(
@@ -161,6 +162,7 @@ pll pll
.outclk_1(SDRAM_CLK),
.outclk_2(clk_sys),
.outclk_3(clk_28m),
+ .outclk_4(clk_21m),
.locked(locked)
);
@@ -232,14 +234,13 @@ wire HBlank,VBlank;
wire VSync, HSync;
wire ce_vid;
-wire [10:0] laudio, raudio;
-
wire reset;
tsconf tsconf
(
.clk_84mhz(clk_mem),
.clk_28mhz(clk_28m),
+ .clk_21mhz(clk_21m),
.SDRAM_DQ(SDRAM_DQ),
.SDRAM_A(SDRAM_A),
@@ -266,8 +267,9 @@ tsconf tsconf
.SD_CLK(sdclk),
.SD_CS_N(sdss),
- .SOUND_L(laudio),
- .SOUND_R(raudio),
+ .GS_ENA(~status[28]),
+ .SOUND_L(AUDIO_L),
+ .SOUND_R(AUDIO_R),
.COLD_RESET(RESET | status[0]),
.WARM_RESET(buttons[1]),
@@ -281,8 +283,6 @@ tsconf tsconf
.joystick(joy_0[5:0] | joy_1[5:0])
);
-assign AUDIO_R = {raudio, 5'd0};
-assign AUDIO_L = {laudio, 5'd0};
assign AUDIO_S = 0;
assign AUDIO_MIX = status[4:3];
diff --git a/src/gen_ram.vhd b/src/gen_ram.vhd
new file mode 100644
index 0000000..2eb903d
--- /dev/null
+++ b/src/gen_ram.vhd
@@ -0,0 +1,84 @@
+-- -----------------------------------------------------------------------
+--
+-- Syntiac's generic VHDL support files.
+--
+-- -----------------------------------------------------------------------
+-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com)
+-- http://www.syntiac.com/fpga64.html
+--
+-- Modified April 2016 by Dar (darfpga@aol.fr)
+-- http://darfpga.blogspot.fr
+-- Remove address register when writing
+--
+-- -----------------------------------------------------------------------
+--
+-- gen_rwram.vhd
+--
+-- -----------------------------------------------------------------------
+--
+-- generic ram.
+--
+-- -----------------------------------------------------------------------
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.ALL;
+
+-- -----------------------------------------------------------------------
+
+entity gen_ram is
+ generic (
+ dWidth : integer := 8;
+ aWidth : integer := 10
+ );
+ port (
+ clk : in std_logic;
+ we : in std_logic;
+ addr : in std_logic_vector((aWidth-1) downto 0);
+ d : in std_logic_vector((dWidth-1) downto 0);
+ q : out std_logic_vector((dWidth-1) downto 0)
+ );
+end entity;
+
+-- -----------------------------------------------------------------------
+
+architecture rtl of gen_ram is
+ subtype addressRange is integer range 0 to ((2**aWidth)-1);
+ type ramDef is array(addressRange) of std_logic_vector((dWidth-1) downto 0);
+ signal ram: ramDef;
+
+ signal rAddrReg : std_logic_vector((aWidth-1) downto 0);
+ signal qReg : std_logic_vector((dWidth-1) downto 0);
+begin
+-- -----------------------------------------------------------------------
+-- Signals to entity interface
+-- -----------------------------------------------------------------------
+-- q <= qReg;
+
+-- -----------------------------------------------------------------------
+-- Memory write
+-- -----------------------------------------------------------------------
+ process(clk)
+ begin
+ if rising_edge(clk) then
+ if we = '1' then
+ ram(to_integer(unsigned(addr))) <= d;
+ end if;
+ end if;
+ end process;
+
+-- -----------------------------------------------------------------------
+-- Memory read
+-- -----------------------------------------------------------------------
+process(clk)
+ begin
+ if rising_edge(clk) then
+-- qReg <= ram(to_integer(unsigned(rAddrReg)));
+-- rAddrReg <= addr;
+---- qReg <= ram(to_integer(unsigned(addr)));
+ q <= ram(to_integer(unsigned(addr)));
+ end if;
+ end process;
+--q <= ram(to_integer(unsigned(addr)));
+end architecture;
+
diff --git a/src/gen_rom.vhd b/src/gen_rom.vhd
new file mode 100644
index 0000000..b254f67
--- /dev/null
+++ b/src/gen_rom.vhd
@@ -0,0 +1,65 @@
+-- altera message_off 10306
+
+library ieee;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.ALL;
+use IEEE.numeric_std.all;
+
+entity gen_rom is
+
+ generic
+ (
+ INIT_FILE : string := "";
+ ADDR_WIDTH : natural := 14;
+ DATA_WIDTH : natural := 8
+ );
+
+ port
+ (
+ wrclock : in std_logic;
+ wraddress : in std_logic_vector((ADDR_WIDTH - 1) downto 0) := (others => '0');
+ data : in std_logic_vector((DATA_WIDTH - 1) downto 0) := (others => '0');
+ wren : in std_logic := '0';
+
+ rdclock : in std_logic;
+ rdaddress : in std_logic_vector((ADDR_WIDTH - 1) downto 0);
+ q : out std_logic_vector((DATA_WIDTH - 1) downto 0);
+ cs : in std_logic := '1'
+ );
+
+end gen_rom;
+
+architecture rtl of gen_rom is
+
+ subtype word_t is std_logic_vector((DATA_WIDTH-1) downto 0);
+ type memory_t is array(2**ADDR_WIDTH-1 downto 0) of word_t;
+
+ shared variable ram : memory_t;
+
+ attribute ram_init_file : string;
+ attribute ram_init_file of ram : variable is INIT_FILE;
+
+ signal q0 : std_logic_vector((DATA_WIDTH - 1) downto 0);
+
+
+begin
+
+ q<= q0 when cs = '1' else (others => '1');
+
+ -- WR Port
+ process(wrclock) begin
+ if(rising_edge(wrclock)) then
+ if(wren = '1') then
+ ram(to_integer(unsigned(wraddress))) := data;
+ end if;
+ end if;
+ end process;
+
+ -- RD Port
+ process(rdclock) begin
+ if(rising_edge(rdclock)) then
+ q0 <= ram(to_integer(unsigned(rdaddress)));
+ end if;
+ end process;
+
+end rtl;
diff --git a/src/rom.vhd b/src/rom.vhd
deleted file mode 100644
index 66b9418..0000000
--- a/src/rom.vhd
+++ /dev/null
@@ -1,144 +0,0 @@
--- megafunction wizard: %ROM: 1-PORT%
--- GENERATION: STANDARD
--- VERSION: WM1.0
--- MODULE: altsyncram
-
--- ============================================================
--- File Name: rom.vhd
--- Megafunction Name(s):
--- altsyncram
---
--- Simulation Library Files(s):
--- altera_mf
--- ============================================================
--- ************************************************************
--- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
---
--- 17.0.1 Build 598 06/07/2017 SJ Standard Edition
--- ************************************************************
-
-
---Copyright (C) 2017 Intel Corporation. All rights reserved.
---Your use of Intel Corporation's design tools, logic functions
---and other software and tools, and its AMPP partner logic
---functions, and any output files from any of the foregoing
---(including device programming or simulation files), and any
---associated documentation or information are expressly subject
---to the terms and conditions of the Intel Program License
---Subscription Agreement, the Intel Quartus Prime License Agreement,
---the Intel MegaCore Function License Agreement, or other
---applicable license agreement, including, without limitation,
---that your use is for the sole purpose of programming logic
---devices manufactured by Intel and sold by Intel or its
---authorized distributors. Please refer to the applicable
---agreement for further details.
-
-
-LIBRARY ieee;
-USE ieee.std_logic_1164.all;
-
-LIBRARY altera_mf;
-USE altera_mf.altera_mf_components.all;
-
-ENTITY rom IS
- PORT
- (
- address : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
- clock : IN STD_LOGIC := '1';
- q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
- );
-END rom;
-
-
-ARCHITECTURE SYN OF rom IS
-
- SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);
-
-BEGIN
- q <= sub_wire0(7 DOWNTO 0);
-
- altsyncram_component : altsyncram
- GENERIC MAP (
- address_aclr_a => "NONE",
- clock_enable_input_a => "BYPASS",
- clock_enable_output_a => "BYPASS",
- init_file => "./loader_fat32/loader.mif",
- intended_device_family => "Cyclone IV E",
- lpm_hint => "ENABLE_RUNTIME_MOD=NO",
- lpm_type => "altsyncram",
- numwords_a => 8192,
- operation_mode => "ROM",
- outdata_aclr_a => "NONE",
- outdata_reg_a => "UNREGISTERED",
- widthad_a => 13,
- width_a => 8,
- width_byteena_a => 1
- )
- PORT MAP (
- address_a => address,
- clock0 => clock,
- q_a => sub_wire0
- );
-
-
-
-END SYN;
-
--- ============================================================
--- CNX file retrieval info
--- ============================================================
--- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
--- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
--- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
--- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
--- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
--- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
--- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
--- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
--- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
--- Retrieval info: PRIVATE: Clken NUMERIC "0"
--- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
--- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
--- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
--- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
--- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
--- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
--- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
--- Retrieval info: PRIVATE: MIFfilename STRING "./src/loader_fat32/loader.mif"
--- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "8192"
--- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
--- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
--- Retrieval info: PRIVATE: RegOutput NUMERIC "0"
--- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
--- Retrieval info: PRIVATE: SingleClock NUMERIC "1"
--- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
--- Retrieval info: PRIVATE: WidthAddr NUMERIC "13"
--- Retrieval info: PRIVATE: WidthData NUMERIC "8"
--- Retrieval info: PRIVATE: rden NUMERIC "0"
--- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
--- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
--- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
--- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
--- Retrieval info: CONSTANT: INIT_FILE STRING "./src/loader_fat32/loader.mif"
--- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
--- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
--- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
--- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "8192"
--- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
--- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
--- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
--- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13"
--- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
--- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
--- Retrieval info: USED_PORT: address 0 0 13 0 INPUT NODEFVAL "address[12..0]"
--- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
--- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]"
--- Retrieval info: CONNECT: @address_a 0 0 13 0 address 0 0 13 0
--- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
--- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
--- Retrieval info: GEN_FILE: TYPE_NORMAL rom.vhd TRUE
--- Retrieval info: GEN_FILE: TYPE_NORMAL rom.inc FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL rom.cmp FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL rom.bsf FALSE
--- Retrieval info: GEN_FILE: TYPE_NORMAL rom_inst.vhd FALSE
--- Retrieval info: LIB_FILE: altera_mf
diff --git a/src/sound/gs.vhd b/src/sound/gs.vhd
new file mode 100644
index 0000000..b188d3f
--- /dev/null
+++ b/src/sound/gs.vhd
@@ -0,0 +1,330 @@
+-------------------------------------------------------------------[04.10.2015]
+-- General Sound
+-------------------------------------------------------------------------------
+-- 01.11.2011 первая версия
+-- 19.12.2011 CPU @ 84MHz, подтверждение INT#
+-- 10.05.2013 исправлен bit7_flag, bit0_flag
+-- 29.05.2013 добавлена громкость каналов, CPU @ 21MHz
+-- 21.07.2013 исправлен int_n
+
+-- CPU: Z80
+-- ROM: 32K
+-- RAM: 384K
+-- INT: 37.5KHz
+
+-- #xxBB Command register - регистр команд, доступный для записи
+-- #xxBB Status register - регистр состояния, доступный для чтения
+-- bit 7 флаг данных
+-- bit <6:1> Не определен
+-- bit 0 флаг команд. Этот регистр позволяет определить состояние GS, в частности можно ли прочитать или записать очередной байт данных, или подать очередную команду, и т.п.
+-- #xxB3 Data register - регистр данных, доступный для записи. В этот регистр Спектрум записывает данные, например, это могут быть аргументы команд.
+-- #xxB3 Output register - регистр вывода, доступный для чтения. Из этого регистра Спектрум читает данные, идущие от GS
+
+-- Внутренние порта:
+-- #xx00 "расширенная память" - регистр доступный для записи
+-- bit <3:0> переключают страницы по 32Kb, страница 0 - ПЗУ
+-- bit <7:0> не используются
+
+-- порты 1 - 5 "обеспечивают связь с SPECTRUM'ом"
+-- #xx01 чтение команды General Sound'ом
+-- bit <7:0> код команды
+-- #xx02 чтение данных General Sound'ом
+-- bit <7:0> данные
+-- #xx03 запись данных General Sound'ом для SPECTRUM'a
+-- bit <7:0> данные
+-- #xx04 чтение слова состояния General Sound'ом
+-- bit 0 флаг команд
+-- bit 7 флаг данных
+-- #xx05 сбрасывает бит D0 (флаг команд) слова состояния
+
+-- порты 6 - 9 "регулировка громкости" в каналах 1 - 4
+-- #xx06 "регулировка громкости" в канале 1
+-- bit <5:0> громкость
+-- bit <7:6> не используются
+-- #xx07 "регулировка громкости" в канале 2
+-- bit <5:0> громкость
+-- bit <7:6> не используются
+-- #xx08 "регулировка громкости" в канале 3
+-- bit <5:0> громкость
+-- bit <7:6> не используются
+-- #xx09 "регулировка громкости" в канале 4
+-- bit <5:0> громкость
+-- bit <7:6> не используются
+
+-- #xx0A устанавливает бит 7 слова состояния не равным биту 0 порта #xx00
+-- #xx0B устанавливает бит 0 слова состояния равным биту 5 порта #xx06
+
+--Распределение памяти
+--#0000 - #3FFF - первые 16Kb ПЗУ
+--#4000 - #7FFF - первые 16Kb первой страницы ОЗУ
+--#8000 - #FFFF - листаемые страницы по 32Kb
+-- страница 0 - ПЗУ,
+-- страница 1 - первая страница ОЗУ
+-- страницы 2... ОЗУ
+
+--Данные в каналы заносятся при чтении процессором ОЗУ по адресам #6000 - #7FFF автоматически.
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.std_logic_unsigned.all;
+use IEEE.numeric_std.all;
+use IEEE.STD_LOGIC_ARITH.all;
+
+entity gs is
+Port (
+ RESET : in std_logic;
+ CLK : in std_logic;
+ CLKGS : in std_logic;
+ A : in std_logic_vector(15 downto 0);
+ DI : in std_logic_vector(7 downto 0);
+ DO : out std_logic_vector(7 downto 0);
+ WR_n : in std_logic;
+ RD_n : in std_logic;
+ IORQ_n : in std_logic;
+ M1_n : in std_logic;
+ OUTA : out std_logic_vector(13 downto 0);
+ OUTB : out std_logic_vector(13 downto 0);
+ OUTC : out std_logic_vector(13 downto 0);
+ OUTD : out std_logic_vector(13 downto 0)
+);
+end gs;
+
+architecture gs_unit of gs is
+ signal port_xxbb_reg : std_logic_vector(7 downto 0);
+ signal port_xxb3_reg : std_logic_vector(7 downto 0);
+ signal port_xx00_reg : std_logic_vector(7 downto 0);
+ signal port_xx03_reg : std_logic_vector(7 downto 0);
+ signal port_xx06_reg : std_logic_vector(5 downto 0);
+ signal port_xx07_reg : std_logic_vector(5 downto 0);
+ signal port_xx08_reg : std_logic_vector(5 downto 0);
+ signal port_xx09_reg : std_logic_vector(5 downto 0);
+ signal ch_a_reg : std_logic_vector(7 downto 0);
+ signal ch_b_reg : std_logic_vector(7 downto 0);
+ signal ch_c_reg : std_logic_vector(7 downto 0);
+ signal ch_d_reg : std_logic_vector(7 downto 0);
+ signal bit7_flag : std_logic;
+ signal bit0_flag : std_logic;
+ signal cnt : std_logic_vector(9 downto 0);
+ signal int_n : std_logic;
+ signal out_a : std_logic_vector(13 downto 0);
+ signal out_b : std_logic_vector(13 downto 0);
+ signal out_c : std_logic_vector(13 downto 0);
+ signal out_d : std_logic_vector(13 downto 0);
+
+ -- CPU
+ signal cpu_m1_n : std_logic;
+ signal cpu_mreq_n : std_logic;
+ signal cpu_iorq_n : std_logic;
+ signal cpu_rd_n : std_logic;
+ signal cpu_wr_n : std_logic;
+ signal cpu_a_bus : std_logic_vector(15 downto 0);
+ signal cpu_di_bus : std_logic_vector(7 downto 0);
+ signal cpu_do_bus : std_logic_vector(7 downto 0);
+
+ signal ram_we : std_logic;
+ signal ram_en : std_logic;
+ signal rom_do : std_logic_vector(7 downto 0);
+ signal ram1_do : std_logic_vector(7 downto 0);
+ signal ram2_do : std_logic_vector(7 downto 0);
+ signal mem_do : std_logic_vector(7 downto 0);
+ signal ram_addr : std_logic_vector(18 downto 0);
+begin
+
+z80_unit: entity work.T80s
+generic map (
+ Mode => 0, -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
+ T2Write => 1, -- 0 => WR_n active in T3, 1 => WR_n active in T2
+ IOWait => 1) -- 0 => Single cycle I/O, 1 => Std I/O cycle
+port map (
+ RESET_n => not RESET,
+ CLK_n => not CLKGS,
+ WAIT_n => '1',
+ INT_n => int_n,
+ NMI_n => '1',
+ BUSRQ_n => '1',
+ M1_n => cpu_m1_n,
+ MREQ_n => cpu_mreq_n,
+ IORQ_n => cpu_iorq_n,
+ RD_n => cpu_rd_n,
+ WR_n => cpu_wr_n,
+ RFSH_n => open,
+ HALT_n => open,
+ BUSAK_n => open,
+ A => cpu_a_bus,
+ DI => cpu_di_bus,
+ DO => cpu_do_bus,
+ SavePC => open,
+ SaveINT => open,
+ RestorePC => (others => '1'),
+ RestoreINT => (others => '1'),
+ RestorePC_n => '1');
+
+
+process (CLKGS, cnt)
+begin
+ if CLKGS'event and CLKGS = '1' then
+ cnt <= cnt + 1;
+ if cnt = "1000110000" then -- 21MHz / 560 = 0.0375MHz = 37.5kHz
+ cnt <= (others => '0');
+ end if;
+ end if;
+end process;
+
+-- INT#
+process (CLKGS, cpu_iorq_n, cpu_m1_n, cnt)
+begin
+ if cpu_iorq_n = '0' and cpu_m1_n = '0' then
+ int_n <= '1';
+ elsif CLKGS'event and CLKGS = '1' then
+ if cnt = "1000110000" then
+ int_n <= '0';
+ end if;
+ end if;
+end process;
+
+process (CLKGS, cpu_iorq_n, cpu_m1_n, cpu_a_bus, IORQ_n, RD_n, A, WR_n)
+begin
+ if (cpu_iorq_n = '0' and cpu_m1_n = '1' and cpu_a_bus(3 downto 0) = X"2") or (IORQ_n = '0' and RD_n = '0' and A(7 downto 0) = X"B3") then
+ bit7_flag <= '0';
+ elsif (cpu_iorq_n = '0' and cpu_m1_n = '1' and cpu_a_bus(3 downto 0) = X"3") or (IORQ_n = '0' and WR_n = '0' and A(7 downto 0) = X"B3") then
+ bit7_flag <= '1';
+ elsif CLKGS'event and CLKGS = '1' then
+ if (cpu_iorq_n = '0' and cpu_m1_n = '1' and cpu_a_bus(3 downto 0) = X"A") then
+ bit7_flag <= not port_xx00_reg(0);
+ end if;
+ end if;
+end process;
+
+process (CLKGS, cpu_iorq_n, cpu_m1_n, cpu_a_bus, IORQ_n, RD_n, A, WR_n)
+begin
+ if cpu_iorq_n = '0' and cpu_m1_n = '1' and cpu_a_bus(3 downto 0) = X"5" then
+ bit0_flag <= '0';
+ elsif IORQ_n = '0' and WR_n = '0' and A(7 downto 0) = X"BB" then
+ bit0_flag <= '1';
+ elsif CLKGS'event and CLKGS = '1' then
+ if (cpu_iorq_n = '0' and cpu_m1_n = '1' and cpu_a_bus(3 downto 0) = X"B") then
+ bit0_flag <= port_xx06_reg(5);
+ end if;
+ end if;
+end process;
+
+process (CLK, A, IORQ_n, WR_n, RESET)
+begin
+ -- запись со стороны спектрума
+ if RESET = '1' then
+ port_xxbb_reg <= (others => '0');
+ port_xxb3_reg <= (others => '0');
+ elsif CLK'event and CLK = '1' then
+ if IORQ_n = '0' and WR_n = '0' and A(7 downto 0) = X"BB" then port_xxbb_reg <= DI; end if;
+ if IORQ_n = '0' and WR_n = '0' and A(7 downto 0) = X"B3" then port_xxb3_reg <= DI; end if;
+ end if;
+end process;
+
+process (A, bit7_flag, bit0_flag, port_xx03_reg)
+begin
+ -- чтение со стороны спектрума
+ if A(3) = '1' then -- port #xxBB
+ DO <= bit7_flag & "111111" & bit0_flag;
+ else -- port #xxB3
+ DO <= port_xx03_reg;
+ end if;
+end process;
+
+process (CLKGS, RESET, cpu_a_bus, cpu_m1_n, port_xx00_reg)
+begin
+ if RESET = '1' then
+ port_xx00_reg <= (others => '0');
+ port_xx03_reg <= (others => '0');
+ port_xx06_reg <= (others => '0');
+ port_xx07_reg <= (others => '0');
+ port_xx08_reg <= (others => '0');
+ port_xx09_reg <= (others => '0');
+ ch_a_reg <= (others => '0');
+ ch_b_reg <= (others => '0');
+ ch_c_reg <= (others => '0');
+ ch_d_reg <= (others => '0');
+
+ elsif CLKGS'event and CLKGS = '1' then
+ if cpu_iorq_n = '0' and cpu_wr_n = '0' and cpu_a_bus(3 downto 0) = X"0" then port_xx00_reg <= cpu_do_bus; end if;
+ if cpu_iorq_n = '0' and cpu_wr_n = '0' and cpu_a_bus(3 downto 0) = X"3" then port_xx03_reg <= cpu_do_bus; end if;
+ if cpu_iorq_n = '0' and cpu_wr_n = '0' and cpu_a_bus(3 downto 0) = X"6" then port_xx06_reg <= cpu_do_bus(5 downto 0); end if;
+ if cpu_iorq_n = '0' and cpu_wr_n = '0' and cpu_a_bus(3 downto 0) = X"7" then port_xx07_reg <= cpu_do_bus(5 downto 0); end if;
+ if cpu_iorq_n = '0' and cpu_wr_n = '0' and cpu_a_bus(3 downto 0) = X"8" then port_xx08_reg <= cpu_do_bus(5 downto 0); end if;
+ if cpu_iorq_n = '0' and cpu_wr_n = '0' and cpu_a_bus(3 downto 0) = X"9" then port_xx09_reg <= cpu_do_bus(5 downto 0); end if;
+
+ if cpu_mreq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(15 downto 13) = "011" and cpu_a_bus(9 downto 8) = "00" then ch_a_reg <= ram1_do; end if;
+ if cpu_mreq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(15 downto 13) = "011" and cpu_a_bus(9 downto 8) = "01" then ch_b_reg <= ram1_do; end if;
+ if cpu_mreq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(15 downto 13) = "011" and cpu_a_bus(9 downto 8) = "10" then ch_c_reg <= ram1_do; end if;
+ if cpu_mreq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(15 downto 13) = "011" and cpu_a_bus(9 downto 8) = "11" then ch_d_reg <= ram1_do; end if;
+ end if;
+end process;
+
+-- Шина данных CPU
+cpu_di_bus <=
+ mem_do when (cpu_mreq_n = '0' and cpu_rd_n = '0') else
+ bit7_flag & "111111" & bit0_flag when (cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(3 downto 0) = X"4") else
+ port_xxbb_reg when (cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(3 downto 0) = X"1") else
+ port_xxb3_reg when (cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(3 downto 0) = X"2") else
+ "11111111";
+
+OUTA <= ch_a_reg * port_xx06_reg;
+OUTB <= ch_b_reg * port_xx07_reg;
+OUTC <= ch_c_reg * port_xx08_reg;
+OUTD <= ch_d_reg * port_xx09_reg;
+
+ram_en <= '1' when cpu_a_bus(15 downto 14) = "01" or (cpu_a_bus(15) = '1' and port_xx00_reg(3 downto 0) /= "0000") else '0';
+ram_we <= not cpu_wr_n and not cpu_mreq_n and ram_en;
+
+ram_addr <=
+ "00000" & cpu_a_bus(13 downto 0) when cpu_a_bus(15) = '0' else
+ (port_xx00_reg(3 downto 0) - "0001") & cpu_a_bus(14 downto 0);
+
+mem_do <=
+ rom_do when ram_en = '0' else
+ ram1_do when cpu_a_bus(15 downto 14) = "01" or (cpu_a_bus(15) = '1' and port_xx00_reg(3 downto 0) /= "0000" and ram_addr(18) = '0') else
+ ram2_do when cpu_a_bus(15) = '1' and port_xx00_reg(3 downto 0) /= "0000" and ram_addr(18 downto 17) = "10" else
+ x"FF";
+
+ROM: entity work.gen_rom
+generic map
+(
+ INIT_FILE => "src/sound/gs105a.mif ",
+ ADDR_WIDTH => 15
+)
+port map
+(
+ wrclock => CLKGS,
+ rdclock => CLKGS,
+ rdaddress => cpu_a_bus(14 downto 0),
+ q => rom_do
+);
+
+-- 256KB
+RAM1: entity work.gen_ram
+generic map (
+ aWidth => 18
+)
+port map
+(
+ clk => CLKGS,
+ we => ram_we and not ram_addr(18),
+ addr => ram_addr(17 downto 0),
+ d => cpu_do_bus,
+ q => ram1_do
+);
+
+-- 128KB
+RAM2: entity work.gen_ram
+generic map (
+ aWidth => 17
+)
+port map
+(
+ clk => CLKGS,
+ we => ram_we and ram_addr(18) and not ram_addr(17),
+ addr => ram_addr(16 downto 0),
+ d => cpu_do_bus,
+ q => ram2_do
+);
+
+end gs_unit;
\ No newline at end of file
diff --git a/src/sound/gs105a.mif b/src/sound/gs105a.mif
new file mode 100644
index 0000000..63713f5
--- /dev/null
+++ b/src/sound/gs105a.mif
@@ -0,0 +1,29029 @@
+-- Copyright (C) 2017 Intel Corporation. All rights reserved.
+-- Your use of Intel Corporation's design tools, logic functions
+-- and other software and tools, and its AMPP partner logic
+-- functions, and any output files from any of the foregoing
+-- (including device programming or simulation files), and any
+-- associated documentation or information are expressly subject
+-- to the terms and conditions of the Intel Program License
+-- Subscription Agreement, the Intel Quartus Prime License Agreement,
+-- the Intel MegaCore Function License Agreement, or other
+-- applicable license agreement, including, without limitation,
+-- that your use is for the sole purpose of programming logic
+-- devices manufactured by Intel and sold by Intel or its
+-- authorized distributors. Please refer to the applicable
+-- agreement for further details.
+
+-- Quartus Prime generated Memory Initialization File (.mif)
+
+WIDTH=8;
+DEPTH=32768;
+
+ADDRESS_RADIX=HEX;
+DATA_RADIX=HEX;
+
+CONTENT BEGIN
+ 0000 : F3;
+ 0001 : C3;
+ 0002 : 48;
+ 0003 : 01;
+ 0004 : 05;
+ 0005 : 01;
+ 0006 : 28;
+ 0007 : E4;
+ [0008..002F] : FF;
+ 0030 : C3;
+ 0031 : 30;
+ 0032 : 20;
+ [0033..0037] : FF;
+ 0038 : 08;
+ 0039 : D5;
+ 003A : 5F;
+ 003B : DD;
+ 003C : 54;
+ 003D : 1A;
+ 003E : 14;
+ 003F : 1A;
+ 0040 : 14;
+ 0041 : 1A;
+ 0042 : 14;
+ 0043 : 1A;
+ 0044 : 1C;
+ 0045 : 28;
+ 0046 : 05;
+ 0047 : 7B;
+ 0048 : D1;
+ 0049 : 08;
+ 004A : FB;
+ 004B : C9;
+ 004C : C3;
+ 004D : EA;
+ 004E : 13;
+ [004F..0065] : FF;
+ 0066 : E1;
+ 0067 : 7D;
+ 0068 : D3;
+ 0069 : 03;
+ 006A : DB;
+ 006B : 04;
+ 006C : 07;
+ 006D : 38;
+ 006E : FB;
+ 006F : 7C;
+ 0070 : D3;
+ 0071 : 03;
+ 0072 : DB;
+ 0073 : 04;
+ 0074 : 07;
+ 0075 : 38;
+ 0076 : FB;
+ 0077 : C3;
+ 0078 : 66;
+ 0079 : 00;
+ [007A..007F] : FF;
+ 0080 : 54;
+ 0081 : 68;
+ 0082 : 69;
+ 0083 : 73;
+ 0084 : 20;
+ 0085 : 69;
+ 0086 : 73;
+ 0087 : 20;
+ 0088 : 69;
+ 0089 : 6D;
+ 008A : 70;
+ 008B : 72;
+ 008C : 6F;
+ 008D : 76;
+ 008E : 65;
+ 008F : 64;
+ 0090 : 20;
+ 0091 : 52;
+ 0092 : 4F;
+ 0093 : 4D;
+ 0094 : 20;
+ 0095 : 56;
+ 0096 : 65;
+ 0097 : 72;
+ 0098 : 73;
+ 0099 : 69;
+ 009A : 6F;
+ 009B : 6E;
+ 009C : 20;
+ 009D : 31;
+ 009E : 2E;
+ 009F : 30;
+ 00A0 : 34;
+ 00A1 : 20;
+ 00A2 : 42;
+ 00A3 : 65;
+ 00A4 : 74;
+ 00A5 : 61;
+ 00A6 : 2E;
+ 00A7 : 20;
+ 00A8 : 42;
+ 00A9 : 75;
+ 00AA : 67;
+ 00AB : 66;
+ 00AC : 69;
+ 00AD : 78;
+ 00AE : 65;
+ 00AF : 73;
+ 00B0 : 20;
+ 00B1 : 62;
+ 00B2 : 79;
+ 00B3 : 20;
+ 00B4 : 70;
+ 00B5 : 73;
+ 00B6 : 62;
+ 00B7 : 20;
+ 00B8 : 26;
+ 00B9 : 20;
+ 00BA : 45;
+ 00BB : 76;
+ 00BC : 67;
+ 00BD : 65;
+ 00BE : 6E;
+ 00BF : 79;
+ 00C0 : 20;
+ 00C1 : 4D;
+ 00C2 : 75;
+ 00C3 : 63;
+ 00C4 : 68;
+ 00C5 : 6B;
+ 00C6 : 69;
+ 00C7 : 6E;
+ 00C8 : 2C;
+ 00C9 : 20;
+ 00CA : 32;
+ [00CB..00CC] : 30;
+ 00CD : 37;
+ 00CE : 2E;
+ 00CF : 00;
+ [00D0..00FF] : FF;
+ 0100 : 47;
+ 0101 : 65;
+ 0102 : 6E;
+ 0103 : 65;
+ 0104 : 72;
+ 0105 : 61;
+ 0106 : 6C;
+ [0107..0108] : 20;
+ 0109 : 53;
+ 010A : 6F;
+ 010B : 75;
+ 010C : 6E;
+ 010D : 64;
+ 010E : 20;
+ 010F : 28;
+ 0110 : 74;
+ 0111 : 6D;
+ 0112 : 29;
+ [0113..0114] : 20;
+ 0115 : 52;
+ 0116 : 4F;
+ 0117 : 4D;
+ 0118 : 43;
+ 0119 : 6F;
+ 011A : 70;
+ 011B : 79;
+ 011C : 72;
+ 011D : 69;
+ 011E : 67;
+ 011F : 68;
+ 0120 : 74;
+ [0121..0123] : 20;
+ 0124 : 31;
+ [0125..0126] : 39;
+ 0127 : 37;
+ 0128 : 20;
+ 0129 : 53;
+ 012A : 74;
+ 012B : 69;
+ 012C : 6E;
+ 012D : 67;
+ 012E : 65;
+ 012F : 72;
+ 0130 : 56;
+ 0131 : 65;
+ 0132 : 72;
+ 0133 : 73;
+ 0134 : 69;
+ 0135 : 6F;
+ 0136 : 6E;
+ 0137 : 20;
+ 0138 : 31;
+ 0139 : 2E;
+ 013A : 30;
+ 013B : 35;
+ 013C : 61;
+ [013D..0147] : 20;
+ 0148 : F3;
+ 0149 : D3;
+ 014A : 05;
+ 014B : AF;
+ 014C : D3;
+ 014D : 03;
+ 014E : 6F;
+ 014F : 67;
+ 0150 : 01;
+ 0151 : 04;
+ 0152 : 00;
+ 0153 : 31;
+ 0154 : 08;
+ 0155 : 00;
+ 0156 : 18;
+ 0157 : 10;
+ 0158 : D3;
+ 0159 : 00;
+ 015A : 31;
+ 015B : 00;
+ 015C : C0;
+ 015D : 0E;
+ 015E : 04;
+ 015F : 3D;
+ 0160 : D1;
+ 0161 : 19;
+ 0162 : D1;
+ 0163 : 19;
+ 0164 : D1;
+ 0165 : 19;
+ 0166 : D1;
+ 0167 : 19;
+ 0168 : D1;
+ 0169 : 19;
+ 016A : D1;
+ 016B : 19;
+ 016C : D1;
+ 016D : 19;
+ 016E : D1;
+ 016F : 19;
+ 0170 : 10;
+ 0171 : EE;
+ 0172 : 0D;
+ 0173 : 20;
+ 0174 : EB;
+ 0175 : B7;
+ 0176 : 28;
+ 0177 : E0;
+ 0178 : ED;
+ 0179 : 5B;
+ 017A : 06;
+ 017B : 00;
+ 017C : ED;
+ 017D : 52;
+ 017E : 21;
+ 017F : 00;
+ 0180 : 40;
+ 0181 : 11;
+ 0182 : 01;
+ 0183 : 40;
+ 0184 : 01;
+ 0185 : 3F;
+ 0186 : 00;
+ 0187 : 70;
+ 0188 : ED;
+ 0189 : B0;
+ 018A : AF;
+ 018B : 32;
+ 018C : FF;
+ 018D : 7F;
+ 018E : D3;
+ 018F : 00;
+ 0190 : D9;
+ 0191 : 3A;
+ 0192 : 00;
+ 0193 : 80;
+ 0194 : 57;
+ 0195 : 3A;
+ [0196..0197] : FF;
+ 0198 : 5F;
+ 0199 : D9;
+ 019A : 21;
+ 019B : 00;
+ 019C : 80;
+ 019D : 11;
+ [019E..019F] : FF;
+ 01A0 : 01;
+ 01A1 : AA;
+ 01A2 : 3F;
+ 01A3 : 78;
+ 01A4 : D3;
+ 01A5 : 00;
+ 01A6 : 71;
+ 01A7 : 12;
+ 01A8 : 10;
+ 01A9 : F9;
+ 01AA : AF;
+ 01AB : D3;
+ 01AC : 00;
+ 01AD : D9;
+ 01AE : 7A;
+ 01AF : 32;
+ 01B0 : 00;
+ 01B1 : 80;
+ 01B2 : 7B;
+ 01B3 : 32;
+ [01B4..01B5] : FF;
+ 01B6 : D9;
+ 01B7 : 3A;
+ 01B8 : FF;
+ 01B9 : 7F;
+ 01BA : DD;
+ 01BB : 6F;
+ 01BC : 3E;
+ 01BD : C0;
+ 01BE : 32;
+ 01BF : FF;
+ 01C0 : 7F;
+ 01C1 : 21;
+ [01C2..01C3] : FF;
+ 01C4 : 11;
+ 01C5 : 00;
+ 01C6 : 40;
+ 01C7 : 06;
+ 01C8 : 3F;
+ 01C9 : 3E;
+ 01CA : 40;
+ 01CB : 90;
+ 01CC : D3;
+ 01CD : 00;
+ 01CE : BE;
+ 01CF : 20;
+ 01D0 : 0D;
+ 01D1 : 12;
+ 01D2 : 3A;
+ 01D3 : 00;
+ 01D4 : 80;
+ 01D5 : FE;
+ 01D6 : AA;
+ 01D7 : 28;
+ 01D8 : 0A;
+ 01D9 : AF;
+ 01DA : 77;
+ 01DB : 32;
+ 01DC : 00;
+ 01DD : 80;
+ 01DE : 10;
+ 01DF : E9;
+ 01E0 : C3;
+ 01E1 : 5A;
+ 01E2 : 02;
+ 01E3 : 3E;
+ 01E4 : 01;
+ 01E5 : 77;
+ 01E6 : BE;
+ 01E7 : 20;
+ 01E8 : F0;
+ 01E9 : CB;
+ 01EA : 06;
+ 01EB : 07;
+ 01EC : 30;
+ 01ED : F8;
+ 01EE : 3E;
+ 01EF : FE;
+ 01F0 : 77;
+ 01F1 : BE;
+ 01F2 : 20;
+ 01F3 : E5;
+ 01F4 : CB;
+ 01F5 : 06;
+ 01F6 : 07;
+ 01F7 : 38;
+ 01F8 : F8;
+ 01F9 : D9;
+ 01FA : 21;
+ 01FB : 00;
+ 01FC : 80;
+ 01FD : 0E;
+ 01FE : AA;
+ 01FF : 79;
+ 0200 : AC;
+ 0201 : AD;
+ 0202 : 77;
+ 0203 : AD;
+ 0204 : 2C;
+ 0205 : AD;
+ 0206 : 77;
+ 0207 : AD;
+ 0208 : 2C;
+ 0209 : AD;
+ 020A : 77;
+ 020B : AD;
+ 020C : 2C;
+ 020D : AD;
+ 020E : 77;
+ 020F : AD;
+ 0210 : 2C;
+ 0211 : C2;
+ 0212 : 01;
+ 0213 : 02;
+ 0214 : 24;
+ 0215 : C2;
+ 0216 : FF;
+ 0217 : 01;
+ 0218 : 26;
+ 0219 : 80;
+ 021A : 79;
+ 021B : AC;
+ 021C : AD;
+ 021D : AE;
+ 021E : 20;
+ 021F : 35;
+ 0220 : 2C;
+ 0221 : 79;
+ 0222 : AC;
+ 0223 : AD;
+ 0224 : AE;
+ 0225 : 20;
+ 0226 : 2E;
+ 0227 : 2C;
+ 0228 : 79;
+ 0229 : AC;
+ 022A : AD;
+ 022B : AE;
+ 022C : 20;
+ 022D : 27;
+ 022E : 2C;
+ 022F : 79;
+ 0230 : AC;
+ 0231 : AD;
+ 0232 : AE;
+ [0233..0234] : 20;
+ 0235 : 2C;
+ 0236 : C2;
+ 0237 : 1A;
+ 0238 : 02;
+ 0239 : 24;
+ 023A : C2;
+ 023B : 1A;
+ 023C : 02;
+ 023D : 21;
+ [023E..023F] : 00;
+ 0240 : F9;
+ 0241 : 01;
+ 0242 : 08;
+ 0243 : 00;
+ [0244..024B] : E5;
+ 024C : 10;
+ 024D : F6;
+ 024E : 0D;
+ 024F : C2;
+ 0250 : 44;
+ 0251 : 02;
+ 0252 : D9;
+ 0253 : 1C;
+ [0254..0255] : D9;
+ 0256 : 05;
+ 0257 : C2;
+ 0258 : C9;
+ 0259 : 01;
+ 025A : 7B;
+ 025B : 32;
+ 025C : 80;
+ 025D : 40;
+ 025E : D3;
+ 025F : 03;
+ 0260 : DD;
+ 0261 : 7D;
+ 0262 : 12;
+ 0263 : AF;
+ 0264 : D3;
+ 0265 : 00;
+ 0266 : C3;
+ 0267 : F4;
+ 0268 : 1C;
+ 0269 : D3;
+ 026A : 05;
+ 026B : 31;
+ 026C : 00;
+ 026D : 44;
+ 026E : DB;
+ 026F : 04;
+ 0270 : 0F;
+ 0271 : 38;
+ 0272 : 22;
+ 0273 : 3A;
+ 0274 : 84;
+ 0275 : 40;
+ 0276 : B7;
+ 0277 : 28;
+ 0278 : F5;
+ 0279 : 3A;
+ 027A : 86;
+ 027B : 40;
+ 027C : B7;
+ 027D : 20;
+ 027E : EF;
+ 027F : DB;
+ 0280 : 04;
+ 0281 : 0F;
+ 0282 : 38;
+ 0283 : 11;
+ 0284 : 3E;
+ 0285 : FF;
+ 0286 : 32;
+ 0287 : 87;
+ 0288 : 40;
+ 0289 : D5;
+ 028A : CD;
+ 028B : ED;
+ 028C : CD;
+ 028D : D1;
+ 028E : AF;
+ 028F : 32;
+ 0290 : 87;
+ 0291 : 40;
+ 0292 : C3;
+ 0293 : 6E;
+ 0294 : 02;
+ 0295 : DB;
+ 0296 : 01;
+ 0297 : FE;
+ 0298 : 20;
+ 0299 : 38;
+ 029A : 06;
+ 029B : FE;
+ 029C : F0;
+ 029D : 38;
+ 029E : 0B;
+ 029F : D6;
+ 02A0 : D0;
+ 02A1 : 87;
+ 02A2 : 26;
+ 02A3 : 03;
+ 02A4 : 6F;
+ 02A5 : 7E;
+ 02A6 : 2C;
+ 02A7 : 66;
+ 02A8 : 6F;
+ 02A9 : E9;
+ 02AA : 21;
+ 02AB : 6E;
+ 02AC : 02;
+ 02AD : E5;
+ 02AE : 6F;
+ 02AF : 26;
+ 02B0 : D7;
+ 02B1 : AF;
+ 02B2 : 32;
+ 02B3 : 81;
+ 02B4 : 40;
+ 02B5 : D3;
+ 02B6 : 00;
+ 02B7 : 7E;
+ 02B8 : 24;
+ 02B9 : 66;
+ 02BA : 6F;
+ 02BB : E9;
+ 02BC : DB;
+ 02BD : 04;
+ 02BE : E6;
+ 02BF : 81;
+ 02C0 : 28;
+ 02C1 : FA;
+ 02C2 : DB;
+ 02C3 : 02;
+ 02C4 : F2;
+ 02C5 : 95;
+ 02C6 : 02;
+ 02C7 : FD;
+ 02C8 : E9;
+ 02C9 : DB;
+ 02CA : 04;
+ 02CB : B7;
+ 02CC : F2;
+ 02CD : C9;
+ 02CE : 02;
+ 02CF : DB;
+ 02D0 : 02;
+ 02D1 : FD;
+ 02D2 : E9;
+ [02D3..02FF] : FF;
+ 0300 : 65;
+ 0301 : 03;
+ 0302 : 6C;
+ 0303 : 03;
+ 0304 : 81;
+ 0305 : 03;
+ 0306 : 90;
+ 0307 : 03;
+ 0308 : 9E;
+ 0309 : 03;
+ 030A : A8;
+ 030B : 03;
+ 030C : BB;
+ 030D : 03;
+ 030E : D0;
+ 030F : 03;
+ 0310 : 60;
+ 0311 : 03;
+ 0312 : F3;
+ 0313 : 03;
+ 0314 : 07;
+ 0315 : 04;
+ 0316 : 1F;
+ 0317 : 04;
+ 0318 : 44;
+ 0319 : 04;
+ 031A : 6E;
+ 031B : 04;
+ 031C : 97;
+ 031D : 04;
+ 031E : AE;
+ 031F : 04;
+ 0320 : 11;
+ 0321 : 05;
+ 0322 : 22;
+ 0323 : 05;
+ 0324 : 2E;
+ 0325 : 05;
+ 0326 : 37;
+ 0327 : 05;
+ 0328 : 45;
+ 0329 : 05;
+ 032A : 94;
+ 032B : 05;
+ 032C : FE;
+ 032D : 05;
+ 032E : 17;
+ 032F : 06;
+ 0330 : 2A;
+ 0331 : 06;
+ 0332 : 3A;
+ 0333 : 06;
+ 0334 : 42;
+ 0335 : 06;
+ 0336 : 4A;
+ 0337 : 06;
+ 0338 : 50;
+ 0339 : 06;
+ 033A : 62;
+ 033B : 06;
+ 033C : 60;
+ 033D : 03;
+ 033E : 60;
+ 033F : 03;
+ 0340 : 6F;
+ 0341 : 06;
+ 0342 : 60;
+ 0343 : 03;
+ 0344 : 60;
+ 0345 : 03;
+ 0346 : 79;
+ 0347 : 06;
+ 0348 : 7E;
+ 0349 : 06;
+ 034A : 83;
+ 034B : 06;
+ 034C : 9B;
+ 034D : 06;
+ 034E : B0;
+ 034F : 06;
+ 0350 : 60;
+ 0351 : 03;
+ 0352 : 60;
+ 0353 : 03;
+ 0354 : B9;
+ 0355 : 06;
+ 0356 : 60;
+ 0357 : 03;
+ 0358 : 60;
+ 0359 : 03;
+ 035A : 60;
+ 035B : 03;
+ 035C : 60;
+ 035D : 03;
+ 035E : 60;
+ 035F : 03;
+ 0360 : D3;
+ 0361 : 05;
+ 0362 : C3;
+ 0363 : 6E;
+ 0364 : 02;
+ 0365 : DB;
+ 0366 : 02;
+ 0367 : D3;
+ 0368 : 05;
+ 0369 : C3;
+ 036A : 6E;
+ 036B : 02;
+ 036C : D3;
+ 036D : 05;
+ 036E : 3E;
+ 036F : 80;
+ 0370 : 21;
+ 0371 : 00;
+ 0372 : 60;
+ 0373 : 77;
+ 0374 : 46;
+ 0375 : 24;
+ 0376 : 77;
+ 0377 : 46;
+ 0378 : 24;
+ 0379 : 77;
+ 037A : 46;
+ 037B : 24;
+ 037C : 77;
+ 037D : 46;
+ 037E : C3;
+ 037F : 6E;
+ 0380 : 02;
+ 0381 : D3;
+ 0382 : 05;
+ 0383 : 3E;
+ 0384 : 3F;
+ 0385 : D3;
+ 0386 : 06;
+ 0387 : D3;
+ 0388 : 07;
+ 0389 : D3;
+ 038A : 08;
+ 038B : D3;
+ 038C : 09;
+ 038D : C3;
+ 038E : 6E;
+ 038F : 02;
+ 0390 : D3;
+ 0391 : 05;
+ 0392 : AF;
+ 0393 : D3;
+ 0394 : 06;
+ 0395 : D3;
+ 0396 : 07;
+ 0397 : D3;
+ 0398 : 08;
+ 0399 : D3;
+ 039A : 09;
+ 039B : C3;
+ 039C : 6E;
+ 039D : 02;
+ 039E : DB;
+ 039F : 02;
+ 03A0 : D3;
+ 03A1 : 05;
+ 03A2 : E6;
+ 03A3 : 07;
+ 03A4 : 5F;
+ 03A5 : C3;
+ 03A6 : 6E;
+ 03A7 : 02;
+ 03A8 : DB;
+ 03A9 : 02;
+ 03AA : D3;
+ 03AB : 05;
+ 03AC : 47;
+ 03AD : 7B;
+ 03AE : FE;
+ 03AF : 04;
+ 03B0 : D2;
+ 03B1 : 6E;
+ 03B2 : 02;
+ 03B3 : C6;
+ 03B4 : 06;
+ 03B5 : 4F;
+ 03B6 : ED;
+ 03B7 : 41;
+ 03B8 : C3;
+ 03B9 : 6E;
+ 03BA : 02;
+ 03BB : DB;
+ 03BC : 02;
+ 03BD : D3;
+ 03BE : 05;
+ 03BF : 47;
+ 03C0 : 7B;
+ 03C1 : FE;
+ 03C2 : 04;
+ 03C3 : D2;
+ 03C4 : 6E;
+ 03C5 : 02;
+ 03C6 : C6;
+ 03C7 : 60;
+ 03C8 : 67;
+ 03C9 : 2E;
+ 03CA : 00;
+ 03CB : 70;
+ 03CC : 7E;
+ 03CD : C3;
+ 03CE : 6E;
+ 03CF : 02;
+ 03D0 : DB;
+ 03D1 : 02;
+ 03D2 : D3;
+ 03D3 : 05;
+ 03D4 : 47;
+ 03D5 : 7B;
+ 03D6 : FE;
+ 03D7 : 04;
+ 03D8 : D2;
+ 03D9 : 6E;
+ 03DA : 02;
+ 03DB : C6;
+ 03DC : 60;
+ 03DD : 67;
+ 03DE : 2E;
+ 03DF : 00;
+ 03E0 : 70;
+ 03E1 : D6;
+ 03E2 : 60;
+ 03E3 : C6;
+ 03E4 : 06;
+ 03E5 : 4F;
+ 03E6 : FD;
+ 03E7 : 21;
+ 03E8 : ED;
+ 03E9 : 03;
+ 03EA : C3;
+ 03EB : BC;
+ 03EC : 02;
+ 03ED : ED;
+ 03EE : 79;
+ 03EF : 7E;
+ 03F0 : C3;
+ 03F1 : 6E;
+ 03F2 : 02;
+ 03F3 : DB;
+ 03F4 : 02;
+ 03F5 : D3;
+ 03F6 : 05;
+ 03F7 : 47;
+ [03F8..03F9] : 07;
+ 03FA : E6;
+ 03FB : 03;
+ 03FC : C6;
+ 03FD : 06;
+ 03FE : 4F;
+ 03FF : 78;
+ 0400 : E6;
+ 0401 : 3F;
+ 0402 : ED;
+ 0403 : 79;
+ 0404 : C3;
+ 0405 : 6E;
+ 0406 : 02;
+ 0407 : DB;
+ 0408 : 02;
+ 0409 : D3;
+ 040A : 05;
+ 040B : 47;
+ 040C : FD;
+ 040D : 21;
+ 040E : 13;
+ 040F : 04;
+ 0410 : C3;
+ 0411 : BC;
+ 0412 : 02;
+ 0413 : E6;
+ 0414 : 03;
+ 0415 : C6;
+ 0416 : 60;
+ 0417 : 67;
+ 0418 : 2E;
+ 0419 : 00;
+ 041A : 70;
+ 041B : 7E;
+ 041C : C3;
+ 041D : 6E;
+ 041E : 02;
+ 041F : DB;
+ 0420 : 02;
+ 0421 : D3;
+ 0422 : 05;
+ 0423 : 4F;
+ 0424 : FD;
+ 0425 : 21;
+ 0426 : 2B;
+ 0427 : 04;
+ 0428 : C3;
+ 0429 : BC;
+ 042A : 02;
+ 042B : 47;
+ [042C..042D] : 07;
+ 042E : E6;
+ 042F : 03;
+ 0430 : C6;
+ 0431 : 60;
+ 0432 : 67;
+ 0433 : 2E;
+ 0434 : 00;
+ 0435 : 71;
+ 0436 : D6;
+ 0437 : 60;
+ 0438 : C6;
+ 0439 : 06;
+ 043A : 4F;
+ 043B : 78;
+ 043C : E6;
+ 043D : 3F;
+ 043E : ED;
+ 043F : 79;
+ 0440 : 7E;
+ 0441 : C3;
+ 0442 : 6E;
+ 0443 : 02;
+ 0444 : DB;
+ 0445 : 02;
+ 0446 : D3;
+ 0447 : 05;
+ 0448 : 21;
+ 0449 : 00;
+ 044A : 60;
+ 044B : 77;
+ 044C : 7E;
+ 044D : 24;
+ 044E : FD;
+ 044F : 21;
+ 0450 : 55;
+ 0451 : 04;
+ 0452 : C3;
+ 0453 : BC;
+ 0454 : 02;
+ 0455 : 77;
+ 0456 : 7E;
+ 0457 : 24;
+ 0458 : FD;
+ 0459 : 21;
+ 045A : 5F;
+ 045B : 04;
+ 045C : C3;
+ 045D : BC;
+ 045E : 02;
+ 045F : 77;
+ 0460 : 7E;
+ 0461 : 24;
+ 0462 : FD;
+ 0463 : 21;
+ 0464 : 69;
+ 0465 : 04;
+ 0466 : C3;
+ 0467 : BC;
+ 0468 : 02;
+ 0469 : 77;
+ 046A : 7E;
+ 046B : C3;
+ 046C : 6E;
+ 046D : 02;
+ 046E : DB;
+ 046F : 02;
+ 0470 : D3;
+ 0471 : 05;
+ 0472 : E6;
+ 0473 : 0F;
+ 0474 : CA;
+ 0475 : 6E;
+ 0476 : 02;
+ [0477..047A] : 07;
+ 047B : 47;
+ 047C : 21;
+ 047D : 00;
+ 047E : 60;
+ 047F : FD;
+ 0480 : 21;
+ 0481 : 86;
+ 0482 : 04;
+ 0483 : C3;
+ 0484 : 90;
+ 0485 : 04;
+ 0486 : 77;
+ 0487 : 7E;
+ 0488 : 24;
+ 0489 : C3;
+ 048A : 90;
+ 048B : 04;
+ 048C : CA;
+ 048D : 6E;
+ 048E : 02;
+ 048F : 24;
+ 0490 : CB;
+ 0491 : 20;
+ 0492 : 30;
+ 0493 : F8;
+ 0494 : C3;
+ 0495 : BC;
+ 0496 : 02;
+ 0497 : D3;
+ 0498 : 05;
+ 0499 : 21;
+ 049A : 00;
+ 049B : 60;
+ 049C : 01;
+ 049D : 00;
+ 049E : 62;
+ 049F : DB;
+ 04A0 : 02;
+ 04A1 : 77;
+ 04A2 : 02;
+ 04A3 : 7E;
+ 04A4 : 0A;
+ 04A5 : DB;
+ 04A6 : 04;
+ 04A7 : 0F;
+ 04A8 : D2;
+ 04A9 : 9F;
+ 04AA : 04;
+ 04AB : C3;
+ 04AC : 6E;
+ 04AD : 02;
+ 04AE : DB;
+ 04AF : 02;
+ 04B0 : D3;
+ 04B1 : 05;
+ 04B2 : FE;
+ 04B3 : 59;
+ 04B4 : C2;
+ 04B5 : 6E;
+ 04B6 : 02;
+ 04B7 : 21;
+ 04B8 : 00;
+ 04B9 : 60;
+ 04BA : 11;
+ 04BB : 00;
+ 04BC : 62;
+ 04BD : DB;
+ 04BE : 02;
+ 04BF : 77;
+ 04C0 : DB;
+ 04C1 : 01;
+ 04C2 : 12;
+ 04C3 : 7E;
+ 04C4 : 1A;
+ 04C5 : DB;
+ 04C6 : 04;
+ 04C7 : B7;
+ 04C8 : FA;
+ 04C9 : BD;
+ 04CA : 04;
+ 04CB : 06;
+ 04CC : 00;
+ 04CD : D3;
+ 04CE : 05;
+ 04CF : DB;
+ 04D0 : 04;
+ 04D1 : E6;
+ 04D2 : 81;
+ 04D3 : 20;
+ 04D4 : E8;
+ 04D5 : 10;
+ 04D6 : F8;
+ 04D7 : DB;
+ 04D8 : 04;
+ 04D9 : E6;
+ 04DA : 81;
+ 04DB : 28;
+ 04DC : FA;
+ 04DD : FE;
+ 04DE : 80;
+ 04DF : 20;
+ 04E0 : DC;
+ 04E1 : DB;
+ 04E2 : 02;
+ 04E3 : FE;
+ 04E4 : 4E;
+ 04E5 : C2;
+ 04E6 : BD;
+ 04E7 : 04;
+ 04E8 : DB;
+ 04E9 : 04;
+ 04EA : E6;
+ 04EB : 81;
+ 04EC : 28;
+ 04ED : FA;
+ 04EE : FE;
+ 04EF : 01;
+ 04F0 : 20;
+ 04F1 : CB;
+ 04F2 : DB;
+ 04F3 : 01;
+ 04F4 : FE;
+ 04F5 : 0F;
+ 04F6 : C2;
+ 04F7 : BD;
+ 04F8 : 04;
+ 04F9 : D3;
+ 04FA : 05;
+ 04FB : DB;
+ 04FC : 04;
+ 04FD : E6;
+ 04FE : 81;
+ 04FF : 28;
+ 0500 : FA;
+ 0501 : FE;
+ 0502 : 01;
+ 0503 : 20;
+ 0504 : B8;
+ 0505 : DB;
+ 0506 : 01;
+ 0507 : FE;
+ 0508 : AA;
+ 0509 : C2;
+ 050A : BD;
+ 050B : 04;
+ 050C : D3;
+ 050D : 05;
+ 050E : C3;
+ 050F : 6E;
+ 0510 : 02;
+ 0511 : DB;
+ 0512 : 02;
+ 0513 : D3;
+ 0514 : 05;
+ 0515 : 4F;
+ 0516 : FD;
+ 0517 : 21;
+ 0518 : 1D;
+ 0519 : 05;
+ 051A : C3;
+ 051B : BC;
+ 051C : 02;
+ 051D : ED;
+ 051E : 79;
+ 051F : C3;
+ 0520 : 6E;
+ 0521 : 02;
+ 0522 : DB;
+ 0523 : 02;
+ 0524 : 4F;
+ 0525 : ED;
+ 0526 : 78;
+ 0527 : D3;
+ 0528 : 03;
+ 0529 : D3;
+ 052A : 05;
+ 052B : C3;
+ 052C : 6E;
+ 052D : 02;
+ 052E : DB;
+ 052F : 02;
+ 0530 : D3;
+ 0531 : 05;
+ 0532 : D3;
+ 0533 : 00;
+ 0534 : C3;
+ 0535 : 6E;
+ 0536 : 02;
+ 0537 : DB;
+ 0538 : 02;
+ 0539 : D3;
+ 053A : 05;
+ 053B : 6F;
+ 053C : FD;
+ 053D : 21;
+ 053E : 43;
+ 053F : 05;
+ 0540 : C3;
+ 0541 : BC;
+ 0542 : 02;
+ 0543 : 67;
+ 0544 : E9;
+ 0545 : DB;
+ 0546 : 02;
+ 0547 : D3;
+ 0548 : 05;
+ 0549 : 2F;
+ 054A : 4F;
+ 054B : 21;
+ 054C : BC;
+ 054D : 02;
+ 054E : FD;
+ 054F : 21;
+ 0550 : 53;
+ 0551 : 05;
+ 0552 : E9;
+ 0553 : 2F;
+ 0554 : 47;
+ 0555 : 03;
+ 0556 : FD;
+ 0557 : 21;
+ 0558 : 5B;
+ 0559 : 05;
+ 055A : E9;
+ 055B : 5F;
+ 055C : FD;
+ 055D : 21;
+ 055E : 61;
+ 055F : 05;
+ 0560 : E9;
+ 0561 : 57;
+ 0562 : 78;
+ 0563 : B1;
+ 0564 : CA;
+ 0565 : 6E;
+ 0566 : 02;
+ 0567 : DD;
+ 0568 : 68;
+ 0569 : 06;
+ 056A : 81;
+ 056B : CB;
+ 056C : 41;
+ 056D : 20;
+ 056E : 0D;
+ 056F : DB;
+ 0570 : 04;
+ 0571 : A0;
+ 0572 : 28;
+ 0573 : FB;
+ 0574 : DB;
+ 0575 : 02;
+ 0576 : F2;
+ 0577 : 95;
+ 0578 : 02;
+ 0579 : 12;
+ 057A : 13;
+ 057B : 0C;
+ 057C : DB;
+ 057D : 04;
+ 057E : A0;
+ 057F : 28;
+ 0580 : FB;
+ 0581 : DB;
+ 0582 : 02;
+ 0583 : F2;
+ 0584 : 95;
+ 0585 : 02;
+ 0586 : 12;
+ 0587 : 13;
+ 0588 : 0C;
+ 0589 : C2;
+ 058A : 6F;
+ 058B : 05;
+ 058C : DD;
+ 058D : 2C;
+ 058E : C2;
+ 058F : 6F;
+ 0590 : 05;
+ 0591 : C3;
+ 0592 : 6E;
+ 0593 : 02;
+ 0594 : DB;
+ 0595 : 02;
+ 0596 : 2F;
+ 0597 : 4F;
+ 0598 : FD;
+ 0599 : 21;
+ 059A : 9F;
+ 059B : 05;
+ 059C : C3;
+ 059D : C9;
+ 059E : 02;
+ 059F : 2F;
+ 05A0 : 47;
+ 05A1 : 03;
+ 05A2 : FD;
+ 05A3 : 21;
+ 05A4 : A9;
+ 05A5 : 05;
+ 05A6 : C3;
+ 05A7 : C9;
+ 05A8 : 02;
+ 05A9 : 5F;
+ 05AA : FD;
+ 05AB : 21;
+ 05AC : B1;
+ 05AD : 05;
+ 05AE : C3;
+ 05AF : C9;
+ 05B0 : 02;
+ 05B1 : 57;
+ 05B2 : 78;
+ 05B3 : B1;
+ 05B4 : CA;
+ 05B5 : 6E;
+ 05B6 : 02;
+ 05B7 : DD;
+ 05B8 : 68;
+ 05B9 : 06;
+ 05BA : 81;
+ 05BB : 1A;
+ 05BC : 13;
+ 05BD : D3;
+ 05BE : 03;
+ 05BF : D3;
+ 05C0 : 05;
+ 05C1 : 21;
+ 05C2 : CD;
+ 05C3 : 05;
+ 05C4 : 0C;
+ 05C5 : C2;
+ 05C6 : CD;
+ 05C7 : 05;
+ 05C8 : DD;
+ 05C9 : 2C;
+ 05CA : CA;
+ 05CB : 6E;
+ 05CC : 02;
+ 05CD : DB;
+ 05CE : 04;
+ 05CF : A0;
+ 05D0 : 28;
+ 05D1 : 1C;
+ 05D2 : F2;
+ 05D3 : 95;
+ 05D4 : 02;
+ 05D5 : DB;
+ 05D6 : 04;
+ 05D7 : A0;
+ 05D8 : 28;
+ 05D9 : 14;
+ 05DA : F2;
+ 05DB : 95;
+ 05DC : 02;
+ 05DD : DB;
+ 05DE : 04;
+ 05DF : A0;
+ 05E0 : 28;
+ 05E1 : 0C;
+ 05E2 : F2;
+ 05E3 : 95;
+ 05E4 : 02;
+ 05E5 : DB;
+ 05E6 : 04;
+ 05E7 : A0;
+ 05E8 : 28;
+ 05E9 : 04;
+ 05EA : F2;
+ 05EB : 95;
+ 05EC : 02;
+ 05ED : E9;
+ 05EE : 1A;
+ 05EF : D3;
+ 05F0 : 03;
+ 05F1 : 13;
+ 05F2 : 0C;
+ 05F3 : C2;
+ 05F4 : CD;
+ 05F5 : 05;
+ 05F6 : DD;
+ 05F7 : 2C;
+ 05F8 : C2;
+ 05F9 : CD;
+ 05FA : 05;
+ 05FB : C3;
+ 05FC : 6E;
+ 05FD : 02;
+ 05FE : DB;
+ 05FF : 02;
+ 0600 : D3;
+ 0601 : 05;
+ 0602 : 47;
+ 0603 : FD;
+ 0604 : 21;
+ 0605 : 0A;
+ 0606 : 06;
+ 0607 : C3;
+ 0608 : BC;
+ 0609 : 02;
+ 060A : 6F;
+ 060B : FD;
+ 060C : 21;
+ 060D : 12;
+ 060E : 06;
+ 060F : C3;
+ 0610 : BC;
+ 0611 : 02;
+ 0612 : 67;
+ 0613 : 70;
+ 0614 : C3;
+ 0615 : 6E;
+ 0616 : 02;
+ 0617 : DB;
+ 0618 : 02;
+ 0619 : 6F;
+ 061A : FD;
+ [061B..061C] : 21;
+ 061D : 06;
+ 061E : C3;
+ 061F : BC;
+ 0620 : 02;
+ 0621 : 67;
+ 0622 : 7E;
+ 0623 : D3;
+ 0624 : 03;
+ 0625 : D3;
+ 0626 : 05;
+ 0627 : C3;
+ 0628 : 6E;
+ 0629 : 02;
+ 062A : DB;
+ 062B : 02;
+ 062C : D3;
+ 062D : 05;
+ 062E : 5F;
+ 062F : FD;
+ 0630 : 21;
+ 0631 : 36;
+ 0632 : 06;
+ 0633 : C3;
+ 0634 : BC;
+ 0635 : 02;
+ 0636 : 57;
+ 0637 : C3;
+ 0638 : 6E;
+ 0639 : 02;
+ 063A : DB;
+ 063B : 02;
+ 063C : D3;
+ 063D : 05;
+ 063E : 12;
+ 063F : C3;
+ 0640 : 6E;
+ 0641 : 02;
+ 0642 : 1A;
+ 0643 : D3;
+ 0644 : 03;
+ 0645 : D3;
+ 0646 : 05;
+ 0647 : C3;
+ 0648 : 6E;
+ 0649 : 02;
+ 064A : D3;
+ 064B : 05;
+ 064C : 13;
+ 064D : C3;
+ 064E : 6E;
+ 064F : 02;
+ 0650 : DB;
+ 0651 : 02;
+ 0652 : D3;
+ 0653 : 05;
+ 0654 : 6F;
+ 0655 : FD;
+ 0656 : 21;
+ 0657 : 5C;
+ 0658 : 06;
+ 0659 : C3;
+ 065A : BC;
+ 065B : 02;
+ 065C : 26;
+ 065D : 20;
+ 065E : 77;
+ 065F : C3;
+ 0660 : 6E;
+ 0661 : 02;
+ 0662 : DB;
+ 0663 : 02;
+ 0664 : 6F;
+ 0665 : 26;
+ 0666 : 20;
+ 0667 : 7E;
+ 0668 : D3;
+ 0669 : 03;
+ 066A : D3;
+ 066B : 05;
+ 066C : C3;
+ 066D : 6E;
+ 066E : 02;
+ 066F : 3A;
+ 0670 : B2;
+ 0671 : 40;
+ 0672 : D3;
+ 0673 : 03;
+ 0674 : D3;
+ 0675 : 05;
+ 0676 : C3;
+ 0677 : 6E;
+ 0678 : 02;
+ 0679 : D3;
+ 067A : 05;
+ 067B : C3;
+ 067C : 00;
+ 067D : C0;
+ 067E : D3;
+ 067F : 05;
+ 0680 : C3;
+ [0681..0682] : 00;
+ 0683 : D3;
+ 0684 : 05;
+ 0685 : DD;
+ 0686 : 7C;
+ 0687 : E6;
+ 0688 : 80;
+ 0689 : C2;
+ 068A : 94;
+ 068B : 06;
+ 068C : 3E;
+ 068D : FF;
+ 068E : 32;
+ 068F : 86;
+ 0690 : 40;
+ 0691 : C3;
+ 0692 : 6E;
+ 0693 : 02;
+ 0694 : F6;
+ 0695 : 40;
+ 0696 : DD;
+ 0697 : 67;
+ 0698 : C3;
+ 0699 : 6E;
+ 069A : 02;
+ 069B : D3;
+ 069C : 05;
+ 069D : DD;
+ 069E : 7C;
+ 069F : E6;
+ 06A0 : 80;
+ 06A1 : C2;
+ 06A2 : AB;
+ 06A3 : 06;
+ 06A4 : AF;
+ 06A5 : 32;
+ 06A6 : 86;
+ 06A7 : 40;
+ 06A8 : C3;
+ 06A9 : 6E;
+ 06AA : 02;
+ 06AB : DD;
+ 06AC : 67;
+ 06AD : C3;
+ 06AE : 6E;
+ 06AF : 02;
+ 06B0 : DD;
+ 06B1 : 7C;
+ 06B2 : D3;
+ 06B3 : 03;
+ 06B4 : D3;
+ 06B5 : 05;
+ 06B6 : C3;
+ 06B7 : 6E;
+ 06B8 : 02;
+ 06B9 : D3;
+ 06BA : 05;
+ 06BB : AF;
+ 06BC : 32;
+ 06BD : 81;
+ 06BE : 40;
+ 06BF : D3;
+ 06C0 : 00;
+ 06C1 : C3;
+ 06C2 : F6;
+ 06C3 : D4;
+ [06C4..06FF] : FF;
+ 0700 : ED;
+ 0701 : A0;
+ 0702 : ED;
+ 0703 : A0;
+ 0704 : ED;
+ 0705 : A0;
+ 0706 : ED;
+ 0707 : A0;
+ 0708 : ED;
+ 0709 : A0;
+ 070A : ED;
+ 070B : A0;
+ 070C : ED;
+ 070D : A0;
+ 070E : ED;
+ 070F : A0;
+ 0710 : ED;
+ 0711 : A0;
+ 0712 : ED;
+ 0713 : A0;
+ 0714 : ED;
+ 0715 : A0;
+ 0716 : ED;
+ 0717 : A0;
+ 0718 : ED;
+ 0719 : A0;
+ 071A : ED;
+ 071B : A0;
+ 071C : ED;
+ 071D : A0;
+ 071E : ED;
+ 071F : A0;
+ 0720 : ED;
+ 0721 : A0;
+ 0722 : ED;
+ 0723 : A0;
+ 0724 : ED;
+ 0725 : A0;
+ 0726 : ED;
+ 0727 : A0;
+ 0728 : ED;
+ 0729 : A0;
+ 072A : ED;
+ 072B : A0;
+ 072C : ED;
+ 072D : A0;
+ 072E : ED;
+ 072F : A0;
+ 0730 : ED;
+ 0731 : A0;
+ 0732 : ED;
+ 0733 : A0;
+ 0734 : ED;
+ 0735 : A0;
+ 0736 : ED;
+ 0737 : A0;
+ 0738 : ED;
+ 0739 : A0;
+ 073A : ED;
+ 073B : A0;
+ 073C : ED;
+ 073D : A0;
+ 073E : ED;
+ 073F : A0;
+ 0740 : ED;
+ 0741 : A0;
+ 0742 : ED;
+ 0743 : A0;
+ 0744 : ED;
+ 0745 : A0;
+ 0746 : ED;
+ 0747 : A0;
+ 0748 : ED;
+ 0749 : A0;
+ 074A : ED;
+ 074B : A0;
+ 074C : ED;
+ 074D : A0;
+ 074E : ED;
+ 074F : A0;
+ 0750 : ED;
+ 0751 : A0;
+ 0752 : ED;
+ 0753 : A0;
+ 0754 : ED;
+ 0755 : A0;
+ 0756 : ED;
+ 0757 : A0;
+ 0758 : ED;
+ 0759 : A0;
+ 075A : ED;
+ 075B : A0;
+ 075C : ED;
+ 075D : A0;
+ 075E : ED;
+ 075F : A0;
+ 0760 : ED;
+ 0761 : A0;
+ 0762 : ED;
+ 0763 : A0;
+ 0764 : ED;
+ 0765 : A0;
+ 0766 : ED;
+ 0767 : A0;
+ 0768 : ED;
+ 0769 : A0;
+ 076A : ED;
+ 076B : A0;
+ 076C : ED;
+ 076D : A0;
+ 076E : ED;
+ 076F : A0;
+ 0770 : ED;
+ 0771 : A0;
+ 0772 : ED;
+ 0773 : A0;
+ 0774 : ED;
+ 0775 : A0;
+ 0776 : ED;
+ 0777 : A0;
+ 0778 : ED;
+ 0779 : A0;
+ 077A : ED;
+ 077B : A0;
+ 077C : ED;
+ 077D : A0;
+ 077E : ED;
+ 077F : A0;
+ 0780 : ED;
+ 0781 : A0;
+ 0782 : ED;
+ 0783 : A0;
+ 0784 : ED;
+ 0785 : A0;
+ 0786 : ED;
+ 0787 : A0;
+ 0788 : ED;
+ 0789 : A0;
+ 078A : ED;
+ 078B : A0;
+ 078C : ED;
+ 078D : A0;
+ 078E : ED;
+ 078F : A0;
+ 0790 : ED;
+ 0791 : A0;
+ 0792 : ED;
+ 0793 : A0;
+ 0794 : ED;
+ 0795 : A0;
+ 0796 : ED;
+ 0797 : A0;
+ 0798 : ED;
+ 0799 : A0;
+ 079A : ED;
+ 079B : A0;
+ 079C : ED;
+ 079D : A0;
+ 079E : ED;
+ 079F : A0;
+ 07A0 : ED;
+ 07A1 : A0;
+ 07A2 : ED;
+ 07A3 : A0;
+ 07A4 : ED;
+ 07A5 : A0;
+ 07A6 : ED;
+ 07A7 : A0;
+ 07A8 : ED;
+ 07A9 : A0;
+ 07AA : ED;
+ 07AB : A0;
+ 07AC : ED;
+ 07AD : A0;
+ 07AE : ED;
+ 07AF : A0;
+ 07B0 : ED;
+ 07B1 : A0;
+ 07B2 : ED;
+ 07B3 : A0;
+ 07B4 : ED;
+ 07B5 : A0;
+ 07B6 : ED;
+ 07B7 : A0;
+ 07B8 : ED;
+ 07B9 : A0;
+ 07BA : ED;
+ 07BB : A0;
+ 07BC : ED;
+ 07BD : A0;
+ 07BE : ED;
+ 07BF : A0;
+ 07C0 : ED;
+ 07C1 : A0;
+ 07C2 : ED;
+ 07C3 : A0;
+ 07C4 : ED;
+ 07C5 : A0;
+ 07C6 : ED;
+ 07C7 : A0;
+ 07C8 : ED;
+ 07C9 : A0;
+ 07CA : ED;
+ 07CB : A0;
+ 07CC : ED;
+ 07CD : A0;
+ 07CE : ED;
+ 07CF : A0;
+ 07D0 : ED;
+ 07D1 : A0;
+ 07D2 : ED;
+ 07D3 : A0;
+ 07D4 : ED;
+ 07D5 : A0;
+ 07D6 : ED;
+ 07D7 : A0;
+ 07D8 : ED;
+ 07D9 : A0;
+ 07DA : ED;
+ 07DB : A0;
+ 07DC : ED;
+ 07DD : A0;
+ 07DE : ED;
+ 07DF : A0;
+ 07E0 : ED;
+ 07E1 : A0;
+ 07E2 : ED;
+ 07E3 : A0;
+ 07E4 : ED;
+ 07E5 : A0;
+ 07E6 : ED;
+ 07E7 : A0;
+ 07E8 : ED;
+ 07E9 : A0;
+ 07EA : ED;
+ 07EB : A0;
+ 07EC : ED;
+ 07ED : A0;
+ 07EE : ED;
+ 07EF : A0;
+ 07F0 : ED;
+ 07F1 : A0;
+ 07F2 : ED;
+ 07F3 : A0;
+ 07F4 : ED;
+ 07F5 : A0;
+ 07F6 : ED;
+ 07F7 : A0;
+ 07F8 : ED;
+ 07F9 : A0;
+ 07FA : ED;
+ 07FB : A0;
+ 07FC : ED;
+ 07FD : A0;
+ 07FE : ED;
+ 07FF : A0;
+ 0800 : ED;
+ 0801 : A0;
+ 0802 : ED;
+ 0803 : A0;
+ 0804 : ED;
+ 0805 : A0;
+ 0806 : ED;
+ 0807 : A0;
+ 0808 : ED;
+ 0809 : A0;
+ 080A : ED;
+ 080B : A0;
+ 080C : ED;
+ 080D : A0;
+ 080E : ED;
+ 080F : A0;
+ 0810 : ED;
+ 0811 : A0;
+ 0812 : ED;
+ 0813 : A0;
+ 0814 : ED;
+ 0815 : A0;
+ 0816 : ED;
+ 0817 : A0;
+ 0818 : ED;
+ 0819 : A0;
+ 081A : ED;
+ 081B : A0;
+ 081C : ED;
+ 081D : A0;
+ 081E : ED;
+ 081F : A0;
+ 0820 : ED;
+ 0821 : A0;
+ 0822 : ED;
+ 0823 : A0;
+ 0824 : ED;
+ 0825 : A0;
+ 0826 : ED;
+ 0827 : A0;
+ 0828 : ED;
+ 0829 : A0;
+ 082A : ED;
+ 082B : A0;
+ 082C : ED;
+ 082D : A0;
+ 082E : ED;
+ 082F : A0;
+ 0830 : ED;
+ 0831 : A0;
+ 0832 : ED;
+ 0833 : A0;
+ 0834 : ED;
+ 0835 : A0;
+ 0836 : ED;
+ 0837 : A0;
+ 0838 : ED;
+ 0839 : A0;
+ 083A : ED;
+ 083B : A0;
+ 083C : ED;
+ 083D : A0;
+ 083E : ED;
+ 083F : A0;
+ 0840 : ED;
+ 0841 : A0;
+ 0842 : ED;
+ 0843 : A0;
+ 0844 : ED;
+ 0845 : A0;
+ 0846 : ED;
+ 0847 : A0;
+ 0848 : ED;
+ 0849 : A0;
+ 084A : ED;
+ 084B : A0;
+ 084C : ED;
+ 084D : A0;
+ 084E : ED;
+ 084F : A0;
+ 0850 : ED;
+ 0851 : A0;
+ 0852 : ED;
+ 0853 : A0;
+ 0854 : ED;
+ 0855 : A0;
+ 0856 : ED;
+ 0857 : A0;
+ 0858 : ED;
+ 0859 : A0;
+ 085A : ED;
+ 085B : A0;
+ 085C : ED;
+ 085D : A0;
+ 085E : ED;
+ 085F : A0;
+ 0860 : ED;
+ 0861 : A0;
+ 0862 : ED;
+ 0863 : A0;
+ 0864 : ED;
+ 0865 : A0;
+ 0866 : ED;
+ 0867 : A0;
+ 0868 : ED;
+ 0869 : A0;
+ 086A : ED;
+ 086B : A0;
+ 086C : ED;
+ 086D : A0;
+ 086E : ED;
+ 086F : A0;
+ 0870 : ED;
+ 0871 : A0;
+ 0872 : ED;
+ 0873 : A0;
+ 0874 : ED;
+ 0875 : A0;
+ 0876 : ED;
+ 0877 : A0;
+ 0878 : ED;
+ 0879 : A0;
+ 087A : ED;
+ 087B : A0;
+ 087C : ED;
+ 087D : A0;
+ 087E : ED;
+ 087F : A0;
+ 0880 : ED;
+ 0881 : A0;
+ 0882 : ED;
+ 0883 : A0;
+ 0884 : ED;
+ 0885 : A0;
+ 0886 : ED;
+ 0887 : A0;
+ 0888 : ED;
+ 0889 : A0;
+ 088A : ED;
+ 088B : A0;
+ 088C : ED;
+ 088D : A0;
+ 088E : ED;
+ 088F : A0;
+ 0890 : ED;
+ 0891 : A0;
+ 0892 : ED;
+ 0893 : A0;
+ 0894 : ED;
+ 0895 : A0;
+ 0896 : ED;
+ 0897 : A0;
+ 0898 : ED;
+ 0899 : A0;
+ 089A : ED;
+ 089B : A0;
+ 089C : ED;
+ 089D : A0;
+ 089E : ED;
+ 089F : A0;
+ 08A0 : ED;
+ 08A1 : A0;
+ 08A2 : ED;
+ 08A3 : A0;
+ 08A4 : ED;
+ 08A5 : A0;
+ 08A6 : ED;
+ 08A7 : A0;
+ 08A8 : ED;
+ 08A9 : A0;
+ 08AA : ED;
+ 08AB : A0;
+ 08AC : ED;
+ 08AD : A0;
+ 08AE : ED;
+ 08AF : A0;
+ 08B0 : ED;
+ 08B1 : A0;
+ 08B2 : ED;
+ 08B3 : A0;
+ 08B4 : ED;
+ 08B5 : A0;
+ 08B6 : ED;
+ 08B7 : A0;
+ 08B8 : ED;
+ 08B9 : A0;
+ 08BA : ED;
+ 08BB : A0;
+ 08BC : ED;
+ 08BD : A0;
+ 08BE : ED;
+ 08BF : A0;
+ 08C0 : ED;
+ 08C1 : A0;
+ 08C2 : ED;
+ 08C3 : A0;
+ 08C4 : ED;
+ 08C5 : A0;
+ 08C6 : ED;
+ 08C7 : A0;
+ 08C8 : ED;
+ 08C9 : A0;
+ 08CA : ED;
+ 08CB : A0;
+ 08CC : ED;
+ 08CD : A0;
+ 08CE : ED;
+ 08CF : A0;
+ 08D0 : ED;
+ 08D1 : A0;
+ 08D2 : ED;
+ 08D3 : A0;
+ 08D4 : ED;
+ 08D5 : A0;
+ 08D6 : ED;
+ 08D7 : A0;
+ 08D8 : ED;
+ 08D9 : A0;
+ 08DA : ED;
+ 08DB : A0;
+ 08DC : ED;
+ 08DD : A0;
+ 08DE : ED;
+ 08DF : A0;
+ 08E0 : ED;
+ 08E1 : A0;
+ 08E2 : ED;
+ 08E3 : A0;
+ 08E4 : ED;
+ 08E5 : A0;
+ 08E6 : ED;
+ 08E7 : A0;
+ 08E8 : ED;
+ 08E9 : A0;
+ 08EA : ED;
+ 08EB : A0;
+ 08EC : ED;
+ 08ED : A0;
+ 08EE : ED;
+ 08EF : A0;
+ 08F0 : ED;
+ 08F1 : A0;
+ 08F2 : ED;
+ 08F3 : A0;
+ 08F4 : ED;
+ 08F5 : A0;
+ 08F6 : ED;
+ 08F7 : A0;
+ 08F8 : ED;
+ 08F9 : A0;
+ 08FA : ED;
+ 08FB : A0;
+ 08FC : ED;
+ 08FD : A0;
+ 08FE : ED;
+ 08FF : A0;
+ 0900 : D8;
+ 0901 : 3A;
+ 0902 : 82;
+ 0903 : 40;
+ 0904 : 32;
+ 0905 : 81;
+ 0906 : 40;
+ 0907 : D3;
+ 0908 : 00;
+ 0909 : C9;
+ 090A : ED;
+ 090B : 44;
+ 090C : 87;
+ 090D : FD;
+ 090E : 6F;
+ 090F : 3E;
+ 0910 : 07;
+ 0911 : CE;
+ 0912 : 00;
+ 0913 : FD;
+ 0914 : 67;
+ 0915 : 3A;
+ 0916 : 83;
+ 0917 : 40;
+ 0918 : 32;
+ 0919 : 81;
+ 091A : 40;
+ 091B : D3;
+ 091C : 00;
+ 091D : FD;
+ 091E : E9;
+ 091F : ED;
+ 0920 : 44;
+ 0921 : 87;
+ 0922 : FD;
+ 0923 : 6F;
+ 0924 : 3E;
+ 0925 : 07;
+ 0926 : CE;
+ 0927 : 00;
+ 0928 : FD;
+ 0929 : 67;
+ 092A : 37;
+ 092B : FD;
+ 092C : E9;
+ 092D : ED;
+ 092E : 44;
+ 092F : 87;
+ 0930 : FD;
+ 0931 : 6F;
+ 0932 : 3E;
+ 0933 : 0A;
+ 0934 : CE;
+ 0935 : 00;
+ 0936 : FD;
+ 0937 : 67;
+ 0938 : 3A;
+ 0939 : 83;
+ 093A : 40;
+ 093B : 32;
+ 093C : 81;
+ 093D : 40;
+ 093E : D3;
+ 093F : 00;
+ 0940 : FD;
+ 0941 : E9;
+ [0942..09FF] : FF;
+ 0A00 : ED;
+ 0A01 : A8;
+ 0A02 : ED;
+ 0A03 : A8;
+ 0A04 : ED;
+ 0A05 : A8;
+ 0A06 : ED;
+ 0A07 : A8;
+ 0A08 : ED;
+ 0A09 : A8;
+ 0A0A : ED;
+ 0A0B : A8;
+ 0A0C : ED;
+ 0A0D : A8;
+ 0A0E : ED;
+ 0A0F : A8;
+ 0A10 : ED;
+ 0A11 : A8;
+ 0A12 : ED;
+ 0A13 : A8;
+ 0A14 : ED;
+ 0A15 : A8;
+ 0A16 : ED;
+ 0A17 : A8;
+ 0A18 : ED;
+ 0A19 : A8;
+ 0A1A : ED;
+ 0A1B : A8;
+ 0A1C : ED;
+ 0A1D : A8;
+ 0A1E : ED;
+ 0A1F : A8;
+ 0A20 : ED;
+ 0A21 : A8;
+ 0A22 : ED;
+ 0A23 : A8;
+ 0A24 : ED;
+ 0A25 : A8;
+ 0A26 : ED;
+ 0A27 : A8;
+ 0A28 : ED;
+ 0A29 : A8;
+ 0A2A : ED;
+ 0A2B : A8;
+ 0A2C : ED;
+ 0A2D : A8;
+ 0A2E : ED;
+ 0A2F : A8;
+ 0A30 : ED;
+ 0A31 : A8;
+ 0A32 : ED;
+ 0A33 : A8;
+ 0A34 : ED;
+ 0A35 : A8;
+ 0A36 : ED;
+ 0A37 : A8;
+ 0A38 : ED;
+ 0A39 : A8;
+ 0A3A : ED;
+ 0A3B : A8;
+ 0A3C : ED;
+ 0A3D : A8;
+ 0A3E : ED;
+ 0A3F : A8;
+ 0A40 : ED;
+ 0A41 : A8;
+ 0A42 : ED;
+ 0A43 : A8;
+ 0A44 : ED;
+ 0A45 : A8;
+ 0A46 : ED;
+ 0A47 : A8;
+ 0A48 : ED;
+ 0A49 : A8;
+ 0A4A : ED;
+ 0A4B : A8;
+ 0A4C : ED;
+ 0A4D : A8;
+ 0A4E : ED;
+ 0A4F : A8;
+ 0A50 : ED;
+ 0A51 : A8;
+ 0A52 : ED;
+ 0A53 : A8;
+ 0A54 : ED;
+ 0A55 : A8;
+ 0A56 : ED;
+ 0A57 : A8;
+ 0A58 : ED;
+ 0A59 : A8;
+ 0A5A : ED;
+ 0A5B : A8;
+ 0A5C : ED;
+ 0A5D : A8;
+ 0A5E : ED;
+ 0A5F : A8;
+ 0A60 : ED;
+ 0A61 : A8;
+ 0A62 : ED;
+ 0A63 : A8;
+ 0A64 : ED;
+ 0A65 : A8;
+ 0A66 : ED;
+ 0A67 : A8;
+ 0A68 : ED;
+ 0A69 : A8;
+ 0A6A : ED;
+ 0A6B : A8;
+ 0A6C : ED;
+ 0A6D : A8;
+ 0A6E : ED;
+ 0A6F : A8;
+ 0A70 : ED;
+ 0A71 : A8;
+ 0A72 : ED;
+ 0A73 : A8;
+ 0A74 : ED;
+ 0A75 : A8;
+ 0A76 : ED;
+ 0A77 : A8;
+ 0A78 : ED;
+ 0A79 : A8;
+ 0A7A : ED;
+ 0A7B : A8;
+ 0A7C : ED;
+ 0A7D : A8;
+ 0A7E : ED;
+ 0A7F : A8;
+ 0A80 : ED;
+ 0A81 : A8;
+ 0A82 : ED;
+ 0A83 : A8;
+ 0A84 : ED;
+ 0A85 : A8;
+ 0A86 : ED;
+ 0A87 : A8;
+ 0A88 : ED;
+ 0A89 : A8;
+ 0A8A : ED;
+ 0A8B : A8;
+ 0A8C : ED;
+ 0A8D : A8;
+ 0A8E : ED;
+ 0A8F : A8;
+ 0A90 : ED;
+ 0A91 : A8;
+ 0A92 : ED;
+ 0A93 : A8;
+ 0A94 : ED;
+ 0A95 : A8;
+ 0A96 : ED;
+ 0A97 : A8;
+ 0A98 : ED;
+ 0A99 : A8;
+ 0A9A : ED;
+ 0A9B : A8;
+ 0A9C : ED;
+ 0A9D : A8;
+ 0A9E : ED;
+ 0A9F : A8;
+ 0AA0 : ED;
+ 0AA1 : A8;
+ 0AA2 : ED;
+ 0AA3 : A8;
+ 0AA4 : ED;
+ 0AA5 : A8;
+ 0AA6 : ED;
+ 0AA7 : A8;
+ 0AA8 : ED;
+ 0AA9 : A8;
+ 0AAA : ED;
+ 0AAB : A8;
+ 0AAC : ED;
+ 0AAD : A8;
+ 0AAE : ED;
+ 0AAF : A8;
+ 0AB0 : ED;
+ 0AB1 : A8;
+ 0AB2 : ED;
+ 0AB3 : A8;
+ 0AB4 : ED;
+ 0AB5 : A8;
+ 0AB6 : ED;
+ 0AB7 : A8;
+ 0AB8 : ED;
+ 0AB9 : A8;
+ 0ABA : ED;
+ 0ABB : A8;
+ 0ABC : ED;
+ 0ABD : A8;
+ 0ABE : ED;
+ 0ABF : A8;
+ 0AC0 : ED;
+ 0AC1 : A8;
+ 0AC2 : ED;
+ 0AC3 : A8;
+ 0AC4 : ED;
+ 0AC5 : A8;
+ 0AC6 : ED;
+ 0AC7 : A8;
+ 0AC8 : ED;
+ 0AC9 : A8;
+ 0ACA : ED;
+ 0ACB : A8;
+ 0ACC : ED;
+ 0ACD : A8;
+ 0ACE : ED;
+ 0ACF : A8;
+ 0AD0 : ED;
+ 0AD1 : A8;
+ 0AD2 : ED;
+ 0AD3 : A8;
+ 0AD4 : ED;
+ 0AD5 : A8;
+ 0AD6 : ED;
+ 0AD7 : A8;
+ 0AD8 : ED;
+ 0AD9 : A8;
+ 0ADA : ED;
+ 0ADB : A8;
+ 0ADC : ED;
+ 0ADD : A8;
+ 0ADE : ED;
+ 0ADF : A8;
+ 0AE0 : ED;
+ 0AE1 : A8;
+ 0AE2 : ED;
+ 0AE3 : A8;
+ 0AE4 : ED;
+ 0AE5 : A8;
+ 0AE6 : ED;
+ 0AE7 : A8;
+ 0AE8 : ED;
+ 0AE9 : A8;
+ 0AEA : ED;
+ 0AEB : A8;
+ 0AEC : ED;
+ 0AED : A8;
+ 0AEE : ED;
+ 0AEF : A8;
+ 0AF0 : ED;
+ 0AF1 : A8;
+ 0AF2 : ED;
+ 0AF3 : A8;
+ 0AF4 : ED;
+ 0AF5 : A8;
+ 0AF6 : ED;
+ 0AF7 : A8;
+ 0AF8 : ED;
+ 0AF9 : A8;
+ 0AFA : ED;
+ 0AFB : A8;
+ 0AFC : ED;
+ 0AFD : A8;
+ 0AFE : ED;
+ 0AFF : A8;
+ 0B00 : ED;
+ 0B01 : A8;
+ 0B02 : ED;
+ 0B03 : A8;
+ 0B04 : ED;
+ 0B05 : A8;
+ 0B06 : ED;
+ 0B07 : A8;
+ 0B08 : ED;
+ 0B09 : A8;
+ 0B0A : ED;
+ 0B0B : A8;
+ 0B0C : ED;
+ 0B0D : A8;
+ 0B0E : ED;
+ 0B0F : A8;
+ 0B10 : ED;
+ 0B11 : A8;
+ 0B12 : ED;
+ 0B13 : A8;
+ 0B14 : ED;
+ 0B15 : A8;
+ 0B16 : ED;
+ 0B17 : A8;
+ 0B18 : ED;
+ 0B19 : A8;
+ 0B1A : ED;
+ 0B1B : A8;
+ 0B1C : ED;
+ 0B1D : A8;
+ 0B1E : ED;
+ 0B1F : A8;
+ 0B20 : ED;
+ 0B21 : A8;
+ 0B22 : ED;
+ 0B23 : A8;
+ 0B24 : ED;
+ 0B25 : A8;
+ 0B26 : ED;
+ 0B27 : A8;
+ 0B28 : ED;
+ 0B29 : A8;
+ 0B2A : ED;
+ 0B2B : A8;
+ 0B2C : ED;
+ 0B2D : A8;
+ 0B2E : ED;
+ 0B2F : A8;
+ 0B30 : ED;
+ 0B31 : A8;
+ 0B32 : ED;
+ 0B33 : A8;
+ 0B34 : ED;
+ 0B35 : A8;
+ 0B36 : ED;
+ 0B37 : A8;
+ 0B38 : ED;
+ 0B39 : A8;
+ 0B3A : ED;
+ 0B3B : A8;
+ 0B3C : ED;
+ 0B3D : A8;
+ 0B3E : ED;
+ 0B3F : A8;
+ 0B40 : ED;
+ 0B41 : A8;
+ 0B42 : ED;
+ 0B43 : A8;
+ 0B44 : ED;
+ 0B45 : A8;
+ 0B46 : ED;
+ 0B47 : A8;
+ 0B48 : ED;
+ 0B49 : A8;
+ 0B4A : ED;
+ 0B4B : A8;
+ 0B4C : ED;
+ 0B4D : A8;
+ 0B4E : ED;
+ 0B4F : A8;
+ 0B50 : ED;
+ 0B51 : A8;
+ 0B52 : ED;
+ 0B53 : A8;
+ 0B54 : ED;
+ 0B55 : A8;
+ 0B56 : ED;
+ 0B57 : A8;
+ 0B58 : ED;
+ 0B59 : A8;
+ 0B5A : ED;
+ 0B5B : A8;
+ 0B5C : ED;
+ 0B5D : A8;
+ 0B5E : ED;
+ 0B5F : A8;
+ 0B60 : ED;
+ 0B61 : A8;
+ 0B62 : ED;
+ 0B63 : A8;
+ 0B64 : ED;
+ 0B65 : A8;
+ 0B66 : ED;
+ 0B67 : A8;
+ 0B68 : ED;
+ 0B69 : A8;
+ 0B6A : ED;
+ 0B6B : A8;
+ 0B6C : ED;
+ 0B6D : A8;
+ 0B6E : ED;
+ 0B6F : A8;
+ 0B70 : ED;
+ 0B71 : A8;
+ 0B72 : ED;
+ 0B73 : A8;
+ 0B74 : ED;
+ 0B75 : A8;
+ 0B76 : ED;
+ 0B77 : A8;
+ 0B78 : ED;
+ 0B79 : A8;
+ 0B7A : ED;
+ 0B7B : A8;
+ 0B7C : ED;
+ 0B7D : A8;
+ 0B7E : ED;
+ 0B7F : A8;
+ 0B80 : ED;
+ 0B81 : A8;
+ 0B82 : ED;
+ 0B83 : A8;
+ 0B84 : ED;
+ 0B85 : A8;
+ 0B86 : ED;
+ 0B87 : A8;
+ 0B88 : ED;
+ 0B89 : A8;
+ 0B8A : ED;
+ 0B8B : A8;
+ 0B8C : ED;
+ 0B8D : A8;
+ 0B8E : ED;
+ 0B8F : A8;
+ 0B90 : ED;
+ 0B91 : A8;
+ 0B92 : ED;
+ 0B93 : A8;
+ 0B94 : ED;
+ 0B95 : A8;
+ 0B96 : ED;
+ 0B97 : A8;
+ 0B98 : ED;
+ 0B99 : A8;
+ 0B9A : ED;
+ 0B9B : A8;
+ 0B9C : ED;
+ 0B9D : A8;
+ 0B9E : ED;
+ 0B9F : A8;
+ 0BA0 : ED;
+ 0BA1 : A8;
+ 0BA2 : ED;
+ 0BA3 : A8;
+ 0BA4 : ED;
+ 0BA5 : A8;
+ 0BA6 : ED;
+ 0BA7 : A8;
+ 0BA8 : ED;
+ 0BA9 : A8;
+ 0BAA : ED;
+ 0BAB : A8;
+ 0BAC : ED;
+ 0BAD : A8;
+ 0BAE : ED;
+ 0BAF : A8;
+ 0BB0 : ED;
+ 0BB1 : A8;
+ 0BB2 : ED;
+ 0BB3 : A8;
+ 0BB4 : ED;
+ 0BB5 : A8;
+ 0BB6 : ED;
+ 0BB7 : A8;
+ 0BB8 : ED;
+ 0BB9 : A8;
+ 0BBA : ED;
+ 0BBB : A8;
+ 0BBC : ED;
+ 0BBD : A8;
+ 0BBE : ED;
+ 0BBF : A8;
+ 0BC0 : ED;
+ 0BC1 : A8;
+ 0BC2 : ED;
+ 0BC3 : A8;
+ 0BC4 : ED;
+ 0BC5 : A8;
+ 0BC6 : ED;
+ 0BC7 : A8;
+ 0BC8 : ED;
+ 0BC9 : A8;
+ 0BCA : ED;
+ 0BCB : A8;
+ 0BCC : ED;
+ 0BCD : A8;
+ 0BCE : ED;
+ 0BCF : A8;
+ 0BD0 : ED;
+ 0BD1 : A8;
+ 0BD2 : ED;
+ 0BD3 : A8;
+ 0BD4 : ED;
+ 0BD5 : A8;
+ 0BD6 : ED;
+ 0BD7 : A8;
+ 0BD8 : ED;
+ 0BD9 : A8;
+ 0BDA : ED;
+ 0BDB : A8;
+ 0BDC : ED;
+ 0BDD : A8;
+ 0BDE : ED;
+ 0BDF : A8;
+ 0BE0 : ED;
+ 0BE1 : A8;
+ 0BE2 : ED;
+ 0BE3 : A8;
+ 0BE4 : ED;
+ 0BE5 : A8;
+ 0BE6 : ED;
+ 0BE7 : A8;
+ 0BE8 : ED;
+ 0BE9 : A8;
+ 0BEA : ED;
+ 0BEB : A8;
+ 0BEC : ED;
+ 0BED : A8;
+ 0BEE : ED;
+ 0BEF : A8;
+ 0BF0 : ED;
+ 0BF1 : A8;
+ 0BF2 : ED;
+ 0BF3 : A8;
+ 0BF4 : ED;
+ 0BF5 : A8;
+ 0BF6 : ED;
+ 0BF7 : A8;
+ 0BF8 : ED;
+ 0BF9 : A8;
+ 0BFA : ED;
+ 0BFB : A8;
+ 0BFC : ED;
+ 0BFD : A8;
+ 0BFE : ED;
+ 0BFF : A8;
+ 0C00 : 3A;
+ 0C01 : 82;
+ 0C02 : 40;
+ 0C03 : 32;
+ 0C04 : 81;
+ 0C05 : 40;
+ 0C06 : D3;
+ 0C07 : 00;
+ 0C08 : C9;
+ 0C09 : 06;
+ 0C0A : 81;
+ 0C0B : 2A;
+ 0C0C : 98;
+ 0C0D : 41;
+ 0C0E : 3A;
+ 0C0F : 9A;
+ 0C10 : 41;
+ 0C11 : 37;
+ 0C12 : CB;
+ 0C13 : 14;
+ 0C14 : 17;
+ 0C15 : CB;
+ 0C16 : 0C;
+ 0C17 : 5F;
+ 0C18 : 16;
+ 0C19 : 40;
+ 0C1A : 1A;
+ 0C1B : B7;
+ 0C1C : CA;
+ 0C1D : 78;
+ 0C1E : 0C;
+ 0C1F : 32;
+ 0C20 : 81;
+ 0C21 : 40;
+ 0C22 : D3;
+ 0C23 : 00;
+ 0C24 : 3A;
+ 0C25 : 80;
+ 0C26 : 40;
+ 0C27 : BB;
+ 0C28 : 20;
+ 0C29 : 08;
+ 0C2A : 7C;
+ 0C2B : FE;
+ 0C2C : C0;
+ 0C2D : 38;
+ 0C2E : 34;
+ 0C2F : C3;
+ 0C30 : 78;
+ 0C31 : 0C;
+ 0C32 : DB;
+ 0C33 : 04;
+ 0C34 : A0;
+ 0C35 : 28;
+ 0C36 : FB;
+ 0C37 : 0F;
+ 0C38 : DB;
+ 0C39 : 02;
+ 0C3A : 38;
+ 0C3B : 11;
+ 0C3C : 81;
+ 0C3D : 77;
+ 0C3E : 2C;
+ 0C3F : C2;
+ 0C40 : 32;
+ 0C41 : 0C;
+ 0C42 : 24;
+ 0C43 : C2;
+ 0C44 : 32;
+ 0C45 : 0C;
+ 0C46 : 1C;
+ 0C47 : 21;
+ 0C48 : 00;
+ 0C49 : 80;
+ 0C4A : C3;
+ 0C4B : 1A;
+ 0C4C : 0C;
+ 0C4D : DB;
+ 0C4E : 01;
+ 0C4F : FE;
+ 0C50 : F3;
+ 0C51 : CA;
+ 0C52 : 79;
+ 0C53 : 06;
+ 0C54 : FE;
+ 0C55 : F4;
+ 0C56 : CA;
+ 0C57 : 7E;
+ 0C58 : 06;
+ 0C59 : D3;
+ 0C5A : 05;
+ 0C5B : FE;
+ 0C5C : D2;
+ 0C5D : CA;
+ 0C5E : AD;
+ 0C5F : 0C;
+ 0C60 : C3;
+ 0C61 : 32;
+ 0C62 : 0C;
+ 0C63 : DB;
+ 0C64 : 04;
+ 0C65 : A0;
+ 0C66 : 28;
+ 0C67 : FB;
+ 0C68 : 0F;
+ 0C69 : DB;
+ 0C6A : 02;
+ 0C6B : 38;
+ 0C6C : 2B;
+ 0C6D : 77;
+ 0C6E : 2C;
+ 0C6F : C2;
+ 0C70 : 63;
+ 0C71 : 0C;
+ 0C72 : 24;
+ 0C73 : CB;
+ 0C74 : 74;
+ 0C75 : CA;
+ 0C76 : 63;
+ 0C77 : 0C;
+ 0C78 : DB;
+ 0C79 : 04;
+ 0C7A : A0;
+ 0C7B : 28;
+ 0C7C : FB;
+ 0C7D : 0F;
+ 0C7E : DB;
+ 0C7F : 02;
+ 0C80 : D2;
+ 0C81 : 78;
+ 0C82 : 0C;
+ 0C83 : DB;
+ 0C84 : 01;
+ 0C85 : FE;
+ 0C86 : F3;
+ 0C87 : CA;
+ 0C88 : 79;
+ 0C89 : 06;
+ 0C8A : FE;
+ 0C8B : F4;
+ 0C8C : CA;
+ 0C8D : 7E;
+ 0C8E : 06;
+ 0C8F : D3;
+ 0C90 : 05;
+ 0C91 : FE;
+ 0C92 : D2;
+ 0C93 : 28;
+ 0C94 : 18;
+ 0C95 : C3;
+ 0C96 : 78;
+ 0C97 : 0C;
+ 0C98 : DB;
+ 0C99 : 01;
+ 0C9A : FE;
+ 0C9B : F3;
+ 0C9C : CA;
+ 0C9D : 79;
+ 0C9E : 06;
+ 0C9F : FE;
+ 0CA0 : F4;
+ 0CA1 : CA;
+ 0CA2 : 7E;
+ 0CA3 : 06;
+ 0CA4 : D3;
+ 0CA5 : 05;
+ 0CA6 : FE;
+ 0CA7 : D2;
+ 0CA8 : 28;
+ 0CA9 : 03;
+ 0CAA : C3;
+ 0CAB : 63;
+ 0CAC : 0C;
+ 0CAD : 7B;
+ 0CAE : CB;
+ 0CAF : 14;
+ 0CB0 : CB;
+ 0CB1 : 3F;
+ 0CB2 : CB;
+ 0CB3 : 1C;
+ 0CB4 : 22;
+ 0CB5 : 98;
+ 0CB6 : 41;
+ 0CB7 : 32;
+ 0CB8 : 9A;
+ 0CB9 : 41;
+ 0CBA : 22;
+ 0CBB : D9;
+ 0CBC : 40;
+ 0CBD : 32;
+ 0CBE : DB;
+ 0CBF : 40;
+ 0CC0 : 5F;
+ 0CC1 : AF;
+ 0CC2 : 32;
+ 0CC3 : 81;
+ 0CC4 : 40;
+ 0CC5 : D3;
+ 0CC6 : 00;
+ 0CC7 : 7B;
+ 0CC8 : C9;
+ 0CC9 : 3A;
+ 0CCA : 00;
+ 0CCB : 40;
+ 0CCC : D3;
+ 0CCD : 00;
+ 0CCE : FD;
+ 0CCF : 21;
+ 0CD0 : 00;
+ 0CD1 : 46;
+ 0CD2 : 11;
+ 0CD3 : 40;
+ 0CD4 : 00;
+ 0CD5 : 06;
+ 0CD6 : 04;
+ 0CD7 : CD;
+ 0CD8 : 95;
+ 0CD9 : 1A;
+ 0CDA : 00;
+ 0CDB : FD;
+ 0CDC : 36;
+ 0CDD : 0F;
+ 0CDE : 00;
+ 0CDF : FD;
+ 0CE0 : 36;
+ 0CE1 : 3F;
+ 0CE2 : 80;
+ 0CE3 : FD;
+ 0CE4 : 36;
+ 0CE5 : 00;
+ 0CE6 : 01;
+ 0CE7 : FD;
+ 0CE8 : 36;
+ 0CE9 : 35;
+ 0CEA : 00;
+ 0CEB : FD;
+ 0CEC : 36;
+ 0CED : 34;
+ 0CEE : 00;
+ 0CEF : FD;
+ 0CF0 : 36;
+ 0CF1 : 33;
+ 0CF2 : 00;
+ 0CF3 : FD;
+ 0CF4 : 36;
+ 0CF5 : 31;
+ 0CF6 : 00;
+ 0CF7 : FD;
+ 0CF8 : 36;
+ 0CF9 : 19;
+ 0CFA : 40;
+ 0CFB : FD;
+ 0CFC : 36;
+ 0CFD : 1A;
+ 0CFE : 40;
+ 0CFF : FD;
+ 0D00 : 36;
+ 0D01 : 29;
+ 0D02 : 00;
+ 0D03 : FD;
+ 0D04 : 36;
+ 0D05 : 2A;
+ 0D06 : 00;
+ 0D07 : FD;
+ 0D08 : 36;
+ 0D09 : 17;
+ 0D0A : 80;
+ 0D0B : FD;
+ 0D0C : 36;
+ 0D0D : 18;
+ 0D0E : 20;
+ 0D0F : FD;
+ 0D10 : 36;
+ 0D11 : 1B;
+ 0D12 : 40;
+ 0D13 : FD;
+ 0D14 : 36;
+ 0D15 : 21;
+ 0D16 : FF;
+ 0D17 : FD;
+ 0D18 : 36;
+ 0D19 : 22;
+ 0D1A : FF;
+ 0D1B : FD;
+ 0D1C : 19;
+ 0D1D : 10;
+ 0D1E : B8;
+ 0D1F : DD;
+ 0D20 : 2E;
+ 0D21 : FF;
+ 0D22 : 3A;
+ 0D23 : 38;
+ 0D24 : 84;
+ 0D25 : FE;
+ 0D26 : 4D;
+ 0D27 : 28;
+ 0D28 : 0E;
+ 0D29 : FE;
+ 0D2A : 34;
+ 0D2B : 28;
+ 0D2C : 0A;
+ 0D2D : FE;
+ 0D2E : 46;
+ 0D2F : 28;
+ 0D30 : 06;
+ 0D31 : DD;
+ 0D32 : 2E;
+ 0D33 : 00;
+ 0D34 : C3;
+ 0D35 : 62;
+ 0D36 : 0D;
+ 0D37 : 3A;
+ 0D38 : 39;
+ 0D39 : 84;
+ 0D3A : FE;
+ 0D3B : 2E;
+ 0D3C : 28;
+ 0D3D : 12;
+ 0D3E : FE;
+ 0D3F : 4C;
+ 0D40 : 28;
+ 0D41 : 0E;
+ 0D42 : FE;
+ 0D43 : 21;
+ 0D44 : 28;
+ 0D45 : 0A;
+ 0D46 : FE;
+ 0D47 : 43;
+ 0D48 : 28;
+ 0D49 : 06;
+ 0D4A : DD;
+ 0D4B : 2E;
+ 0D4C : 00;
+ 0D4D : C3;
+ 0D4E : 62;
+ 0D4F : 0D;
+ [0D50..0D51] : 3A;
+ 0D52 : 84;
+ 0D53 : FE;
+ 0D54 : 4B;
+ 0D55 : 28;
+ 0D56 : 0B;
+ 0D57 : FE;
+ 0D58 : 54;
+ 0D59 : 28;
+ 0D5A : 07;
+ 0D5B : FE;
+ 0D5C : 48;
+ 0D5D : 28;
+ 0D5E : 03;
+ 0D5F : DD;
+ 0D60 : 2E;
+ 0D61 : 00;
+ 0D62 : DD;
+ 0D63 : 7D;
+ 0D64 : 32;
+ 0D65 : 9F;
+ 0D66 : 41;
+ 0D67 : 21;
+ 0D68 : B8;
+ 0D69 : 83;
+ 0D6A : B7;
+ 0D6B : 11;
+ 0D6C : 3C;
+ 0D6D : 04;
+ 0D6E : 20;
+ 0D6F : 06;
+ 0D70 : 11;
+ 0D71 : 58;
+ 0D72 : 02;
+ 0D73 : 21;
+ 0D74 : D8;
+ 0D75 : 81;
+ 0D76 : 06;
+ 0D77 : 80;
+ 0D78 : 97;
+ 0D79 : BE;
+ 0D7A : 30;
+ 0D7B : 01;
+ 0D7C : 7E;
+ 0D7D : 23;
+ 0D7E : 10;
+ 0D7F : F9;
+ 0D80 : 3C;
+ 0D81 : 32;
+ 0D82 : 9E;
+ 0D83 : 41;
+ 0D84 : 6F;
+ 0D85 : 60;
+ [0D86..0D87] : 29;
+ 0D88 : 7C;
+ 0D89 : 65;
+ 0D8A : 68;
+ 0D8B : 19;
+ 0D8C : 88;
+ 0D8D : CB;
+ 0D8E : 34;
+ 0D8F : 17;
+ 0D90 : CB;
+ 0D91 : 0C;
+ 0D92 : 5F;
+ 0D93 : 22;
+ 0D94 : 9B;
+ 0D95 : 41;
+ 0D96 : 32;
+ 0D97 : 9D;
+ 0D98 : 41;
+ [0D99..0D9B] : 00;
+ 0D9C : DD;
+ 0D9D : 7D;
+ 0D9E : B7;
+ 0D9F : 01;
+ 0DA0 : B6;
+ 0DA1 : 83;
+ 0DA2 : 20;
+ 0DA3 : 03;
+ 0DA4 : 01;
+ 0DA5 : D6;
+ 0DA6 : 81;
+ 0DA7 : 0A;
+ 0DA8 : 3D;
+ 0DA9 : 32;
+ 0DAA : 5C;
+ 0DAB : 41;
+ 0DAC : 03;
+ 0DAD : 0A;
+ 0DAE : 32;
+ 0DAF : 5D;
+ 0DB0 : 41;
+ 0DB1 : DD;
+ 0DB2 : 21;
+ 0DB3 : 00;
+ 0DB4 : 54;
+ 0DB5 : FD;
+ 0DB6 : 21;
+ 0DB7 : 14;
+ 0DB8 : 80;
+ 0DB9 : 06;
+ 0DBA : 1F;
+ 0DBB : 4B;
+ 0DBC : C5;
+ 0DBD : DD;
+ 0DBE : 71;
+ 0DBF : 00;
+ 0DC0 : DD;
+ 0DC1 : 75;
+ 0DC2 : 01;
+ 0DC3 : DD;
+ 0DC4 : 74;
+ 0DC5 : 02;
+ 0DC6 : FD;
+ 0DC7 : 7E;
+ 0DC8 : 1C;
+ 0DC9 : B7;
+ 0DCA : 20;
+ 0DCB : 08;
+ 0DCC : FD;
+ 0DCD : 7E;
+ 0DCE : 1D;
+ 0DCF : FE;
+ 0DD0 : 02;
+ 0DD1 : DA;
+ 0DD2 : 99;
+ 0DD3 : 0E;
+ 0DD4 : E5;
+ 0DD5 : C5;
+ 0DD6 : FD;
+ 0DD7 : 6E;
+ 0DD8 : 1B;
+ 0DD9 : FD;
+ 0DDA : 66;
+ 0DDB : 1A;
+ 0DDC : FD;
+ 0DDD : 5E;
+ 0DDE : 17;
+ 0DDF : FD;
+ 0DE0 : 56;
+ 0DE1 : 16;
+ 0DE2 : ED;
+ 0DE3 : 52;
+ 0DE4 : C1;
+ 0DE5 : E1;
+ 0DE6 : D2;
+ 0DE7 : 99;
+ 0DE8 : 0E;
+ 0DE9 : E5;
+ 0DEA : C5;
+ 0DEB : FD;
+ 0DEC : 5E;
+ 0DED : 1B;
+ 0DEE : FD;
+ 0DEF : 56;
+ 0DF0 : 1A;
+ 0DF1 : EB;
+ 0DF2 : 29;
+ 0DF3 : EB;
+ 0DF4 : 06;
+ 0DF5 : 00;
+ 0DF6 : CB;
+ 0DF7 : 10;
+ 0DF8 : CB;
+ 0DF9 : 39;
+ 0DFA : CB;
+ 0DFB : 14;
+ 0DFC : CB;
+ 0DFD : 0C;
+ 0DFE : 19;
+ 0DFF : 79;
+ 0E00 : 88;
+ 0E01 : 4F;
+ 0E02 : CB;
+ 0E03 : 34;
+ 0E04 : CB;
+ 0E05 : 11;
+ 0E06 : CB;
+ 0E07 : 0C;
+ 0E08 : DD;
+ 0E09 : 71;
+ 0E0A : 08;
+ 0E0B : DD;
+ 0E0C : 75;
+ 0E0D : 09;
+ 0E0E : DD;
+ 0E0F : 74;
+ 0E10 : 0A;
+ 0E11 : CB;
+ 0E12 : 39;
+ 0E13 : CB;
+ 0E14 : 14;
+ 0E15 : CB;
+ 0E16 : 0C;
+ 0E17 : FD;
+ 0E18 : 5E;
+ 0E19 : 1D;
+ 0E1A : FD;
+ 0E1B : 56;
+ 0E1C : 1C;
+ 0E1D : EB;
+ 0E1E : 29;
+ 0E1F : EB;
+ 0E20 : 06;
+ 0E21 : 00;
+ 0E22 : CB;
+ 0E23 : 10;
+ 0E24 : 19;
+ 0E25 : 79;
+ 0E26 : 88;
+ 0E27 : 4F;
+ 0E28 : CB;
+ 0E29 : 34;
+ 0E2A : CB;
+ 0E2B : 11;
+ 0E2C : CB;
+ 0E2D : 0C;
+ 0E2E : DD;
+ 0E2F : 71;
+ 0E30 : 0B;
+ 0E31 : DD;
+ 0E32 : 75;
+ 0E33 : 0C;
+ 0E34 : DD;
+ 0E35 : 74;
+ 0E36 : 0D;
+ 0E37 : C1;
+ 0E38 : E1;
+ 0E39 : FD;
+ 0E3A : 5E;
+ 0E3B : 17;
+ 0E3C : FD;
+ 0E3D : 56;
+ 0E3E : 16;
+ 0E3F : EB;
+ 0E40 : 29;
+ 0E41 : EB;
+ 0E42 : 06;
+ 0E43 : 00;
+ 0E44 : CB;
+ 0E45 : 10;
+ 0E46 : CB;
+ 0E47 : 39;
+ 0E48 : CB;
+ 0E49 : 14;
+ 0E4A : CB;
+ 0E4B : 0C;
+ 0E4C : 19;
+ 0E4D : 79;
+ 0E4E : 88;
+ 0E4F : 4F;
+ 0E50 : CB;
+ 0E51 : 34;
+ 0E52 : CB;
+ 0E53 : 11;
+ 0E54 : CB;
+ 0E55 : 0C;
+ 0E56 : C3;
+ 0E57 : 84;
+ 0E58 : 0E;
+ 0E59 : DD;
+ 0E5A : 7E;
+ 0E5B : 0B;
+ 0E5C : B9;
+ 0E5D : 38;
+ 0E5E : 25;
+ 0E5F : 20;
+ 0E60 : 0E;
+ 0E61 : DD;
+ 0E62 : 7E;
+ 0E63 : 0D;
+ 0E64 : BC;
+ 0E65 : 38;
+ 0E66 : 1D;
+ 0E67 : 20;
+ 0E68 : 06;
+ 0E69 : DD;
+ 0E6A : 7E;
+ 0E6B : 0C;
+ 0E6C : BD;
+ 0E6D : 38;
+ 0E6E : 15;
+ 0E6F : DD;
+ 0E70 : 7E;
+ 0E71 : 03;
+ 0E72 : DD;
+ 0E73 : 77;
+ 0E74 : 0B;
+ 0E75 : DD;
+ 0E76 : 7E;
+ 0E77 : 04;
+ 0E78 : DD;
+ 0E79 : 77;
+ 0E7A : 0C;
+ 0E7B : DD;
+ 0E7C : 7E;
+ 0E7D : 05;
+ 0E7E : DD;
+ 0E7F : 77;
+ 0E80 : 0D;
+ [0E81..0E82] : C3;
+ 0E83 : 0E;
+ 0E84 : DD;
+ 0E85 : 7E;
+ 0E86 : 0B;
+ 0E87 : DD;
+ 0E88 : 77;
+ 0E89 : 03;
+ 0E8A : DD;
+ 0E8B : 7E;
+ 0E8C : 0C;
+ 0E8D : DD;
+ 0E8E : 77;
+ 0E8F : 04;
+ 0E90 : DD;
+ 0E91 : 7E;
+ 0E92 : 0D;
+ 0E93 : DD;
+ 0E94 : 77;
+ 0E95 : 05;
+ [0E96..0E97] : C3;
+ 0E98 : 0E;
+ 0E99 : DD;
+ 0E9A : 36;
+ 0E9B : 08;
+ 0E9C : FF;
+ 0E9D : FD;
+ 0E9E : 5E;
+ 0E9F : 17;
+ 0EA0 : FD;
+ 0EA1 : 56;
+ 0EA2 : 16;
+ 0EA3 : EB;
+ 0EA4 : 29;
+ 0EA5 : EB;
+ 0EA6 : 06;
+ 0EA7 : 00;
+ 0EA8 : CB;
+ 0EA9 : 10;
+ 0EAA : CB;
+ 0EAB : 39;
+ 0EAC : CB;
+ 0EAD : 14;
+ 0EAE : CB;
+ 0EAF : 0C;
+ 0EB0 : 19;
+ 0EB1 : 79;
+ 0EB2 : 88;
+ 0EB3 : 4F;
+ 0EB4 : CB;
+ 0EB5 : 34;
+ 0EB6 : CB;
+ 0EB7 : 11;
+ 0EB8 : CB;
+ 0EB9 : 0C;
+ 0EBA : DD;
+ 0EBB : 75;
+ 0EBC : 04;
+ 0EBD : DD;
+ 0EBE : 74;
+ 0EBF : 05;
+ 0EC0 : DD;
+ 0EC1 : 71;
+ 0EC2 : 03;
+ 0EC3 : FD;
+ 0EC4 : 7E;
+ 0EC5 : 18;
+ 0EC6 : 87;
+ 0EC7 : DD;
+ 0EC8 : 77;
+ 0EC9 : 06;
+ 0ECA : FD;
+ 0ECB : 7E;
+ 0ECC : 19;
+ 0ECD : DD;
+ 0ECE : 77;
+ 0ECF : 07;
+ 0ED0 : 11;
+ 0ED1 : 10;
+ 0ED2 : 00;
+ 0ED3 : DD;
+ 0ED4 : 19;
+ 0ED5 : 11;
+ 0ED6 : 1E;
+ 0ED7 : 00;
+ 0ED8 : FD;
+ 0ED9 : 19;
+ 0EDA : 79;
+ 0EDB : C1;
+ 0EDC : 4F;
+ 0EDD : 05;
+ 0EDE : C2;
+ 0EDF : BC;
+ 0EE0 : 0D;
+ 0EE1 : 21;
+ 0EE2 : B7;
+ 0EE3 : 40;
+ 0EE4 : 7E;
+ 0EE5 : B7;
+ 0EE6 : 20;
+ 0EE7 : 38;
+ 0EE8 : 36;
+ 0EE9 : FF;
+ 0EEA : 2A;
+ 0EEB : 9B;
+ 0EEC : 41;
+ 0EED : 3A;
+ 0EEE : 9D;
+ 0EEF : 41;
+ 0EF0 : 5F;
+ 0EF1 : 16;
+ 0EF2 : 40;
+ 0EF3 : 3A;
+ 0EF4 : 80;
+ 0EF5 : 40;
+ 0EF6 : 93;
+ 0EF7 : 47;
+ 0EF8 : 1A;
+ 0EF9 : D3;
+ 0EFA : 00;
+ 0EFB : 7E;
+ 0EFC : C6;
+ 0EFD : 80;
+ 0EFE : 77;
+ 0EFF : 2C;
+ 0F00 : C2;
+ 0F01 : FB;
+ 0F02 : 0E;
+ 0F03 : 24;
+ 0F04 : C2;
+ 0F05 : FB;
+ 0F06 : 0E;
+ 0F07 : 26;
+ 0F08 : 80;
+ 0F09 : 1C;
+ 0F0A : 10;
+ 0F0B : EC;
+ 0F0C : 1A;
+ 0F0D : D3;
+ 0F0E : 00;
+ 0F0F : B7;
+ 0F10 : 28;
+ 0F11 : 0E;
+ 0F12 : 7E;
+ 0F13 : C6;
+ 0F14 : 80;
+ 0F15 : 77;
+ 0F16 : 2C;
+ 0F17 : C2;
+ 0F18 : 12;
+ 0F19 : 0F;
+ 0F1A : 24;
+ 0F1B : CB;
+ 0F1C : 74;
+ 0F1D : CA;
+ 0F1E : 12;
+ 0F1F : 0F;
+ 0F20 : AF;
+ 0F21 : 32;
+ 0F22 : 81;
+ 0F23 : 40;
+ 0F24 : D3;
+ 0F25 : 00;
+ 0F26 : C9;
+ 0F27 : 3A;
+ 0F28 : 5B;
+ 0F29 : 41;
+ 0F2A : 5F;
+ 0F2B : 16;
+ 0F2C : 00;
+ 0F2D : 3A;
+ 0F2E : 9F;
+ 0F2F : 41;
+ 0F30 : 3C;
+ 0F31 : 21;
+ 0F32 : B8;
+ 0F33 : 83;
+ 0F34 : 28;
+ 0F35 : 03;
+ 0F36 : 21;
+ 0F37 : D8;
+ 0F38 : 81;
+ 0F39 : 19;
+ 0F3A : 3A;
+ 0F3B : 00;
+ 0F3C : 40;
+ 0F3D : D3;
+ 0F3E : 00;
+ 0F3F : C3;
+ 0F40 : 31;
+ 0F41 : 1A;
+ 0F42 : 5A;
+ 0F43 : 57;
+ 0F44 : 3A;
+ 0F45 : 9F;
+ 0F46 : 41;
+ 0F47 : 3C;
+ 0F48 : 21;
+ 0F49 : 3C;
+ 0F4A : 04;
+ 0F4B : 28;
+ 0F4C : 03;
+ 0F4D : 21;
+ 0F4E : 58;
+ 0F4F : 02;
+ 0F50 : AF;
+ 0F51 : 32;
+ 0F52 : 81;
+ 0F53 : 40;
+ 0F54 : D3;
+ 0F55 : 00;
+ 0F56 : 19;
+ 0F57 : 11;
+ 0F58 : 00;
+ 0F59 : 50;
+ 0F5A : 01;
+ 0F5B : 00;
+ 0F5C : 04;
+ 0F5D : CD;
+ 0F5E : 80;
+ 0F5F : CD;
+ 0F60 : AF;
+ 0F61 : D3;
+ 0F62 : 00;
+ 0F63 : C9;
+ 0F64 : 3A;
+ 0F65 : A0;
+ 0F66 : 40;
+ 0F67 : 2F;
+ 0F68 : 4F;
+ 0F69 : 3A;
+ 0F6A : 9F;
+ 0F6B : 40;
+ 0F6C : A1;
+ 0F6D : 4F;
+ 0F6E : FD;
+ 0F6F : 21;
+ 0F70 : 00;
+ 0F71 : 46;
+ 0F72 : 3A;
+ 0F73 : 51;
+ 0F74 : 41;
+ 0F75 : E6;
+ 0F76 : C0;
+ 0F77 : 20;
+ 0F78 : 1D;
+ 0F79 : 79;
+ 0F7A : FD;
+ 0F7B : A6;
+ 0F7C : 25;
+ 0F7D : 28;
+ 0F7E : 0B;
+ 0F7F : FD;
+ 0F80 : CB;
+ 0F81 : 00;
+ 0F82 : 7E;
+ 0F83 : 28;
+ 0F84 : 05;
+ 0F85 : C5;
+ 0F86 : CD;
+ 0F87 : 57;
+ 0F88 : 15;
+ 0F89 : C1;
+ 0F8A : FD;
+ 0F8B : 7D;
+ 0F8C : C6;
+ 0F8D : 40;
+ 0F8E : FD;
+ 0F8F : 6F;
+ 0F90 : D2;
+ 0F91 : 79;
+ 0F92 : 0F;
+ 0F93 : C3;
+ 0F94 : 96;
+ 0F95 : 0F;
+ 0F96 : AF;
+ 0F97 : 32;
+ 0F98 : 81;
+ 0F99 : 40;
+ 0F9A : D3;
+ 0F9B : 00;
+ 0F9C : 21;
+ 0F9D : 48;
+ 0F9E : 41;
+ 0F9F : 3A;
+ 0FA0 : 8C;
+ 0FA1 : 40;
+ 0FA2 : C6;
+ 0FA3 : 20;
+ 0FA4 : 5F;
+ 0FA5 : 16;
+ 0FA6 : 41;
+ 0FA7 : ED;
+ 0FA8 : A0;
+ 0FA9 : ED;
+ 0FAA : A0;
+ 0FAB : ED;
+ 0FAC : A0;
+ 0FAD : ED;
+ 0FAE : A0;
+ 0FAF : 2A;
+ 0FB0 : 8C;
+ 0FB1 : 40;
+ 0FB2 : 45;
+ 0FB3 : 2C;
+ 0FB4 : C5;
+ 0FB5 : E5;
+ 0FB6 : 3A;
+ 0FB7 : B4;
+ 0FB8 : 40;
+ 0FB9 : E6;
+ 0FBA : 0F;
+ 0FBB : 21;
+ 0FBC : 01;
+ 0FBD : 18;
+ 0FBE : 87;
+ 0FBF : 85;
+ 0FC0 : 6F;
+ 0FC1 : 7C;
+ 0FC2 : CE;
+ 0FC3 : 00;
+ 0FC4 : 67;
+ 0FC5 : 4E;
+ 0FC6 : 23;
+ 0FC7 : 46;
+ 0FC8 : 3A;
+ 0FC9 : B4;
+ 0FCA : 40;
+ 0FCB : E6;
+ 0FCC : 0F;
+ [0FCD..0FCE] : 21;
+ 0FCF : 18;
+ 0FD0 : 85;
+ 0FD1 : 6F;
+ 0FD2 : 7C;
+ 0FD3 : CE;
+ 0FD4 : 00;
+ 0FD5 : 67;
+ 0FD6 : 3A;
+ 0FD7 : 8C;
+ 0FD8 : 40;
+ 0FD9 : C6;
+ 0FDA : 60;
+ 0FDB : 86;
+ 0FDC : E1;
+ 0FDD : 77;
+ 0FDE : 2C;
+ 0FDF : 71;
+ 0FE0 : 2C;
+ 0FE1 : 70;
+ 0FE2 : C1;
+ 0FE3 : 2C;
+ 0FE4 : CB;
+ 0FE5 : AD;
+ 0FE6 : 22;
+ 0FE7 : 8C;
+ 0FE8 : 40;
+ 0FE9 : 68;
+ 0FEA : 3A;
+ 0FEB : B3;
+ 0FEC : 40;
+ 0FED : 77;
+ 0FEE : 3A;
+ 0FEF : 85;
+ 0FF0 : 40;
+ 0FF1 : B7;
+ 0FF2 : C2;
+ 0FF3 : FB;
+ 0FF4 : 0F;
+ 0FF5 : 22;
+ 0FF6 : 8E;
+ 0FF7 : 40;
+ 0FF8 : CD;
+ 0FF9 : CC;
+ 0FFA : 14;
+ 0FFB : 3A;
+ 0FFC : B3;
+ 0FFD : 40;
+ 0FFE : ED;
+ 0FFF : 44;
+ 1000 : 4F;
+ 1001 : 06;
+ 1002 : 00;
+ 1003 : 3A;
+ 1004 : 51;
+ 1005 : 41;
+ 1006 : E6;
+ [1007..1008] : C0;
+ 1009 : 2A;
+ 100A : 55;
+ 100B : 41;
+ 100C : B7;
+ 100D : ED;
+ 100E : 42;
+ 100F : 28;
+ 1010 : 04;
+ 1011 : 22;
+ 1012 : 55;
+ 1013 : 41;
+ 1014 : C9;
+ 1015 : 3A;
+ 1016 : 9E;
+ 1017 : 40;
+ 1018 : B7;
+ 1019 : C8;
+ 101A : 2A;
+ 101B : 53;
+ 101C : 41;
+ 101D : 22;
+ 101E : 55;
+ 101F : 41;
+ 1020 : AF;
+ 1021 : 32;
+ 1022 : 81;
+ 1023 : 40;
+ 1024 : D3;
+ 1025 : 00;
+ 1026 : FD;
+ 1027 : 21;
+ 1028 : 00;
+ 1029 : 46;
+ 102A : 06;
+ 102B : 04;
+ 102C : 3A;
+ 102D : 59;
+ 102E : 41;
+ 102F : 3C;
+ 1030 : 32;
+ 1031 : 59;
+ 1032 : 41;
+ 1033 : 21;
+ 1034 : 58;
+ 1035 : 41;
+ 1036 : BE;
+ 1037 : 38;
+ 1038 : 10;
+ 1039 : AF;
+ 103A : 32;
+ 103B : 59;
+ 103C : 41;
+ 103D : 3A;
+ 103E : 5E;
+ 103F : 41;
+ 1040 : B7;
+ 1041 : 28;
+ 1042 : 37;
+ 1043 : CD;
+ 1044 : 49;
+ 1045 : 10;
+ 1046 : C3;
+ 1047 : 6B;
+ 1048 : 11;
+ 1049 : FD;
+ 104A : 21;
+ 104B : 00;
+ 104C : 46;
+ 104D : 06;
+ 104E : 04;
+ 104F : C5;
+ 1050 : FD;
+ 1051 : 7E;
+ 1052 : 2B;
+ 1053 : FD;
+ 1054 : B6;
+ 1055 : 2C;
+ 1056 : 20;
+ 1057 : 06;
+ 1058 : CD;
+ 1059 : 24;
+ 105A : CF;
+ 105B : C3;
+ 105C : 61;
+ 105D : 10;
+ 105E : CD;
+ 105F : EE;
+ 1060 : CE;
+ 1061 : 01;
+ 1062 : 40;
+ 1063 : 00;
+ 1064 : FD;
+ 1065 : 09;
+ 1066 : C1;
+ 1067 : 10;
+ 1068 : E6;
+ 1069 : C9;
+ 106A : FD;
+ 106B : 6E;
+ 106C : 12;
+ 106D : FD;
+ 106E : 66;
+ 106F : 12;
+ 1070 : CD;
+ 1071 : 34;
+ 1072 : CF;
+ 1073 : FD;
+ 1074 : 75;
+ 1075 : 10;
+ 1076 : FD;
+ 1077 : 74;
+ 1078 : 11;
+ 1079 : C9;
+ 107A : C3;
+ 107B : 74;
+ 107C : 1A;
+ 107D : 46;
+ 107E : AF;
+ 107F : 32;
+ 1080 : 81;
+ 1081 : 40;
+ 1082 : D3;
+ 1083 : 00;
+ 1084 : 32;
+ 1085 : 9B;
+ 1086 : 40;
+ 1087 : AF;
+ 1088 : 32;
+ 1089 : 81;
+ 108A : 40;
+ 108B : D3;
+ 108C : 00;
+ 108D : CD;
+ 108E : C0;
+ 108F : 12;
+ 1090 : FD;
+ 1091 : 70;
+ 1092 : 2B;
+ 1093 : FD;
+ 1094 : 71;
+ 1095 : 2C;
+ 1096 : 7B;
+ 1097 : B7;
+ 1098 : 28;
+ 1099 : 0A;
+ 109A : FD;
+ 109B : 73;
+ 109C : 29;
+ 109D : D5;
+ 109E : C5;
+ 109F : CD;
+ 10A0 : 91;
+ 10A1 : 12;
+ 10A2 : C1;
+ 10A3 : D1;
+ 10A4 : 7A;
+ 10A5 : FE;
+ 10A6 : 7F;
+ 10A7 : CA;
+ 10A8 : 51;
+ 10A9 : 11;
+ 10AA : 78;
+ 10AB : FE;
+ 10AC : 03;
+ 10AD : CA;
+ 10AE : 4E;
+ 10AF : 11;
+ 10B0 : FE;
+ 10B1 : 05;
+ 10B2 : CA;
+ 10B3 : 4E;
+ 10B4 : 11;
+ 10B5 : FE;
+ 10B6 : 0E;
+ 10B7 : 20;
+ 10B8 : 1C;
+ 10B9 : 79;
+ 10BA : E6;
+ 10BB : F0;
+ 10BC : FE;
+ 10BD : 50;
+ 10BE : 28;
+ 10BF : 0D;
+ 10C0 : FD;
+ 10C1 : 72;
+ 10C2 : 28;
+ 10C3 : FD;
+ 10C4 : 72;
+ 10C5 : 14;
+ 10C6 : FE;
+ 10C7 : D0;
+ 10C8 : 28;
+ 10C9 : 14;
+ 10CA : C3;
+ 10CB : D5;
+ 10CC : 10;
+ 10CD : 79;
+ 10CE : E6;
+ 10CF : 0F;
+ 10D0 : CB;
+ 10D1 : 27;
+ 10D2 : FD;
+ 10D3 : 77;
+ 10D4 : 15;
+ 10D5 : FD;
+ 10D6 : 72;
+ 10D7 : 28;
+ 10D8 : FD;
+ 10D9 : 72;
+ 10DA : 14;
+ 10DB : CD;
+ 10DC : FF;
+ 10DD : 11;
+ 10DE : FD;
+ 10DF : 5E;
+ 10E0 : 28;
+ 10E1 : CD;
+ 10E2 : C0;
+ 10E3 : C9;
+ 10E4 : FD;
+ 10E5 : 75;
+ 10E6 : 12;
+ 10E7 : FD;
+ 10E8 : 74;
+ 10E9 : 13;
+ 10EA : FD;
+ 10EB : 5E;
+ 10EC : 28;
+ 10ED : CD;
+ 10EE : C5;
+ 10EF : C9;
+ 10F0 : FD;
+ 10F1 : 75;
+ 10F2 : 10;
+ 10F3 : FD;
+ 10F4 : 74;
+ 10F5 : 11;
+ 10F6 : FD;
+ 10F7 : 7E;
+ 10F8 : 2B;
+ 10F9 : FE;
+ 10FA : 09;
+ 10FB : C2;
+ 10FC : 51;
+ 10FD : 11;
+ 10FE : FD;
+ 10FF : 7E;
+ 1100 : 2C;
+ 1101 : B7;
+ 1102 : 20;
+ 1103 : 03;
+ 1104 : FD;
+ 1105 : 7E;
+ 1106 : 36;
+ 1107 : FD;
+ 1108 : 77;
+ 1109 : 36;
+ 110A : 67;
+ 110B : 2E;
+ 110C : 00;
+ 110D : AF;
+ 110E : 8F;
+ 110F : EB;
+ 1110 : FD;
+ 1111 : 6E;
+ 1112 : 02;
+ 1113 : FD;
+ 1114 : 66;
+ 1115 : 03;
+ 1116 : FD;
+ 1117 : 46;
+ 1118 : 04;
+ 1119 : CB;
+ 111A : 14;
+ 111B : CB;
+ 111C : 38;
+ 111D : CB;
+ 111E : 1C;
+ 111F : 19;
+ 1120 : 88;
+ 1121 : CB;
+ 1122 : 34;
+ 1123 : 17;
+ 1124 : CB;
+ 1125 : 0C;
+ 1126 : FD;
+ 1127 : 75;
+ 1128 : 02;
+ 1129 : FD;
+ 112A : 74;
+ 112B : 03;
+ 112C : FD;
+ 112D : 77;
+ 112E : 04;
+ 112F : FD;
+ 1130 : BE;
+ 1131 : 07;
+ 1132 : DA;
+ 1133 : 51;
+ 1134 : 11;
+ 1135 : 20;
+ 1136 : 10;
+ 1137 : 7C;
+ 1138 : FD;
+ 1139 : BE;
+ 113A : 06;
+ 113B : DA;
+ 113C : 51;
+ 113D : 11;
+ 113E : 20;
+ 113F : 07;
+ 1140 : 7D;
+ 1141 : FD;
+ 1142 : BE;
+ 1143 : 05;
+ 1144 : DA;
+ 1145 : 51;
+ 1146 : 11;
+ 1147 : FD;
+ 1148 : CB;
+ 1149 : 00;
+ 114A : BE;
+ 114B : C3;
+ 114C : 51;
+ 114D : 11;
+ 114E : FD;
+ 114F : 72;
+ 1150 : 2F;
+ 1151 : AF;
+ 1152 : 32;
+ 1153 : 81;
+ 1154 : 40;
+ 1155 : D3;
+ 1156 : 00;
+ 1157 : CD;
+ 1158 : F4;
+ 1159 : CE;
+ 115A : 01;
+ 115B : 40;
+ 115C : 00;
+ 115D : FD;
+ 115E : 09;
+ 115F : 3A;
+ 1160 : 9B;
+ 1161 : 40;
+ 1162 : 3C;
+ 1163 : 32;
+ 1164 : 9B;
+ 1165 : 40;
+ 1166 : FE;
+ 1167 : 04;
+ 1168 : C2;
+ 1169 : 87;
+ 116A : 10;
+ 116B : 21;
+ 116C : 5A;
+ 116D : 41;
+ 116E : 34;
+ 116F : 3A;
+ 1170 : 5F;
+ 1171 : 41;
+ 1172 : B7;
+ 1173 : 28;
+ 1174 : 07;
+ 1175 : 32;
+ 1176 : 5E;
+ 1177 : 41;
+ 1178 : AF;
+ 1179 : 32;
+ 117A : 5F;
+ 117B : 41;
+ 117C : 3A;
+ 117D : 5E;
+ 117E : 41;
+ 117F : B7;
+ 1180 : 28;
+ 1181 : 07;
+ 1182 : 3D;
+ 1183 : 32;
+ 1184 : 5E;
+ 1185 : 41;
+ 1186 : 28;
+ 1187 : 01;
+ 1188 : 35;
+ 1189 : 3A;
+ 118A : 60;
+ 118B : 41;
+ 118C : B7;
+ 118D : 28;
+ 118E : 0E;
+ 118F : 3A;
+ 1190 : 61;
+ 1191 : 41;
+ 1192 : 77;
+ 1193 : AF;
+ 1194 : 32;
+ 1195 : 61;
+ 1196 : 41;
+ 1197 : 32;
+ 1198 : 60;
+ 1199 : 41;
+ 119A : C3;
+ 119B : A7;
+ 119C : 11;
+ 119D : 7E;
+ 119E : B7;
+ 119F : 20;
+ 11A0 : 06;
+ 11A1 : 3A;
+ 11A2 : 5E;
+ 11A3 : 41;
+ 11A4 : B7;
+ 11A5 : 28;
+ 11A6 : 06;
+ 11A7 : 3A;
+ 11A8 : 64;
+ 11A9 : 41;
+ 11AA : BE;
+ 11AB : 30;
+ 11AC : 4A;
+ 11AD : 3A;
+ 11AE : 61;
+ 11AF : 41;
+ 11B0 : 32;
+ 11B1 : 5A;
+ 11B2 : 41;
+ 11B3 : AF;
+ 11B4 : 32;
+ 11B5 : 61;
+ 11B6 : 41;
+ 11B7 : 32;
+ 11B8 : 62;
+ 11B9 : 41;
+ 11BA : 21;
+ 11BB : 5B;
+ 11BC : 41;
+ 11BD : 34;
+ 11BE : 28;
+ 11BF : 07;
+ 11C0 : 3A;
+ 11C1 : 5C;
+ 11C2 : 41;
+ 11C3 : BE;
+ 11C4 : D2;
+ 11C5 : 27;
+ 11C6 : 0F;
+ 11C7 : 3A;
+ 11C8 : 5C;
+ 11C9 : 41;
+ 11CA : 21;
+ 11CB : 5D;
+ 11CC : 41;
+ 11CD : BE;
+ 11CE : 3E;
+ 11CF : 00;
+ 11D0 : 38;
+ 11D1 : 01;
+ 11D2 : 7E;
+ 11D3 : 32;
+ 11D4 : 5B;
+ 11D5 : 41;
+ 11D6 : 3E;
+ 11D7 : 06;
+ 11D8 : 32;
+ [11D9..11DA] : 00;
+ 11DB : 21;
+ 11DC : EE;
+ 11DD : 02;
+ 11DE : 22;
+ [11DF..11E0] : 00;
+ 11E1 : 22;
+ [11E2..11E3] : 00;
+ 11E4 : AF;
+ 11E5 : 32;
+ 11E6 : 61;
+ 11E7 : 41;
+ 11E8 : 32;
+ 11E9 : 62;
+ 11EA : 41;
+ 11EB : 32;
+ 11EC : 60;
+ 11ED : 41;
+ 11EE : 32;
+ 11EF : 5F;
+ 11F0 : 41;
+ 11F1 : 32;
+ 11F2 : 5E;
+ 11F3 : 41;
+ 11F4 : C3;
+ 11F5 : 27;
+ 11F6 : 0F;
+ 11F7 : 3A;
+ 11F8 : 62;
+ 11F9 : 41;
+ 11FA : B7;
+ 11FB : C2;
+ 11FC : AD;
+ 11FD : 11;
+ 11FE : C9;
+ 11FF : FD;
+ 1200 : CB;
+ 1201 : 00;
+ 1202 : FE;
+ 1203 : FD;
+ 1204 : 7E;
+ 1205 : 29;
+ 1206 : B7;
+ 1207 : 28;
+ 1208 : 77;
+ 1209 : 3D;
+ [120A..120D] : 87;
+ 120E : 5F;
+ 120F : 3E;
+ 1210 : 54;
+ 1211 : CE;
+ 1212 : 00;
+ 1213 : 57;
+ 1214 : 1A;
+ 1215 : FD;
+ 1216 : 77;
+ 1217 : 04;
+ 1218 : 13;
+ 1219 : 1A;
+ 121A : FD;
+ 121B : 77;
+ 121C : 02;
+ 121D : 13;
+ 121E : 1A;
+ 121F : FD;
+ 1220 : 77;
+ 1221 : 03;
+ 1222 : FD;
+ 1223 : 34;
+ 1224 : 02;
+ 1225 : CC;
+ 1226 : 85;
+ 1227 : 12;
+ 1228 : FD;
+ 1229 : 34;
+ 122A : 02;
+ 122B : CC;
+ 122C : 85;
+ 122D : 12;
+ 122E : 13;
+ 122F : 1A;
+ 1230 : FD;
+ 1231 : 77;
+ 1232 : 07;
+ 1233 : 13;
+ 1234 : 1A;
+ 1235 : FD;
+ 1236 : 77;
+ 1237 : 05;
+ 1238 : 13;
+ 1239 : 1A;
+ 123A : FD;
+ 123B : 77;
+ 123C : 06;
+ [123D..123F] : 13;
+ 1240 : 1A;
+ 1241 : FD;
+ 1242 : 77;
+ 1243 : 0A;
+ 1244 : 13;
+ 1245 : 1A;
+ 1246 : FD;
+ 1247 : 77;
+ 1248 : 08;
+ 1249 : 13;
+ 124A : 1A;
+ 124B : FD;
+ 124C : 77;
+ 124D : 09;
+ 124E : 13;
+ 124F : 1A;
+ 1250 : FD;
+ 1251 : 77;
+ 1252 : 0D;
+ 1253 : 13;
+ 1254 : 1A;
+ 1255 : FD;
+ 1256 : 77;
+ 1257 : 0B;
+ 1258 : 13;
+ 1259 : 1A;
+ 125A : FD;
+ 125B : 77;
+ 125C : 0C;
+ 125D : FD;
+ 125E : 36;
+ 125F : 0E;
+ 1260 : 00;
+ 1261 : FD;
+ 1262 : 36;
+ 1263 : 0F;
+ 1264 : 07;
+ 1265 : FD;
+ 1266 : 7E;
+ 1267 : 04;
+ 1268 : FD;
+ 1269 : BE;
+ 126A : 07;
+ 126B : D8;
+ 126C : C2;
+ 126D : 80;
+ 126E : 12;
+ 126F : FD;
+ 1270 : 7E;
+ 1271 : 03;
+ 1272 : FD;
+ 1273 : BE;
+ 1274 : 06;
+ 1275 : D8;
+ 1276 : C2;
+ 1277 : 80;
+ 1278 : 12;
+ 1279 : FD;
+ 127A : 7E;
+ 127B : 02;
+ 127C : FD;
+ 127D : BE;
+ 127E : 05;
+ 127F : D8;
+ 1280 : FD;
+ 1281 : CB;
+ 1282 : 00;
+ 1283 : BE;
+ 1284 : C9;
+ 1285 : FD;
+ 1286 : 34;
+ 1287 : 03;
+ 1288 : C0;
+ 1289 : FD;
+ 128A : 36;
+ 128B : 03;
+ 128C : 80;
+ 128D : FD;
+ 128E : 34;
+ 128F : 04;
+ 1290 : C9;
+ 1291 : FD;
+ 1292 : 7E;
+ 1293 : 29;
+ 1294 : 3D;
+ [1295..1298] : 87;
+ 1299 : 5F;
+ 129A : 3E;
+ 129B : 54;
+ 129C : CE;
+ 129D : 00;
+ 129E : 57;
+ [129F..12A4] : 13;
+ 12A5 : 1A;
+ 12A6 : FD;
+ 12A7 : 77;
+ 12A8 : 15;
+ 12A9 : 13;
+ 12AA : 1A;
+ 12AB : FE;
+ 12AC : 40;
+ 12AD : 38;
+ 12AE : 02;
+ 12AF : 3E;
+ 12B0 : 40;
+ 12B1 : FD;
+ 12B2 : 77;
+ 12B3 : 19;
+ 12B4 : FD;
+ 12B5 : BE;
+ 12B6 : 1A;
+ 12B7 : FD;
+ 12B8 : 77;
+ 12B9 : 1A;
+ 12BA : C8;
+ 12BB : FD;
+ 12BC : CB;
+ 12BD : 00;
+ 12BE : C6;
+ 12BF : C9;
+ 12C0 : 3A;
+ 12C1 : 5A;
+ 12C2 : 41;
+ 12C3 : E6;
+ 12C4 : 3F;
+ [12C5..12C6] : 87;
+ 12C7 : 6F;
+ 12C8 : 26;
+ 12C9 : 00;
+ [12CA..12CB] : 29;
+ 12CC : 3A;
+ 12CD : 9B;
+ 12CE : 40;
+ [12CF..12D0] : 87;
+ 12D1 : 85;
+ 12D2 : 6F;
+ 12D3 : 7C;
+ 12D4 : CE;
+ 12D5 : 50;
+ 12D6 : 67;
+ 12D7 : 7E;
+ 12D8 : E6;
+ 12D9 : 10;
+ 12DA : F5;
+ 12DB : 7E;
+ 12DC : E6;
+ 12DD : 0F;
+ 12DE : 57;
+ 12DF : 23;
+ 12E0 : 5E;
+ 12E1 : B3;
+ 12E2 : 3E;
+ 12E3 : 7F;
+ 12E4 : 28;
+ 12E5 : 05;
+ 12E6 : E5;
+ 12E7 : CD;
+ 12E8 : 00;
+ 12E9 : DA;
+ 12EA : E1;
+ 12EB : 23;
+ 12EC : C1;
+ 12ED : 57;
+ 12EE : 7E;
+ 12EF : E6;
+ 12F0 : F0;
+ [12F1..12F4] : 0F;
+ 12F5 : B0;
+ 12F6 : 5F;
+ 12F7 : 7E;
+ 12F8 : E6;
+ 12F9 : 0F;
+ 12FA : 47;
+ 12FB : 23;
+ 12FC : 4E;
+ 12FD : C9;
+ [12FE..12FF] : FF;
+ 1300 : C9;
+ 1301 : 08;
+ 1302 : 3C;
+ 1303 : 28;
+ 1304 : 0F;
+ 1305 : 08;
+ 1306 : FB;
+ 1307 : C9;
+ [1308..1312] : 00;
+ 1313 : C9;
+ 1314 : D5;
+ 1315 : C3;
+ 1316 : EA;
+ 1317 : 13;
+ 1318 : 08;
+ 1319 : D5;
+ 131A : 5F;
+ 131B : DD;
+ 131C : 54;
+ 131D : 1A;
+ 131E : 1C;
+ 131F : 28;
+ 1320 : 0B;
+ 1321 : 7B;
+ 1322 : D1;
+ 1323 : 08;
+ 1324 : FB;
+ 1325 : C9;
+ [1326..1329] : 00;
+ 132A : C9;
+ 132B : D5;
+ 132C : C3;
+ 132D : EA;
+ 132E : 13;
+ 132F : 08;
+ 1330 : D5;
+ 1331 : 5F;
+ 1332 : DD;
+ 1333 : 54;
+ 1334 : 1A;
+ 1335 : 14;
+ 1336 : 1A;
+ 1337 : 1C;
+ 1338 : 28;
+ 1339 : 09;
+ 133A : 7B;
+ 133B : D1;
+ 133C : 08;
+ 133D : FB;
+ 133E : C9;
+ [133F..1340] : 00;
+ 1341 : C9;
+ 1342 : D5;
+ 1343 : C3;
+ 1344 : EA;
+ 1345 : 13;
+ 1346 : 08;
+ 1347 : D5;
+ 1348 : 5F;
+ 1349 : DD;
+ 134A : 54;
+ 134B : 1A;
+ [134C..134D] : 14;
+ 134E : 1A;
+ 134F : 1C;
+ 1350 : 28;
+ 1351 : 08;
+ 1352 : 7B;
+ 1353 : D1;
+ 1354 : 08;
+ 1355 : FB;
+ 1356 : C9;
+ 1357 : 00;
+ 1358 : C9;
+ 1359 : D5;
+ 135A : C3;
+ 135B : EA;
+ 135C : 13;
+ 135D : 08;
+ 135E : D5;
+ 135F : 5F;
+ 1360 : DD;
+ 1361 : 54;
+ 1362 : 1A;
+ 1363 : 14;
+ 1364 : 1A;
+ 1365 : 14;
+ 1366 : 1A;
+ 1367 : 1C;
+ 1368 : 28;
+ 1369 : 07;
+ 136A : 7B;
+ 136B : D1;
+ 136C : 08;
+ 136D : FB;
+ [136E..136F] : C9;
+ 1370 : D5;
+ 1371 : C3;
+ 1372 : EA;
+ 1373 : 13;
+ 1374 : 08;
+ 1375 : D5;
+ 1376 : 5F;
+ 1377 : DD;
+ 1378 : 54;
+ 1379 : 1A;
+ [137A..137C] : 14;
+ 137D : 1A;
+ 137E : 1C;
+ 137F : 28;
+ 1380 : 07;
+ 1381 : 7B;
+ 1382 : D1;
+ 1383 : 08;
+ 1384 : FB;
+ [1385..1386] : C9;
+ 1387 : D5;
+ 1388 : C3;
+ 1389 : EA;
+ 138A : 13;
+ 138B : 08;
+ 138C : D5;
+ 138D : 5F;
+ 138E : DD;
+ 138F : 54;
+ 1390 : 1A;
+ 1391 : 14;
+ 1392 : 1A;
+ [1393..1394] : 14;
+ 1395 : 1A;
+ 1396 : 1C;
+ 1397 : 28;
+ 1398 : 06;
+ 1399 : 7B;
+ 139A : D1;
+ 139B : 08;
+ 139C : FB;
+ 139D : C9;
+ 139E : D5;
+ 139F : C3;
+ 13A0 : EA;
+ 13A1 : 13;
+ 13A2 : 08;
+ 13A3 : D5;
+ 13A4 : 5F;
+ 13A5 : DD;
+ 13A6 : 54;
+ 13A7 : 1A;
+ [13A8..13A9] : 14;
+ 13AA : 1A;
+ 13AB : 14;
+ 13AC : 1A;
+ 13AD : 1C;
+ 13AE : 28;
+ 13AF : 06;
+ 13B0 : 7B;
+ 13B1 : D1;
+ 13B2 : 08;
+ 13B3 : FB;
+ 13B4 : C9;
+ 13B5 : D5;
+ 13B6 : C3;
+ 13B7 : EA;
+ 13B8 : 13;
+ 13B9 : ED;
+ 13BA : 5B;
+ 13BB : 8E;
+ 13BC : 40;
+ 13BD : 12;
+ 13BE : 32;
+ 13BF : 85;
+ 13C0 : 40;
+ 13C1 : D1;
+ 13C2 : 08;
+ 13C3 : C9;
+ 13C4 : ED;
+ 13C5 : 56;
+ 13C6 : FB;
+ 13C7 : EB;
+ 13C8 : 2A;
+ 13C9 : 8E;
+ 13CA : 40;
+ 13CB : 77;
+ 13CC : 7D;
+ 13CD : C6;
+ 13CE : 04;
+ 13CF : E6;
+ 13D0 : 1C;
+ 13D1 : 6F;
+ 13D2 : 22;
+ 13D3 : 8E;
+ 13D4 : 40;
+ 13D5 : CB;
+ 13D6 : ED;
+ 13D7 : 7E;
+ 13D8 : D3;
+ 13D9 : 06;
+ 13DA : 2C;
+ 13DB : 7E;
+ 13DC : D3;
+ 13DD : 07;
+ 13DE : 2C;
+ 13DF : 7E;
+ 13E0 : D3;
+ 13E1 : 08;
+ 13E2 : 2C;
+ 13E3 : 7E;
+ 13E4 : D3;
+ 13E5 : 09;
+ 13E6 : F1;
+ 13E7 : E1;
+ 13E8 : EB;
+ 13E9 : C9;
+ 13EA : 3A;
+ 13EB : 8E;
+ 13EC : 40;
+ 13ED : C6;
+ 13EE : 04;
+ 13EF : E6;
+ 13F0 : 1C;
+ 13F1 : 5F;
+ 13F2 : 16;
+ 13F3 : 41;
+ 13F4 : 1A;
+ 13F5 : B7;
+ 13F6 : 28;
+ 13F7 : C1;
+ 13F8 : 08;
+ 13F9 : F5;
+ 13FA : 1C;
+ 13FB : 1A;
+ 13FC : DD;
+ 13FD : 67;
+ 13FE : 1C;
+ 13FF : 1A;
+ 1400 : B7;
+ 1401 : 28;
+ 1402 : C1;
+ 1403 : ED;
+ 1404 : 5E;
+ 1405 : EB;
+ 1406 : 21;
+ 1407 : 58;
+ 1408 : 40;
+ 1409 : BE;
+ 140A : 28;
+ 140B : 60;
+ 140C : 77;
+ 140D : 21;
+ 140E : 18;
+ 140F : 15;
+ 1410 : 22;
+ [1411..1412] : 40;
+ 1413 : FB;
+ 1414 : 3D;
+ 1415 : 28;
+ 1416 : 79;
+ 1417 : C6;
+ 1418 : 03;
+ 1419 : 6F;
+ 141A : 26;
+ 141B : 13;
+ 141C : D5;
+ 141D : C5;
+ 141E : 11;
+ 141F : 42;
+ 1420 : 40;
+ 1421 : ED;
+ 1422 : A0;
+ 1423 : ED;
+ 1424 : A0;
+ 1425 : ED;
+ 1426 : A0;
+ 1427 : ED;
+ 1428 : A0;
+ 1429 : ED;
+ 142A : A0;
+ 142B : ED;
+ 142C : A0;
+ 142D : ED;
+ 142E : A0;
+ 142F : ED;
+ 1430 : A0;
+ 1431 : ED;
+ 1432 : A0;
+ 1433 : ED;
+ 1434 : A0;
+ 1435 : ED;
+ 1436 : A0;
+ 1437 : ED;
+ 1438 : A0;
+ 1439 : ED;
+ 143A : A0;
+ 143B : ED;
+ 143C : A0;
+ 143D : ED;
+ 143E : A0;
+ 143F : ED;
+ 1440 : A0;
+ 1441 : 21;
+ 1442 : 08;
+ 1443 : D5;
+ 1444 : 22;
+ [1445..1446] : 40;
+ 1447 : C1;
+ 1448 : D1;
+ 1449 : 2A;
+ 144A : 8E;
+ 144B : 40;
+ 144C : 36;
+ 144D : 00;
+ 144E : 7D;
+ 144F : C6;
+ 1450 : 04;
+ 1451 : E6;
+ 1452 : 1C;
+ 1453 : 6F;
+ 1454 : 22;
+ 1455 : 8E;
+ 1456 : 40;
+ 1457 : CB;
+ 1458 : ED;
+ 1459 : 7E;
+ 145A : D3;
+ 145B : 06;
+ 145C : 2C;
+ 145D : 7E;
+ 145E : D3;
+ 145F : 07;
+ 1460 : 2C;
+ 1461 : 7E;
+ 1462 : D3;
+ 1463 : 08;
+ 1464 : 2C;
+ 1465 : 7E;
+ 1466 : D3;
+ 1467 : 09;
+ 1468 : F1;
+ 1469 : E1;
+ 146A : EB;
+ 146B : C9;
+ 146C : FB;
+ 146D : 3E;
+ 146E : 04;
+ 146F : 2A;
+ 1470 : 8E;
+ 1471 : 40;
+ 1472 : 36;
+ 1473 : 00;
+ 1474 : 85;
+ 1475 : E6;
+ 1476 : 1C;
+ 1477 : 6F;
+ 1478 : 22;
+ 1479 : 8E;
+ 147A : 40;
+ 147B : CB;
+ 147C : ED;
+ 147D : 7E;
+ 147E : D3;
+ 147F : 06;
+ 1480 : 2C;
+ 1481 : 7E;
+ 1482 : D3;
+ 1483 : 07;
+ 1484 : 2C;
+ 1485 : 7E;
+ 1486 : D3;
+ 1487 : 08;
+ 1488 : 2C;
+ 1489 : 7E;
+ 148A : D3;
+ 148B : 09;
+ 148C : F1;
+ 148D : E1;
+ 148E : EB;
+ 148F : C9;
+ 1490 : 21;
+ 1491 : 03;
+ 1492 : 13;
+ 1493 : D5;
+ 1494 : C5;
+ 1495 : 11;
+ 1496 : 42;
+ 1497 : 40;
+ 1498 : ED;
+ 1499 : A0;
+ 149A : ED;
+ 149B : A0;
+ 149C : ED;
+ 149D : A0;
+ 149E : ED;
+ 149F : A0;
+ 14A0 : ED;
+ 14A1 : A0;
+ 14A2 : 21;
+ 14A3 : 08;
+ 14A4 : 3C;
+ 14A5 : 22;
+ [14A6..14A7] : 40;
+ 14A8 : C1;
+ 14A9 : D1;
+ 14AA : 2A;
+ 14AB : 8E;
+ 14AC : 40;
+ 14AD : 77;
+ 14AE : 7D;
+ 14AF : C6;
+ 14B0 : 04;
+ 14B1 : E6;
+ 14B2 : 1C;
+ 14B3 : 6F;
+ 14B4 : 22;
+ 14B5 : 8E;
+ 14B6 : 40;
+ 14B7 : CB;
+ 14B8 : ED;
+ 14B9 : 7E;
+ 14BA : D3;
+ 14BB : 06;
+ 14BC : 2C;
+ 14BD : 7E;
+ 14BE : D3;
+ 14BF : 07;
+ 14C0 : 2C;
+ 14C1 : 7E;
+ 14C2 : D3;
+ 14C3 : 08;
+ 14C4 : 2C;
+ 14C5 : 7E;
+ 14C6 : D3;
+ 14C7 : 09;
+ 14C8 : F1;
+ 14C9 : E1;
+ 14CA : EB;
+ 14CB : C9;
+ 14CC : F3;
+ 14CD : 3E;
+ 14CE : FF;
+ 14CF : 32;
+ 14D0 : 85;
+ 14D1 : 40;
+ 14D2 : 2A;
+ 14D3 : 8E;
+ 14D4 : 40;
+ 14D5 : 7E;
+ 14D6 : 08;
+ 14D7 : 2C;
+ 14D8 : 7E;
+ 14D9 : DD;
+ 14DA : 67;
+ 14DB : 2C;
+ 14DC : 7E;
+ 14DD : ED;
+ 14DE : 56;
+ 14DF : B7;
+ 14E0 : 28;
+ 14E1 : 14;
+ 14E2 : ED;
+ 14E3 : 5E;
+ 14E4 : 21;
+ 14E5 : 58;
+ 14E6 : 40;
+ 14E7 : BE;
+ 14E8 : 28;
+ 14E9 : 0C;
+ 14EA : 77;
+ 14EB : 6F;
+ 14EC : 26;
+ 14ED : 13;
+ 14EE : 11;
+ [14EF..14F0] : 40;
+ 14F1 : 01;
+ 14F2 : 12;
+ 14F3 : 00;
+ 14F4 : ED;
+ 14F5 : B0;
+ 14F6 : 2A;
+ 14F7 : 8E;
+ 14F8 : 40;
+ 14F9 : CB;
+ 14FA : ED;
+ 14FB : 7E;
+ 14FC : D3;
+ 14FD : 06;
+ 14FE : 2C;
+ 14FF : 7E;
+ 1500 : D3;
+ 1501 : 07;
+ 1502 : 2C;
+ 1503 : 7E;
+ 1504 : D3;
+ 1505 : 08;
+ 1506 : 2C;
+ 1507 : 7E;
+ 1508 : D3;
+ 1509 : 09;
+ 150A : FB;
+ 150B : C9;
+ 150C : DB;
+ 150D : 04;
+ 150E : 0F;
+ 150F : 30;
+ 1510 : FB;
+ 1511 : DB;
+ 1512 : 01;
+ 1513 : FE;
+ 1514 : 12;
+ 1515 : 28;
+ 1516 : 15;
+ 1517 : FE;
+ 1518 : 18;
+ 1519 : 28;
+ 151A : 1A;
+ 151B : FE;
+ 151C : 1A;
+ 151D : 28;
+ 151E : 27;
+ 151F : FE;
+ 1520 : 1B;
+ 1521 : 28;
+ 1522 : 2B;
+ 1523 : FE;
+ 1524 : 20;
+ 1525 : 28;
+ 1526 : 2D;
+ 1527 : D3;
+ 1528 : 05;
+ 1529 : C3;
+ 152A : 0C;
+ 152B : 15;
+ 152C : DB;
+ 152D : 02;
+ 152E : D3;
+ 152F : 05;
+ 1530 : D3;
+ 1531 : 00;
+ 1532 : C3;
+ 1533 : 0C;
+ 1534 : 15;
+ 1535 : DB;
+ 1536 : 02;
+ 1537 : 5F;
+ 1538 : D3;
+ 1539 : 05;
+ 153A : DB;
+ 153B : 04;
+ 153C : B7;
+ 153D : F2;
+ 153E : 3A;
+ 153F : 15;
+ 1540 : DB;
+ 1541 : 02;
+ 1542 : 57;
+ 1543 : C3;
+ 1544 : 0C;
+ 1545 : 15;
+ 1546 : 1A;
+ 1547 : D3;
+ 1548 : 03;
+ 1549 : D3;
+ 154A : 05;
+ 154B : C3;
+ 154C : 0C;
+ 154D : 15;
+ 154E : 13;
+ 154F : D3;
+ 1550 : 05;
+ 1551 : C3;
+ 1552 : 0C;
+ 1553 : 15;
+ 1554 : D3;
+ 1555 : 05;
+ 1556 : C9;
+ 1557 : 3A;
+ 1558 : 8C;
+ 1559 : 40;
+ 155A : C6;
+ 155B : 60;
+ 155C : FD;
+ 155D : 86;
+ 155E : 27;
+ 155F : 57;
+ 1560 : 3A;
+ 1561 : B3;
+ 1562 : 40;
+ 1563 : 5F;
+ 1564 : 3A;
+ 1565 : B4;
+ 1566 : 40;
+ 1567 : FD;
+ 1568 : B6;
+ 1569 : 25;
+ 156A : 32;
+ 156B : B4;
+ 156C : 40;
+ 156D : FD;
+ 156E : 6E;
+ 156F : 02;
+ 1570 : FD;
+ 1571 : 66;
+ 1572 : 03;
+ 1573 : FD;
+ 1574 : 46;
+ 1575 : 0E;
+ 1576 : D9;
+ 1577 : 26;
+ 1578 : 40;
+ 1579 : FD;
+ 157A : 6E;
+ 157B : 04;
+ 157C : FD;
+ 157D : 56;
+ 157E : 0F;
+ 157F : FD;
+ 1580 : 5E;
+ 1581 : 11;
+ 1582 : 46;
+ 1583 : 78;
+ 1584 : 32;
+ 1585 : 81;
+ 1586 : 40;
+ 1587 : D3;
+ 1588 : 00;
+ 1589 : 7D;
+ 158A : D9;
+ 158B : FD;
+ 158C : BE;
+ 158D : 07;
+ 158E : DA;
+ 158F : 00;
+ 1590 : 16;
+ 1591 : D5;
+ 1592 : EB;
+ 1593 : FD;
+ 1594 : 6E;
+ 1595 : 05;
+ 1596 : FD;
+ 1597 : 66;
+ 1598 : 06;
+ 1599 : 2B;
+ 159A : ED;
+ 159B : 52;
+ 159C : 23;
+ 159D : EB;
+ 159E : DD;
+ 159F : 6B;
+ 15A0 : 7A;
+ 15A1 : D1;
+ 15A2 : 38;
+ 15A3 : 09;
+ 15A4 : B7;
+ 15A5 : 28;
+ 15A6 : 68;
+ 15A7 : DD;
+ 15A8 : 2E;
+ 15A9 : FF;
+ 15AA : C3;
+ 15AB : 0F;
+ 15AC : 16;
+ 15AD : FD;
+ 15AE : CB;
+ 15AF : 00;
+ 15B0 : BE;
+ 15B1 : FD;
+ 15B2 : 7E;
+ 15B3 : 0A;
+ 15B4 : 3C;
+ 15B5 : CA;
+ 15B6 : DB;
+ 15B7 : 15;
+ 15B8 : 3D;
+ 15B9 : FD;
+ 15BA : 77;
+ 15BB : 04;
+ 15BC : FD;
+ 15BD : 6E;
+ 15BE : 08;
+ 15BF : FD;
+ 15C0 : 66;
+ 15C1 : 09;
+ 15C2 : FD;
+ 15C3 : 7E;
+ 15C4 : 0D;
+ 15C5 : FD;
+ 15C6 : 77;
+ 15C7 : 07;
+ 15C8 : FD;
+ 15C9 : 7E;
+ 15CA : 0B;
+ 15CB : FD;
+ 15CC : 77;
+ 15CD : 05;
+ 15CE : FD;
+ 15CF : 7E;
+ 15D0 : 0C;
+ 15D1 : FD;
+ 15D2 : 77;
+ 15D3 : 06;
+ 15D4 : FD;
+ 15D5 : CB;
+ 15D6 : 00;
+ 15D7 : FE;
+ 15D8 : C3;
+ 15D9 : 76;
+ 15DA : 15;
+ 15DB : FD;
+ 15DC : 36;
+ 15DD : 14;
+ 15DE : 7F;
+ 15DF : FD;
+ 15E0 : CB;
+ 15E1 : 00;
+ 15E2 : 76;
+ 15E3 : CA;
+ 15E4 : 47;
+ 15E5 : 16;
+ 15E6 : FD;
+ 15E7 : E5;
+ 15E8 : D5;
+ 15E9 : FD;
+ 15EA : 21;
+ 15EB : 00;
+ 15EC : 46;
+ 15ED : 06;
+ 15EE : 08;
+ 15EF : 11;
+ 15F0 : 40;
+ 15F1 : 00;
+ 15F2 : FD;
+ 15F3 : CB;
+ 15F4 : 00;
+ 15F5 : C6;
+ 15F6 : FD;
+ 15F7 : 19;
+ 15F8 : 10;
+ 15F9 : F8;
+ 15FA : D1;
+ 15FB : FD;
+ 15FC : E1;
+ 15FD : C3;
+ 15FE : 47;
+ 15FF : 16;
+ 1600 : DD;
+ 1601 : 2E;
+ 1602 : FF;
+ 1603 : 7C;
+ 1604 : 3C;
+ 1605 : FA;
+ 1606 : 0F;
+ 1607 : 16;
+ 1608 : B5;
+ 1609 : 28;
+ 160A : 04;
+ 160B : ED;
+ 160C : 44;
+ 160D : DD;
+ 160E : 6F;
+ 160F : FD;
+ 1610 : 4E;
+ 1611 : 10;
+ 1612 : FD;
+ 1613 : 7E;
+ 1614 : 3F;
+ 1615 : FD;
+ 1616 : E5;
+ 1617 : CD;
+ 1618 : 30;
+ 1619 : 20;
+ 161A : FD;
+ 161B : E1;
+ 161C : FD;
+ 161D : 77;
+ 161E : 3F;
+ 161F : FD;
+ 1620 : 71;
+ 1621 : 0F;
+ 1622 : 7C;
+ 1623 : B7;
+ 1624 : FA;
+ 1625 : 2C;
+ 1626 : 16;
+ 1627 : 26;
+ 1628 : 80;
+ 1629 : FD;
+ 162A : 34;
+ 162B : 04;
+ 162C : 7B;
+ 162D : B7;
+ 162E : CA;
+ 162F : 3B;
+ 1630 : 16;
+ 1631 : FD;
+ 1632 : CB;
+ 1633 : 00;
+ 1634 : 7E;
+ 1635 : C2;
+ 1636 : 76;
+ 1637 : 15;
+ 1638 : C3;
+ 1639 : 47;
+ 163A : 16;
+ 163B : FD;
+ 163C : 75;
+ 163D : 02;
+ 163E : FD;
+ 163F : 74;
+ 1640 : 03;
+ 1641 : FD;
+ 1642 : 70;
+ 1643 : 0E;
+ 1644 : C3;
+ 1645 : D9;
+ 1646 : 16;
+ 1647 : 7B;
+ 1648 : FE;
+ 1649 : FF;
+ 164A : 30;
+ 164B : 4A;
+ 164C : FD;
+ 164D : 46;
+ 164E : 3F;
+ 164F : 0E;
+ 1650 : 80;
+ 1651 : FE;
+ 1652 : FD;
+ 1653 : 30;
+ 1654 : 3C;
+ 1655 : FE;
+ 1656 : F9;
+ 1657 : 30;
+ 1658 : 26;
+ 1659 : 79;
+ 165A : 80;
+ 165B : 1F;
+ 165C : 67;
+ 165D : 80;
+ 165E : 1F;
+ 165F : 6F;
+ 1660 : 80;
+ 1661 : 1F;
+ 1662 : 12;
+ 1663 : 1C;
+ 1664 : 7D;
+ 1665 : 12;
+ 1666 : 1C;
+ 1667 : 84;
+ 1668 : 1F;
+ 1669 : 12;
+ 166A : 1C;
+ 166B : 7C;
+ 166C : 12;
+ 166D : 1C;
+ 166E : 81;
+ 166F : 1F;
+ 1670 : 6F;
+ 1671 : 84;
+ 1672 : 1F;
+ 1673 : 12;
+ 1674 : 1C;
+ 1675 : 7D;
+ 1676 : 12;
+ 1677 : 1C;
+ 1678 : 81;
+ 1679 : 1F;
+ 167A : 12;
+ 167B : 1C;
+ 167C : C3;
+ 167D : 96;
+ 167E : 16;
+ 167F : 79;
+ 1680 : 80;
+ 1681 : 1F;
+ 1682 : 67;
+ 1683 : 80;
+ 1684 : 1F;
+ 1685 : 12;
+ 1686 : 1C;
+ 1687 : 7C;
+ 1688 : 12;
+ 1689 : 1C;
+ 168A : 81;
+ 168B : 1F;
+ 168C : 12;
+ 168D : 1C;
+ 168E : C3;
+ 168F : 96;
+ 1690 : 16;
+ 1691 : 78;
+ 1692 : 81;
+ 1693 : 1F;
+ 1694 : 12;
+ 1695 : 1C;
+ 1696 : 3E;
+ 1697 : 80;
+ 1698 : CB;
+ 1699 : 43;
+ 169A : 28;
+ 169B : 04;
+ 169C : 12;
+ 169D : 1C;
+ 169E : 28;
+ 169F : 2B;
+ 16A0 : CB;
+ 16A1 : 4B;
+ 16A2 : 28;
+ 16A3 : 06;
+ 16A4 : 12;
+ 16A5 : 1C;
+ 16A6 : 12;
+ 16A7 : 1C;
+ 16A8 : 28;
+ 16A9 : 21;
+ 16AA : CB;
+ 16AB : 53;
+ 16AC : 28;
+ 16AD : 0A;
+ 16AE : 12;
+ 16AF : 1C;
+ 16B0 : 12;
+ 16B1 : 1C;
+ 16B2 : 12;
+ 16B3 : 1C;
+ 16B4 : 12;
+ 16B5 : 1C;
+ 16B6 : 28;
+ 16B7 : 13;
+ 16B8 : 12;
+ 16B9 : 1C;
+ 16BA : 12;
+ 16BB : 1C;
+ 16BC : 12;
+ 16BD : 1C;
+ 16BE : 12;
+ 16BF : 1C;
+ 16C0 : 12;
+ 16C1 : 1C;
+ 16C2 : 12;
+ 16C3 : 1C;
+ 16C4 : 12;
+ 16C5 : 1C;
+ 16C6 : 12;
+ 16C7 : 1C;
+ 16C8 : C2;
+ 16C9 : B8;
+ 16CA : 16;
+ 16CB : 3A;
+ 16CC : 8C;
+ 16CD : 40;
+ 16CE : C6;
+ 16CF : 60;
+ 16D0 : FD;
+ 16D1 : 86;
+ 16D2 : 27;
+ 16D3 : 57;
+ 16D4 : 1E;
+ 16D5 : FF;
+ 16D6 : 3E;
+ 16D7 : 80;
+ 16D8 : 12;
+ 16D9 : AF;
+ 16DA : 32;
+ 16DB : 81;
+ 16DC : 40;
+ 16DD : D3;
+ 16DE : 00;
+ 16DF : FD;
+ 16E0 : CB;
+ 16E1 : 00;
+ 16E2 : 46;
+ 16E3 : C8;
+ 16E4 : C3;
+ 16E5 : CA;
+ 16E6 : D3;
+ [16E7..16FF] : FF;
+ [1700..1800] : 40;
+ 1801 : 01;
+ 1802 : 13;
+ 1803 : 18;
+ 1804 : 13;
+ 1805 : 18;
+ 1806 : 13;
+ 1807 : 2F;
+ 1808 : 13;
+ 1809 : 18;
+ 180A : 13;
+ 180B : 46;
+ 180C : 13;
+ 180D : 2F;
+ 180E : 13;
+ 180F : 5D;
+ 1810 : 13;
+ 1811 : 18;
+ 1812 : 13;
+ 1813 : 74;
+ 1814 : 13;
+ 1815 : 46;
+ 1816 : 13;
+ 1817 : 8B;
+ 1818 : 13;
+ 1819 : 2F;
+ 181A : 13;
+ 181B : A2;
+ 181C : 13;
+ 181D : 5D;
+ 181E : 13;
+ [181F..1822] : 00;
+ 1823 : 01;
+ 1824 : 00;
+ 1825 : 02;
+ 1826 : 00;
+ 1827 : 01;
+ 1828 : 00;
+ 1829 : 03;
+ 182A : 00;
+ 182B : 01;
+ 182C : 00;
+ 182D : 02;
+ 182E : 00;
+ 182F : 01;
+ 1830 : 00;
+ 1831 : 72;
+ 1832 : 0B;
+ 1833 : 19;
+ 1834 : 0B;
+ 1835 : C5;
+ 1836 : 0A;
+ 1837 : 77;
+ 1838 : 0A;
+ 1839 : 2C;
+ 183A : 0A;
+ 183B : E6;
+ 183C : 09;
+ 183D : A3;
+ 183E : 09;
+ 183F : 64;
+ 1840 : 09;
+ 1841 : 28;
+ 1842 : 09;
+ 1843 : EF;
+ 1844 : 08;
+ 1845 : B8;
+ 1846 : 08;
+ 1847 : 84;
+ 1848 : 08;
+ 1849 : 53;
+ 184A : 08;
+ 184B : 23;
+ 184C : 08;
+ 184D : F6;
+ 184E : 07;
+ 184F : CB;
+ 1850 : 07;
+ 1851 : A1;
+ 1852 : 07;
+ 1853 : 79;
+ 1854 : 07;
+ 1855 : 53;
+ 1856 : 07;
+ 1857 : 2E;
+ 1858 : 07;
+ 1859 : 0B;
+ 185A : 07;
+ 185B : E9;
+ 185C : 06;
+ 185D : C8;
+ 185E : 06;
+ 185F : A9;
+ 1860 : 06;
+ 1861 : 8A;
+ 1862 : 06;
+ 1863 : 6D;
+ 1864 : 06;
+ 1865 : 50;
+ 1866 : 06;
+ 1867 : 35;
+ 1868 : 06;
+ 1869 : 1B;
+ 186A : 06;
+ 186B : 01;
+ 186C : 06;
+ 186D : E8;
+ 186E : 05;
+ 186F : D0;
+ 1870 : 05;
+ 1871 : B9;
+ 1872 : 05;
+ 1873 : A2;
+ 1874 : 05;
+ 1875 : 8C;
+ 1876 : 05;
+ 1877 : 77;
+ 1878 : 05;
+ 1879 : 63;
+ 187A : 05;
+ 187B : 4F;
+ 187C : 05;
+ 187D : 3B;
+ 187E : 05;
+ 187F : 28;
+ 1880 : 05;
+ 1881 : 16;
+ 1882 : 05;
+ 1883 : 04;
+ 1884 : 05;
+ 1885 : F3;
+ 1886 : 04;
+ 1887 : E2;
+ 1888 : 04;
+ 1889 : D2;
+ 188A : 04;
+ 188B : C2;
+ 188C : 04;
+ 188D : B2;
+ 188E : 04;
+ 188F : A3;
+ 1890 : 04;
+ 1891 : 94;
+ 1892 : 04;
+ 1893 : 85;
+ 1894 : 04;
+ 1895 : 77;
+ 1896 : 04;
+ 1897 : 6A;
+ 1898 : 04;
+ 1899 : 5C;
+ 189A : 04;
+ 189B : 4F;
+ 189C : 04;
+ 189D : 42;
+ 189E : 04;
+ 189F : 36;
+ 18A0 : 04;
+ 18A1 : 29;
+ 18A2 : 04;
+ 18A3 : 1D;
+ 18A4 : 04;
+ 18A5 : 12;
+ 18A6 : 04;
+ 18A7 : 06;
+ 18A8 : 04;
+ 18A9 : FB;
+ 18AA : 03;
+ 18AB : F0;
+ 18AC : 03;
+ 18AD : E5;
+ 18AE : 03;
+ 18AF : DB;
+ 18B0 : 03;
+ 18B1 : D1;
+ 18B2 : 03;
+ 18B3 : C6;
+ 18B4 : 03;
+ 18B5 : BD;
+ 18B6 : 03;
+ 18B7 : B3;
+ 18B8 : 03;
+ 18B9 : AA;
+ 18BA : 03;
+ 18BB : A0;
+ 18BC : 03;
+ 18BD : 97;
+ 18BE : 03;
+ 18BF : 8E;
+ 18C0 : 03;
+ 18C1 : 85;
+ 18C2 : 03;
+ 18C3 : 7D;
+ 18C4 : 03;
+ 18C5 : 74;
+ 18C6 : 03;
+ 18C7 : 6C;
+ 18C8 : 03;
+ 18C9 : 64;
+ 18CA : 03;
+ 18CB : 5C;
+ 18CC : 03;
+ 18CD : 54;
+ 18CE : 03;
+ 18CF : 4D;
+ 18D0 : 03;
+ 18D1 : 45;
+ 18D2 : 03;
+ 18D3 : 3E;
+ 18D4 : 03;
+ 18D5 : 36;
+ 18D6 : 03;
+ 18D7 : 2F;
+ 18D8 : 03;
+ 18D9 : 28;
+ 18DA : 03;
+ 18DB : 21;
+ 18DC : 03;
+ 18DD : 1A;
+ 18DE : 03;
+ 18DF : 14;
+ 18E0 : 03;
+ 18E1 : 0D;
+ 18E2 : 03;
+ 18E3 : 07;
+ 18E4 : 03;
+ 18E5 : 00;
+ 18E6 : 03;
+ 18E7 : FA;
+ 18E8 : 02;
+ 18E9 : F4;
+ 18EA : 02;
+ 18EB : EE;
+ 18EC : 02;
+ 18ED : E8;
+ 18EE : 02;
+ 18EF : E2;
+ 18F0 : 02;
+ 18F1 : DC;
+ 18F2 : 02;
+ 18F3 : D7;
+ 18F4 : 02;
+ 18F5 : D1;
+ 18F6 : 02;
+ 18F7 : CC;
+ 18F8 : 02;
+ 18F9 : C6;
+ 18FA : 02;
+ 18FB : C1;
+ 18FC : 02;
+ 18FD : BC;
+ 18FE : 02;
+ 18FF : B6;
+ 1900 : 02;
+ 1901 : B1;
+ 1902 : 02;
+ 1903 : AC;
+ 1904 : 02;
+ 1905 : A7;
+ 1906 : 02;
+ 1907 : A2;
+ 1908 : 02;
+ 1909 : 9E;
+ 190A : 02;
+ 190B : 99;
+ 190C : 02;
+ 190D : 94;
+ 190E : 02;
+ 190F : 90;
+ 1910 : 02;
+ 1911 : 8B;
+ 1912 : 02;
+ 1913 : 87;
+ 1914 : 02;
+ 1915 : 82;
+ 1916 : 02;
+ 1917 : 7E;
+ 1918 : 02;
+ 1919 : 79;
+ 191A : 02;
+ 191B : 75;
+ 191C : 02;
+ 191D : 71;
+ 191E : 02;
+ 191F : 6D;
+ 1920 : 02;
+ 1921 : 69;
+ 1922 : 02;
+ 1923 : 65;
+ 1924 : 02;
+ 1925 : 61;
+ 1926 : 02;
+ 1927 : 5D;
+ 1928 : 02;
+ 1929 : 59;
+ 192A : 02;
+ 192B : 55;
+ 192C : 02;
+ 192D : 51;
+ 192E : 02;
+ 192F : 4E;
+ 1930 : 02;
+ 1931 : 4A;
+ 1932 : 02;
+ 1933 : 46;
+ 1934 : 02;
+ 1935 : 43;
+ 1936 : 02;
+ 1937 : 3F;
+ 1938 : 02;
+ 1939 : 3C;
+ 193A : 02;
+ 193B : 38;
+ 193C : 02;
+ 193D : 35;
+ 193E : 02;
+ 193F : 31;
+ 1940 : 02;
+ 1941 : 2E;
+ 1942 : 02;
+ 1943 : 2B;
+ 1944 : 02;
+ 1945 : 27;
+ 1946 : 02;
+ 1947 : 24;
+ 1948 : 02;
+ 1949 : 21;
+ 194A : 02;
+ 194B : 1E;
+ 194C : 02;
+ 194D : 1B;
+ 194E : 02;
+ 194F : 18;
+ 1950 : 02;
+ 1951 : 15;
+ 1952 : 02;
+ 1953 : 12;
+ 1954 : 02;
+ 1955 : 0F;
+ 1956 : 02;
+ 1957 : 0C;
+ 1958 : 02;
+ 1959 : 09;
+ 195A : 02;
+ 195B : 06;
+ 195C : 02;
+ 195D : 03;
+ 195E : 02;
+ 195F : 00;
+ 1960 : 02;
+ 1961 : FE;
+ 1962 : 01;
+ 1963 : FB;
+ 1964 : 01;
+ 1965 : F8;
+ 1966 : 01;
+ 1967 : F5;
+ 1968 : 01;
+ 1969 : F3;
+ 196A : 01;
+ 196B : F0;
+ 196C : 01;
+ 196D : ED;
+ 196E : 01;
+ 196F : EB;
+ 1970 : 01;
+ 1971 : E8;
+ 1972 : 01;
+ 1973 : E6;
+ 1974 : 01;
+ 1975 : E3;
+ 1976 : 01;
+ 1977 : E1;
+ 1978 : 01;
+ 1979 : DE;
+ 197A : 01;
+ 197B : DC;
+ 197C : 01;
+ 197D : D9;
+ 197E : 01;
+ 197F : D7;
+ 1980 : 01;
+ 1981 : D5;
+ 1982 : 01;
+ 1983 : D2;
+ 1984 : 01;
+ 1985 : D0;
+ 1986 : 01;
+ 1987 : CE;
+ 1988 : 01;
+ 1989 : CC;
+ 198A : 01;
+ 198B : C9;
+ 198C : 01;
+ 198D : C7;
+ 198E : 01;
+ 198F : C5;
+ 1990 : 01;
+ 1991 : C3;
+ 1992 : 01;
+ 1993 : C1;
+ 1994 : 01;
+ 1995 : BE;
+ 1996 : 01;
+ 1997 : BC;
+ 1998 : 01;
+ 1999 : BA;
+ 199A : 01;
+ 199B : B8;
+ 199C : 01;
+ 199D : B6;
+ 199E : 01;
+ 199F : B4;
+ 19A0 : 01;
+ 19A1 : B2;
+ 19A2 : 01;
+ 19A3 : B0;
+ 19A4 : 01;
+ 19A5 : AE;
+ 19A6 : 01;
+ 19A7 : AC;
+ 19A8 : 01;
+ 19A9 : AA;
+ 19AA : 01;
+ 19AB : A8;
+ 19AC : 01;
+ 19AD : A6;
+ 19AE : 01;
+ 19AF : A4;
+ 19B0 : 01;
+ 19B1 : A3;
+ 19B2 : 01;
+ 19B3 : A1;
+ 19B4 : 01;
+ 19B5 : 9F;
+ 19B6 : 01;
+ 19B7 : 9D;
+ 19B8 : 01;
+ 19B9 : 9B;
+ 19BA : 01;
+ 19BB : 99;
+ 19BC : 01;
+ 19BD : 98;
+ 19BE : 01;
+ 19BF : 96;
+ 19C0 : 01;
+ 19C1 : 94;
+ 19C2 : 01;
+ 19C3 : 92;
+ 19C4 : 01;
+ 19C5 : 91;
+ 19C6 : 01;
+ 19C7 : 8F;
+ 19C8 : 01;
+ 19C9 : 8D;
+ 19CA : 01;
+ 19CB : 8C;
+ 19CC : 01;
+ 19CD : 8A;
+ 19CE : 01;
+ 19CF : 88;
+ 19D0 : 01;
+ 19D1 : 87;
+ 19D2 : 01;
+ 19D3 : 85;
+ 19D4 : 01;
+ 19D5 : 83;
+ 19D6 : 01;
+ 19D7 : 82;
+ 19D8 : 01;
+ 19D9 : 80;
+ 19DA : 01;
+ 19DB : 7F;
+ 19DC : 01;
+ 19DD : 7D;
+ 19DE : 01;
+ 19DF : 7C;
+ 19E0 : 01;
+ 19E1 : 7A;
+ 19E2 : 01;
+ 19E3 : 79;
+ 19E4 : 01;
+ 19E5 : 77;
+ 19E6 : 01;
+ 19E7 : 76;
+ 19E8 : 01;
+ 19E9 : 74;
+ 19EA : 01;
+ 19EB : 73;
+ 19EC : 01;
+ 19ED : 71;
+ 19EE : 01;
+ 19EF : 70;
+ 19F0 : 01;
+ 19F1 : 56;
+ 19F2 : 43;
+ 19F3 : 56;
+ 19F4 : 49;
+ 19F5 : 45;
+ 19F6 : 57;
+ [19F7..19F8] : 20;
+ 19F9 : 45;
+ 19FA : 58;
+ 19FB : 54;
+ 19FC : 20;
+ [19FD..1A06] : 00;
+ 1A07 : C4;
+ 1A08 : 08;
+ 1A09 : 43;
+ 1A0A : 21;
+ 1A0B : 28;
+ 1A0C : 09;
+ 1A0D : DA;
+ 1A0E : 02;
+ [1A0F..1A11] : 00;
+ 1A12 : 43;
+ 1A13 : 56;
+ 1A14 : 49;
+ 1A15 : 45;
+ 1A16 : 57;
+ [1A17..1A18] : 20;
+ 1A19 : 45;
+ 1A1A : 58;
+ 1A1B : 54;
+ 1A1C : 20;
+ [1A1D..1A26] : 00;
+ 1A27 : C4;
+ 1A28 : 08;
+ 1A29 : 43;
+ 1A2A : 21;
+ 1A2B : 28;
+ 1A2C : 09;
+ 1A2D : DA;
+ 1A2E : 02;
+ [1A2F..1A30] : 00;
+ 1A31 : 66;
+ 1A32 : 6A;
+ [1A33..1A34] : 29;
+ 1A35 : 30;
+ 1A36 : 01;
+ 1A37 : 14;
+ 1A38 : 3A;
+ 1A39 : 9F;
+ 1A3A : 41;
+ 1A3B : 3C;
+ 1A3C : 01;
+ 1A3D : 3C;
+ 1A3E : 04;
+ 1A3F : 28;
+ 1A40 : 03;
+ 1A41 : 01;
+ 1A42 : 58;
+ 1A43 : 02;
+ 1A44 : 09;
+ 1A45 : 30;
+ 1A46 : 01;
+ 1A47 : 14;
+ 1A48 : AF;
+ 1A49 : 32;
+ 1A4A : 81;
+ 1A4B : 40;
+ 1A4C : D3;
+ 1A4D : 00;
+ 1A4E : 7A;
+ 1A4F : 11;
+ 1A50 : 00;
+ 1A51 : 50;
+ 1A52 : 01;
+ 1A53 : 00;
+ 1A54 : 04;
+ 1A55 : CD;
+ 1A56 : 80;
+ 1A57 : CD;
+ 1A58 : AF;
+ 1A59 : D3;
+ 1A5A : 00;
+ 1A5B : C9;
+ 1A5C : 3A;
+ 1A5D : A0;
+ 1A5E : 41;
+ 1A5F : D3;
+ 1A60 : 03;
+ 1A61 : DB;
+ 1A62 : 02;
+ 1A63 : D3;
+ 1A64 : 05;
+ 1A65 : 32;
+ 1A66 : A0;
+ 1A67 : 41;
+ 1A68 : C9;
+ 1A69 : 3A;
+ 1A6A : A0;
+ 1A6B : 41;
+ 1A6C : B7;
+ 1A6D : C0;
+ 1A6E : 21;
+ 1A6F : 51;
+ 1A70 : 41;
+ 1A71 : CB;
+ 1A72 : FE;
+ 1A73 : C9;
+ 1A74 : 3A;
+ 1A75 : 5B;
+ 1A76 : 41;
+ 1A77 : B7;
+ 1A78 : 20;
+ 1A79 : 14;
+ 1A7A : 3A;
+ 1A7B : 5A;
+ 1A7C : 41;
+ 1A7D : B7;
+ 1A7E : 20;
+ 1A7F : 0E;
+ 1A80 : 3E;
+ 1A81 : 06;
+ 1A82 : 32;
+ 1A83 : 58;
+ 1A84 : 41;
+ 1A85 : 21;
+ 1A86 : EE;
+ 1A87 : 02;
+ 1A88 : 22;
+ 1A89 : 53;
+ 1A8A : 41;
+ 1A8B : 22;
+ 1A8C : 55;
+ 1A8D : 41;
+ 1A8E : FD;
+ 1A8F : 21;
+ 1A90 : 00;
+ 1A91 : 46;
+ 1A92 : C3;
+ 1A93 : 7E;
+ 1A94 : 10;
+ 1A95 : FD;
+ 1A96 : 36;
+ 1A97 : 0E;
+ 1A98 : 00;
+ 1A99 : FD;
+ 1A9A : 36;
+ 1A9B : 14;
+ 1A9C : 7F;
+ 1A9D : C9;
+ 1A9E : DB;
+ 1A9F : 02;
+ 1AA0 : 6F;
+ 1AA1 : D3;
+ 1AA2 : 05;
+ 1AA3 : DB;
+ 1AA4 : 04;
+ 1AA5 : E6;
+ 1AA6 : 81;
+ 1AA7 : 28;
+ 1AA8 : FA;
+ 1AA9 : F2;
+ 1AAA : B8;
+ 1AAB : 1A;
+ 1AAC : DB;
+ 1AAD : 02;
+ 1AAE : 67;
+ 1AAF : 11;
+ 1AB0 : 01;
+ 1AB1 : 40;
+ 1AB2 : B7;
+ 1AB3 : ED;
+ 1AB4 : 52;
+ 1AB5 : 19;
+ 1AB6 : 38;
+ 1AB7 : 03;
+ 1AB8 : 21;
+ 1AB9 : 00;
+ 1ABA : 02;
+ 1ABB : 22;
+ 1ABC : A1;
+ 1ABD : 41;
+ 1ABE : C9;
+ 1ABF : CD;
+ 1AC0 : C9;
+ 1AC1 : 0C;
+ 1AC2 : 2A;
+ 1AC3 : A1;
+ 1AC4 : 41;
+ 1AC5 : 7C;
+ 1AC6 : B5;
+ 1AC7 : C8;
+ 1AC8 : 3A;
+ 1AC9 : 9F;
+ 1ACA : 41;
+ 1ACB : B7;
+ 1ACC : 3E;
+ 1ACD : 1F;
+ 1ACE : 21;
+ 1ACF : 3C;
+ 1AD0 : 04;
+ 1AD1 : 20;
+ 1AD2 : 05;
+ 1AD3 : 3E;
+ 1AD4 : 0F;
+ 1AD5 : 21;
+ 1AD6 : 58;
+ 1AD7 : 02;
+ 1AD8 : 32;
+ 1AD9 : 00;
+ 1ADA : 50;
+ 1ADB : 22;
+ 1ADC : 01;
+ 1ADD : 50;
+ 1ADE : CD;
+ 1ADF : E4;
+ 1AE0 : 1A;
+ 1AE1 : C3;
+ 1AE2 : C9;
+ 1AE3 : 0C;
+ 1AE4 : F3;
+ 1AE5 : 3A;
+ 1AE6 : 00;
+ 1AE7 : 40;
+ 1AE8 : D3;
+ 1AE9 : 00;
+ 1AEA : ED;
+ 1AEB : 5B;
+ 1AEC : 01;
+ 1AED : 50;
+ 1AEE : 3A;
+ 1AEF : 9E;
+ 1AF0 : 41;
+ 1AF1 : 6F;
+ 1AF2 : 60;
+ [1AF3..1AF4] : 29;
+ 1AF5 : 7C;
+ 1AF6 : 65;
+ 1AF7 : 68;
+ 1AF8 : 19;
+ 1AF9 : 88;
+ 1AFA : 22;
+ 1AFB : 10;
+ 1AFC : 50;
+ 1AFD : 32;
+ 1AFE : 12;
+ 1AFF : 50;
+ 1B00 : ED;
+ 1B01 : 73;
+ 1B02 : 05;
+ 1B03 : 50;
+ 1B04 : 21;
+ 1B05 : 10;
+ 1B06 : 50;
+ 1B07 : 11;
+ 1B08 : 13;
+ 1B09 : 50;
+ 1B0A : D5;
+ 1B0B : ED;
+ 1B0C : A0;
+ 1B0D : ED;
+ 1B0E : A0;
+ 1B0F : ED;
+ 1B10 : A0;
+ 1B11 : FD;
+ 1B12 : E1;
+ 1B13 : 3A;
+ 1B14 : 00;
+ 1B15 : 50;
+ 1B16 : 47;
+ 1B17 : 11;
+ 1B18 : 1E;
+ 1B19 : 00;
+ 1B1A : DD;
+ 1B1B : 21;
+ 1B1C : 14;
+ 1B1D : 80;
+ 1B1E : DD;
+ 1B1F : 66;
+ 1B20 : 16;
+ 1B21 : DD;
+ 1B22 : 6E;
+ 1B23 : 17;
+ 1B24 : CD;
+ 1B25 : 8C;
+ 1B26 : 1C;
+ 1B27 : DD;
+ 1B28 : 19;
+ 1B29 : 10;
+ 1B2A : F3;
+ 1B2B : DD;
+ 1B2C : 21;
+ 1B2D : 2A;
+ 1B2E : 80;
+ 1B2F : 3A;
+ 1B30 : 00;
+ 1B31 : 50;
+ 1B32 : 47;
+ 1B33 : 3A;
+ 1B34 : 00;
+ 1B35 : 40;
+ 1B36 : D3;
+ 1B37 : 00;
+ 1B38 : DD;
+ 1B39 : 66;
+ 1B3A : 06;
+ 1B3B : DD;
+ 1B3C : 6E;
+ 1B3D : 07;
+ 1B3E : 22;
+ 1B3F : 07;
+ 1B40 : 50;
+ 1B41 : DD;
+ 1B42 : 7E;
+ 1B43 : 00;
+ 1B44 : DD;
+ 1B45 : B6;
+ 1B46 : 01;
+ 1B47 : CA;
+ 1B48 : 1F;
+ 1B49 : 1C;
+ 1B4A : 11;
+ 1B4B : 02;
+ 1B4C : 00;
+ 1B4D : CD;
+ 1B4E : A6;
+ 1B4F : 1C;
+ 1B50 : DA;
+ 1B51 : 1F;
+ 1B52 : 1C;
+ 1B53 : ED;
+ 1B54 : 5B;
+ 1B55 : A1;
+ 1B56 : 41;
+ 1B57 : CD;
+ 1B58 : A6;
+ 1B59 : 1C;
+ 1B5A : D2;
+ 1B5B : 1F;
+ 1B5C : 1C;
+ 1B5D : C5;
+ 1B5E : 44;
+ 1B5F : 4D;
+ 1B60 : D9;
+ 1B61 : 01;
+ [1B62..1B63] : 00;
+ 1B64 : D9;
+ 1B65 : 09;
+ 1B66 : CD;
+ 1B67 : A6;
+ 1B68 : 1C;
+ 1B69 : D9;
+ 1B6A : 03;
+ 1B6B : 38;
+ 1B6C : F7;
+ 1B6D : C5;
+ 1B6E : D9;
+ 1B6F : E5;
+ 1B70 : DD;
+ 1B71 : 46;
+ 1B72 : 06;
+ 1B73 : DD;
+ 1B74 : 4E;
+ 1B75 : 07;
+ 1B76 : A7;
+ 1B77 : ED;
+ 1B78 : 42;
+ 1B79 : 11;
+ 1B7A : 13;
+ 1B7B : 50;
+ 1B7C : ED;
+ 1B7D : 53;
+ 1B7E : 09;
+ 1B7F : 50;
+ 1B80 : FD;
+ 1B81 : 21;
+ 1B82 : 16;
+ 1B83 : 50;
+ 1B84 : CD;
+ 1B85 : 7C;
+ 1B86 : 1C;
+ 1B87 : 11;
+ 1B88 : 10;
+ 1B89 : 50;
+ 1B8A : ED;
+ 1B8B : 53;
+ 1B8C : 09;
+ 1B8D : 50;
+ 1B8E : 06;
+ 1B8F : 03;
+ 1B90 : 11;
+ 1B91 : 18;
+ 1B92 : 50;
+ 1B93 : 21;
+ 1B94 : FF;
+ 1B95 : 40;
+ 1B96 : 1A;
+ 1B97 : BE;
+ 1B98 : 2B;
+ 1B99 : 1B;
+ 1B9A : 38;
+ 1B9B : 05;
+ 1B9C : C2;
+ 1B9D : 4E;
+ 1B9E : 1C;
+ 1B9F : 10;
+ 1BA0 : F5;
+ 1BA1 : E1;
+ 1BA2 : EB;
+ 1BA3 : DD;
+ 1BA4 : 66;
+ 1BA5 : 00;
+ 1BA6 : DD;
+ 1BA7 : 6E;
+ 1BA8 : 01;
+ 1BA9 : DD;
+ 1BAA : 46;
+ 1BAB : 06;
+ 1BAC : DD;
+ 1BAD : 4E;
+ 1BAE : 07;
+ 1BAF : A7;
+ 1BB0 : ED;
+ 1BB1 : 42;
+ 1BB2 : 19;
+ 1BB3 : DD;
+ 1BB4 : 74;
+ 1BB5 : 00;
+ 1BB6 : DD;
+ 1BB7 : 75;
+ 1BB8 : 01;
+ 1BB9 : DD;
+ 1BBA : 72;
+ 1BBB : 06;
+ 1BBC : DD;
+ 1BBD : 73;
+ 1BBE : 07;
+ 1BBF : FD;
+ 1BC0 : 21;
+ 1BC1 : 16;
+ 1BC2 : 50;
+ 1BC3 : DD;
+ 1BC4 : 66;
+ 1BC5 : 04;
+ 1BC6 : DD;
+ 1BC7 : 6E;
+ 1BC8 : 05;
+ [1BC9..1BCB] : E5;
+ 1BCC : 09;
+ 1BCD : CD;
+ 1BCE : 7C;
+ 1BCF : 1C;
+ 1BD0 : FD;
+ 1BD1 : 21;
+ 1BD2 : 19;
+ 1BD3 : 50;
+ 1BD4 : E1;
+ 1BD5 : 19;
+ 1BD6 : CD;
+ 1BD7 : 7C;
+ 1BD8 : 1C;
+ 1BD9 : 21;
+ 1BDA : 13;
+ 1BDB : 50;
+ 1BDC : 11;
+ 1BDD : 1C;
+ 1BDE : 50;
+ 1BDF : ED;
+ 1BE0 : A0;
+ 1BE1 : ED;
+ 1BE2 : A0;
+ 1BE3 : ED;
+ 1BE4 : A0;
+ 1BE5 : CD;
+ 1BE6 : 54;
+ 1BE7 : 1C;
+ 1BE8 : FD;
+ 1BE9 : 21;
+ 1BEA : 16;
+ 1BEB : 50;
+ 1BEC : E1;
+ 1BED : CD;
+ 1BEE : 7C;
+ 1BEF : 1C;
+ 1BF0 : E1;
+ 1BF1 : ED;
+ 1BF2 : 5B;
+ 1BF3 : 07;
+ 1BF4 : 50;
+ 1BF5 : 19;
+ 1BF6 : FD;
+ 1BF7 : 21;
+ 1BF8 : 19;
+ 1BF9 : 50;
+ 1BFA : CD;
+ 1BFB : 7C;
+ 1BFC : 1C;
+ 1BFD : 21;
+ 1BFE : 19;
+ 1BFF : 50;
+ 1C00 : 11;
+ 1C01 : 1C;
+ 1C02 : 50;
+ 1C03 : ED;
+ 1C04 : A0;
+ 1C05 : ED;
+ 1C06 : A0;
+ 1C07 : ED;
+ 1C08 : A0;
+ 1C09 : C1;
+ 1C0A : C5;
+ 1C0B : CD;
+ 1C0C : 54;
+ 1C0D : 1C;
+ 1C0E : 2A;
+ 1C0F : 07;
+ 1C10 : 50;
+ 1C11 : FD;
+ 1C12 : 21;
+ 1C13 : 19;
+ 1C14 : 50;
+ 1C15 : CD;
+ 1C16 : 8C;
+ 1C17 : 1C;
+ 1C18 : C1;
+ 1C19 : 0B;
+ 1C1A : 78;
+ 1C1B : B1;
+ 1C1C : 20;
+ 1C1D : EC;
+ 1C1E : C1;
+ 1C1F : ED;
+ 1C20 : 5B;
+ 1C21 : 07;
+ 1C22 : 50;
+ 1C23 : 3A;
+ 1C24 : 00;
+ 1C25 : 40;
+ 1C26 : D3;
+ 1C27 : 00;
+ 1C28 : DD;
+ 1C29 : 66;
+ 1C2A : 06;
+ 1C2B : DD;
+ 1C2C : 6E;
+ 1C2D : 07;
+ 1C2E : A7;
+ 1C2F : ED;
+ 1C30 : 52;
+ 1C31 : FD;
+ 1C32 : 21;
+ 1C33 : 13;
+ 1C34 : 50;
+ 1C35 : CD;
+ 1C36 : 8C;
+ 1C37 : 1C;
+ 1C38 : DD;
+ 1C39 : 66;
+ 1C3A : 00;
+ 1C3B : DD;
+ 1C3C : 6E;
+ 1C3D : 01;
+ 1C3E : FD;
+ 1C3F : 21;
+ 1C40 : 10;
+ 1C41 : 50;
+ 1C42 : CD;
+ 1C43 : 8C;
+ 1C44 : 1C;
+ 1C45 : 11;
+ 1C46 : 1E;
+ 1C47 : 00;
+ 1C48 : DD;
+ 1C49 : 19;
+ 1C4A : 05;
+ 1C4B : C2;
+ 1C4C : 33;
+ 1C4D : 1B;
+ 1C4E : ED;
+ 1C4F : 7B;
+ 1C50 : 05;
+ 1C51 : 50;
+ 1C52 : FB;
+ 1C53 : C9;
+ 1C54 : FD;
+ 1C55 : 21;
+ 1C56 : 16;
+ 1C57 : 50;
+ 1C58 : FD;
+ 1C59 : 6E;
+ 1C5A : 03;
+ 1C5B : FD;
+ 1C5C : 66;
+ 1C5D : 04;
+ 1C5E : FD;
+ 1C5F : 46;
+ 1C60 : 05;
+ 1C61 : D9;
+ 1C62 : FD;
+ 1C63 : 6E;
+ 1C64 : 00;
+ 1C65 : FD;
+ 1C66 : 66;
+ 1C67 : 01;
+ 1C68 : FD;
+ 1C69 : 46;
+ 1C6A : 02;
+ 1C6B : FD;
+ 1C6C : 5E;
+ 1C6D : 06;
+ 1C6E : FD;
+ 1C6F : 56;
+ 1C70 : 07;
+ 1C71 : FD;
+ 1C72 : 4E;
+ 1C73 : 08;
+ 1C74 : DD;
+ 1C75 : E5;
+ 1C76 : CD;
+ 1C77 : AC;
+ 1C78 : 1C;
+ 1C79 : DD;
+ 1C7A : E1;
+ 1C7B : C9;
+ 1C7C : E5;
+ 1C7D : D5;
+ 1C7E : FD;
+ 1C7F : E5;
+ 1C80 : D1;
+ 1C81 : 2A;
+ 1C82 : 09;
+ 1C83 : 50;
+ 1C84 : ED;
+ 1C85 : A0;
+ 1C86 : ED;
+ 1C87 : A0;
+ 1C88 : ED;
+ 1C89 : A0;
+ 1C8A : D1;
+ 1C8B : E1;
+ 1C8C : CD;
+ 1C8D : 8F;
+ 1C8E : 1C;
+ 1C8F : FD;
+ 1C90 : 7E;
+ 1C91 : 00;
+ 1C92 : 85;
+ 1C93 : FD;
+ 1C94 : 77;
+ 1C95 : 00;
+ 1C96 : FD;
+ 1C97 : 7E;
+ 1C98 : 01;
+ 1C99 : 8C;
+ 1C9A : FD;
+ 1C9B : 77;
+ 1C9C : 01;
+ 1C9D : FD;
+ 1C9E : 7E;
+ 1C9F : 02;
+ 1CA0 : CE;
+ 1CA1 : 00;
+ 1CA2 : FD;
+ 1CA3 : 77;
+ 1CA4 : 02;
+ 1CA5 : C9;
+ 1CA6 : E5;
+ 1CA7 : A7;
+ 1CA8 : ED;
+ 1CA9 : 52;
+ 1CAA : E1;
+ 1CAB : C9;
+ 1CAC : 97;
+ 1CAD : D3;
+ 1CAE : 00;
+ 1CAF : 32;
+ 1CB0 : 82;
+ 1CB1 : 40;
+ 1CB2 : 78;
+ 1CB3 : E5;
+ 1CB4 : D9;
+ 1CB5 : D1;
+ 1CB6 : E5;
+ 1CB7 : C5;
+ 1CB8 : 4F;
+ 1CB9 : B7;
+ 1CBA : ED;
+ 1CBB : 52;
+ 1CBC : 78;
+ 1CBD : 99;
+ 1CBE : EB;
+ 1CBF : C1;
+ 1CC0 : E1;
+ 1CC1 : 4F;
+ 1CC2 : B3;
+ 1CC3 : B2;
+ 1CC4 : C8;
+ 1CC5 : D9;
+ 1CC6 : EB;
+ 1CC7 : ED;
+ 1CC8 : 52;
+ 1CC9 : 79;
+ 1CCA : 98;
+ 1CCB : DD;
+ 1CCC : 6F;
+ 1CCD : B5;
+ 1CCE : B4;
+ 1CCF : D9;
+ 1CD0 : C8;
+ 1CD1 : D5;
+ 1CD2 : C5;
+ 1CD3 : CB;
+ 1CD4 : 79;
+ 1CD5 : D9;
+ 1CD6 : C2;
+ 1CD7 : 2B;
+ 1CD8 : CC;
+ 1CD9 : C3;
+ 1CDA : CD;
+ 1CDB : CC;
+ 1CDC : 3A;
+ 1CDD : A0;
+ 1CDE : 41;
+ 1CDF : 4F;
+ 1CE0 : ED;
+ 1CE1 : 5B;
+ 1CE2 : A1;
+ 1CE3 : 41;
+ 1CE4 : 3A;
+ 1CE5 : B2;
+ 1CE6 : 40;
+ 1CE7 : C9;
+ 1CE8 : 32;
+ 1CE9 : B2;
+ 1CEA : 40;
+ 1CEB : 79;
+ 1CEC : 32;
+ 1CED : A0;
+ 1CEE : 41;
+ 1CEF : ED;
+ 1CF0 : 53;
+ 1CF1 : A1;
+ 1CF2 : 41;
+ 1CF3 : C9;
+ 1CF4 : AF;
+ 1CF5 : 67;
+ 1CF6 : 6F;
+ 1CF7 : 32;
+ 1CF8 : A0;
+ 1CF9 : 41;
+ 1CFA : 22;
+ 1CFB : A1;
+ 1CFC : 41;
+ 1CFD : C3;
+ 1CFE : 00;
+ 1CFF : C0;
+ [1D00..1FFF] : FF;
+ 2000 : 8B;
+ 2001 : 21;
+ 2002 : E8;
+ 2003 : 21;
+ 2004 : 84;
+ 2005 : 27;
+ 2006 : 94;
+ 2007 : 21;
+ 2008 : A7;
+ 2009 : 21;
+ 200A : B4;
+ 200B : 21;
+ 200C : C1;
+ 200D : 21;
+ 200E : CE;
+ 200F : 21;
+ 2010 : DB;
+ 2011 : 21;
+ 2012 : 4A;
+ 2013 : 20;
+ 2014 : 53;
+ 2015 : 20;
+ 2016 : 6A;
+ 2017 : 20;
+ 2018 : 81;
+ 2019 : 20;
+ 201A : 9A;
+ 201B : 20;
+ 201C : B5;
+ 201D : 20;
+ 201E : D2;
+ 201F : 20;
+ 2020 : 05;
+ 2021 : 21;
+ 2022 : 3A;
+ 2023 : 21;
+ [2024..202F] : 00;
+ 2030 : D9;
+ 2031 : 14;
+ 2032 : 15;
+ 2033 : CA;
+ 2034 : 74;
+ 2035 : 21;
+ 2036 : 4F;
+ 2037 : 7A;
+ 2038 : 3D;
+ 2039 : FE;
+ 203A : 09;
+ 203B : D2;
+ 203C : 71;
+ 203D : 21;
+ 203E : 87;
+ 203F : C6;
+ 2040 : 12;
+ 2041 : 6F;
+ 2042 : 26;
+ 2043 : 20;
+ 2044 : 7E;
+ 2045 : 2C;
+ 2046 : 66;
+ 2047 : 6F;
+ 2048 : 79;
+ 2049 : E9;
+ 204A : D9;
+ 204B : 86;
+ 204C : 1F;
+ 204D : 12;
+ 204E : 1C;
+ 204F : D9;
+ 2050 : C3;
+ 2051 : 74;
+ 2052 : 21;
+ 2053 : D9;
+ 2054 : 96;
+ 2055 : D9;
+ 2056 : 26;
+ 2057 : 3E;
+ 2058 : D2;
+ 2059 : 5C;
+ 205A : 20;
+ 205B : 24;
+ 205C : 6F;
+ 205D : 7E;
+ 205E : D9;
+ 205F : 86;
+ 2060 : 12;
+ 2061 : 1C;
+ 2062 : 86;
+ 2063 : 1F;
+ 2064 : 12;
+ 2065 : 1C;
+ 2066 : D9;
+ 2067 : C3;
+ 2068 : 74;
+ 2069 : 21;
+ 206A : D9;
+ 206B : 86;
+ 206C : 1F;
+ 206D : D9;
+ 206E : 6F;
+ 206F : 81;
+ 2070 : 1F;
+ 2071 : D9;
+ 2072 : 12;
+ 2073 : 1C;
+ 2074 : D9;
+ 2075 : 7D;
+ 2076 : D9;
+ 2077 : 12;
+ 2078 : 1C;
+ 2079 : 86;
+ 207A : 1F;
+ 207B : 12;
+ 207C : 1C;
+ 207D : D9;
+ 207E : C3;
+ 207F : 74;
+ 2080 : 21;
+ 2081 : D9;
+ 2082 : 86;
+ 2083 : 1F;
+ 2084 : D9;
+ 2085 : 6F;
+ 2086 : 81;
+ 2087 : 1F;
+ 2088 : D9;
+ 2089 : 12;
+ 208A : 1C;
+ 208B : D9;
+ 208C : 7D;
+ 208D : D9;
+ 208E : 12;
+ 208F : 1C;
+ 2090 : 12;
+ 2091 : 1C;
+ 2092 : 86;
+ 2093 : 1F;
+ 2094 : 12;
+ 2095 : 1C;
+ 2096 : D9;
+ 2097 : C3;
+ 2098 : 74;
+ 2099 : 21;
+ 209A : D9;
+ 209B : 86;
+ 209C : 1F;
+ 209D : D9;
+ 209E : 6F;
+ 209F : 81;
+ 20A0 : 1F;
+ 20A1 : D9;
+ 20A2 : 12;
+ 20A3 : 1C;
+ 20A4 : 12;
+ 20A5 : 1C;
+ 20A6 : D9;
+ 20A7 : 7D;
+ 20A8 : D9;
+ 20A9 : 12;
+ 20AA : 1C;
+ 20AB : 86;
+ 20AC : 1F;
+ 20AD : 12;
+ 20AE : 1C;
+ 20AF : 12;
+ 20B0 : 1C;
+ 20B1 : D9;
+ 20B2 : C3;
+ 20B3 : 74;
+ 20B4 : 21;
+ 20B5 : D9;
+ 20B6 : 86;
+ 20B7 : 1F;
+ 20B8 : D9;
+ 20B9 : 6F;
+ 20BA : 81;
+ 20BB : 1F;
+ 20BC : D9;
+ 20BD : 12;
+ 20BE : 1C;
+ 20BF : 12;
+ 20C0 : 1C;
+ 20C1 : D9;
+ 20C2 : 7D;
+ 20C3 : D9;
+ 20C4 : 12;
+ 20C5 : 1C;
+ 20C6 : 12;
+ 20C7 : 1C;
+ 20C8 : 86;
+ 20C9 : 1F;
+ 20CA : 12;
+ 20CB : 1C;
+ 20CC : 12;
+ 20CD : 1C;
+ 20CE : D9;
+ 20CF : C3;
+ 20D0 : 74;
+ 20D1 : 21;
+ 20D2 : D9;
+ 20D3 : 86;
+ 20D4 : 1F;
+ 20D5 : D9;
+ 20D6 : 6F;
+ 20D7 : 81;
+ 20D8 : 1F;
+ 20D9 : 67;
+ 20DA : 81;
+ 20DB : 1F;
+ 20DC : D9;
+ 20DD : 12;
+ 20DE : 1C;
+ 20DF : D9;
+ 20E0 : 7C;
+ 20E1 : D9;
+ 20E2 : 12;
+ 20E3 : 1C;
+ 20E4 : D9;
+ 20E5 : 85;
+ 20E6 : 1F;
+ 20E7 : D9;
+ 20E8 : 12;
+ 20E9 : 1C;
+ 20EA : D9;
+ 20EB : 7D;
+ 20EC : D9;
+ 20ED : 12;
+ 20EE : 1C;
+ 20EF : 86;
+ 20F0 : 1F;
+ 20F1 : D9;
+ 20F2 : 67;
+ 20F3 : 85;
+ 20F4 : 1F;
+ 20F5 : D9;
+ 20F6 : 12;
+ 20F7 : 1C;
+ 20F8 : D9;
+ 20F9 : 7C;
+ 20FA : D9;
+ 20FB : 12;
+ 20FC : 1C;
+ 20FD : 86;
+ 20FE : 1F;
+ 20FF : 12;
+ 2100 : 1C;
+ 2101 : D9;
+ 2102 : C3;
+ 2103 : 74;
+ 2104 : 21;
+ 2105 : D9;
+ 2106 : 86;
+ 2107 : 1F;
+ 2108 : D9;
+ 2109 : 6F;
+ 210A : 81;
+ 210B : 1F;
+ 210C : 67;
+ 210D : 81;
+ 210E : 1F;
+ 210F : D9;
+ 2110 : 12;
+ 2111 : 1C;
+ 2112 : D9;
+ 2113 : 7C;
+ 2114 : D9;
+ 2115 : 12;
+ 2116 : 1C;
+ 2117 : D9;
+ 2118 : 85;
+ 2119 : 1F;
+ 211A : D9;
+ 211B : 12;
+ 211C : 1C;
+ 211D : D9;
+ 211E : 7D;
+ 211F : D9;
+ 2120 : 12;
+ 2121 : 1C;
+ 2122 : 12;
+ 2123 : 1C;
+ 2124 : 86;
+ 2125 : 1F;
+ 2126 : D9;
+ 2127 : 67;
+ 2128 : 85;
+ 2129 : 1F;
+ 212A : D9;
+ 212B : 12;
+ 212C : 1C;
+ 212D : D9;
+ 212E : 7C;
+ 212F : D9;
+ 2130 : 12;
+ 2131 : 1C;
+ 2132 : 86;
+ 2133 : 1F;
+ 2134 : 12;
+ 2135 : 1C;
+ 2136 : D9;
+ 2137 : C3;
+ 2138 : 74;
+ 2139 : 21;
+ 213A : D9;
+ 213B : 86;
+ 213C : 1F;
+ 213D : D9;
+ 213E : 6F;
+ 213F : 81;
+ 2140 : 1F;
+ 2141 : 67;
+ 2142 : 81;
+ 2143 : 1F;
+ 2144 : D9;
+ 2145 : 12;
+ 2146 : 1C;
+ 2147 : D9;
+ 2148 : 7C;
+ 2149 : D9;
+ 214A : 12;
+ 214B : 1C;
+ 214C : 12;
+ 214D : 1C;
+ 214E : D9;
+ 214F : 85;
+ 2150 : 1F;
+ 2151 : D9;
+ 2152 : 12;
+ 2153 : 1C;
+ 2154 : D9;
+ 2155 : 7D;
+ 2156 : D9;
+ 2157 : 12;
+ 2158 : 1C;
+ 2159 : 86;
+ 215A : 1F;
+ 215B : D9;
+ 215C : 67;
+ 215D : 85;
+ 215E : 1F;
+ 215F : D9;
+ 2160 : 12;
+ 2161 : 1C;
+ 2162 : D9;
+ 2163 : 7C;
+ 2164 : D9;
+ 2165 : 12;
+ 2166 : 1C;
+ 2167 : 12;
+ 2168 : 1C;
+ 2169 : 86;
+ 216A : 1F;
+ 216B : 12;
+ 216C : 1C;
+ 216D : D9;
+ 216E : C3;
+ 216F : 74;
+ 2170 : 21;
+ 2171 : C3;
+ 2172 : 74;
+ 2173 : 21;
+ 2174 : 7B;
+ 2175 : FE;
+ 2176 : 09;
+ 2177 : 30;
+ 2178 : 09;
+ 2179 : 87;
+ 217A : 6F;
+ 217B : 26;
+ 217C : 20;
+ 217D : 7E;
+ 217E : 2C;
+ 217F : 66;
+ 2180 : 6F;
+ 2181 : E9;
+ 2182 : D9;
+ 2183 : 0E;
+ 2184 : FF;
+ 2185 : D9;
+ 2186 : 16;
+ 2187 : 08;
+ 2188 : C3;
+ 2189 : DB;
+ 218A : 21;
+ 218B : D9;
+ 218C : 0E;
+ 218D : 00;
+ 218E : D9;
+ 218F : 16;
+ 2190 : 01;
+ 2191 : C3;
+ 2192 : E8;
+ 2193 : 21;
+ 2194 : D9;
+ 2195 : C5;
+ 2196 : D9;
+ 2197 : E1;
+ 2198 : 43;
+ 2199 : 5C;
+ 219A : 4D;
+ 219B : CD;
+ 219C : 6A;
+ 219D : 2F;
+ 219E : FD;
+ 219F : 6B;
+ 21A0 : 5A;
+ 21A1 : FD;
+ 21A2 : 55;
+ 21A3 : D5;
+ 21A4 : D9;
+ 21A5 : C1;
+ 21A6 : C9;
+ 21A7 : D9;
+ 21A8 : C5;
+ 21A9 : D9;
+ 21AA : E1;
+ 21AB : 43;
+ 21AC : 5C;
+ 21AD : 4D;
+ 21AE : CD;
+ 21AF : 9C;
+ 21B0 : 32;
+ 21B1 : C3;
+ 21B2 : 9E;
+ 21B3 : 21;
+ 21B4 : D9;
+ 21B5 : C5;
+ 21B6 : D9;
+ 21B7 : E1;
+ 21B8 : 43;
+ 21B9 : 5C;
+ 21BA : 4D;
+ 21BB : CD;
+ 21BC : 3A;
+ 21BD : 34;
+ 21BE : C3;
+ 21BF : 9E;
+ 21C0 : 21;
+ 21C1 : D9;
+ 21C2 : C5;
+ 21C3 : D9;
+ 21C4 : E1;
+ 21C5 : 43;
+ 21C6 : 5C;
+ 21C7 : 4D;
+ 21C8 : CD;
+ 21C9 : 28;
+ 21CA : 36;
+ 21CB : C3;
+ 21CC : 9E;
+ 21CD : 21;
+ 21CE : D9;
+ 21CF : C5;
+ 21D0 : D9;
+ 21D1 : E1;
+ 21D2 : 43;
+ 21D3 : 5C;
+ 21D4 : 4D;
+ 21D5 : CD;
+ 21D6 : 57;
+ 21D7 : 38;
+ 21D8 : C3;
+ 21D9 : 9E;
+ 21DA : 21;
+ 21DB : D9;
+ 21DC : C5;
+ 21DD : D9;
+ 21DE : E1;
+ 21DF : 43;
+ 21E0 : 5C;
+ 21E1 : 4D;
+ 21E2 : CD;
+ 21E3 : BE;
+ 21E4 : 3A;
+ 21E5 : C3;
+ 21E6 : 9E;
+ 21E7 : 21;
+ 21E8 : D9;
+ 21E9 : CB;
+ 21EA : 21;
+ 21EB : 38;
+ 21EC : 69;
+ 21ED : DD;
+ 21EE : 7D;
+ 21EF : 3C;
+ 21F0 : 28;
+ 21F1 : 18;
+ 21F2 : 3D;
+ 21F3 : 83;
+ 21F4 : 28;
+ 21F5 : 3A;
+ 21F6 : 38;
+ 21F7 : 12;
+ 21F8 : CB;
+ 21F9 : 79;
+ 21FA : 28;
+ 21FB : 34;
+ 21FC : FD;
+ 21FD : 6F;
+ 21FE : DD;
+ 21FF : 7D;
+ 2200 : CB;
+ 2201 : 3F;
+ 2202 : CB;
+ 2203 : 3F;
+ 2204 : FD;
+ 2205 : 85;
+ [2206..2207] : 28;
+ 2208 : 30;
+ 2209 : 26;
+ 220A : CB;
+ [220B..220C] : 30;
+ 220D : 11;
+ 220E : 7B;
+ 220F : E6;
+ 2210 : 03;
+ 2211 : CA;
+ 2212 : 6F;
+ 2213 : 23;
+ 2214 : 3D;
+ 2215 : CA;
+ 2216 : D1;
+ 2217 : 23;
+ 2218 : 3D;
+ 2219 : CA;
+ 221A : D0;
+ 221B : 22;
+ 221C : C3;
+ 221D : 18;
+ 221E : 23;
+ 221F : 7B;
+ 2220 : E6;
+ 2221 : 03;
+ 2222 : CA;
+ 2223 : EA;
+ 2224 : 23;
+ 2225 : 3D;
+ 2226 : CA;
+ 2227 : EA;
+ 2228 : 22;
+ 2229 : 3D;
+ 222A : CA;
+ 222B : 31;
+ 222C : 23;
+ 222D : C3;
+ 222E : 85;
+ 222F : 23;
+ 2230 : CB;
+ [2231..2232] : 30;
+ 2233 : 11;
+ 2234 : 7B;
+ 2235 : E6;
+ 2236 : 03;
+ 2237 : CA;
+ 2238 : EF;
+ 2239 : 24;
+ 223A : 3D;
+ 223B : CA;
+ 223C : 6F;
+ 223D : 25;
+ 223E : 3D;
+ 223F : CA;
+ 2240 : 14;
+ 2241 : 24;
+ 2242 : C3;
+ 2243 : 80;
+ 2244 : 24;
+ 2245 : 7B;
+ 2246 : E6;
+ 2247 : 03;
+ 2248 : CA;
+ 2249 : 91;
+ 224A : 25;
+ 224B : 3D;
+ 224C : CA;
+ 224D : 36;
+ 224E : 24;
+ 224F : 3D;
+ 2250 : CA;
+ 2251 : A2;
+ 2252 : 24;
+ 2253 : C3;
+ 2254 : 0E;
+ 2255 : 25;
+ 2256 : DD;
+ 2257 : 7D;
+ 2258 : 3C;
+ 2259 : 28;
+ 225A : 1E;
+ 225B : 3D;
+ 225C : CB;
+ 225D : 3F;
+ 225E : DD;
+ 225F : 85;
+ 2260 : 28;
+ 2261 : 3D;
+ 2262 : 38;
+ 2263 : 15;
+ 2264 : 83;
+ 2265 : 38;
+ 2266 : 12;
+ 2267 : CB;
+ 2268 : 79;
+ 2269 : 28;
+ 226A : 34;
+ 226B : FD;
+ 226C : 6F;
+ 226D : DD;
+ 226E : 7D;
+ 226F : CB;
+ 2270 : 3F;
+ 2271 : CB;
+ 2272 : 3F;
+ 2273 : FD;
+ 2274 : 85;
+ [2275..2276] : 28;
+ 2277 : 30;
+ 2278 : 26;
+ 2279 : CB;
+ 227A : 30;
+ 227B : 38;
+ 227C : 11;
+ 227D : 7B;
+ 227E : E6;
+ 227F : 03;
+ 2280 : CA;
+ 2281 : 07;
+ 2282 : 26;
+ 2283 : 3D;
+ 2284 : CA;
+ 2285 : 2F;
+ 2286 : 26;
+ 2287 : 3D;
+ 2288 : CA;
+ 2289 : 57;
+ 228A : 26;
+ 228B : C3;
+ 228C : DD;
+ 228D : 25;
+ 228E : 7B;
+ 228F : E6;
+ 2290 : 03;
+ 2291 : CA;
+ 2292 : 49;
+ 2293 : 26;
+ 2294 : 3D;
+ 2295 : CA;
+ 2296 : CF;
+ 2297 : 25;
+ 2298 : 3D;
+ 2299 : CA;
+ 229A : F7;
+ 229B : 25;
+ 229C : C3;
+ 229D : 1F;
+ 229E : 26;
+ 229F : CB;
+ 22A0 : 30;
+ 22A1 : 38;
+ 22A2 : 11;
+ 22A3 : 7B;
+ 22A4 : E6;
+ 22A5 : 03;
+ 22A6 : CA;
+ 22A7 : E9;
+ 22A8 : 26;
+ 22A9 : 3D;
+ 22AA : CA;
+ 22AB : 1D;
+ 22AC : 27;
+ 22AD : 3D;
+ 22AE : CA;
+ 22AF : 51;
+ 22B0 : 27;
+ 22B1 : C3;
+ 22B2 : B3;
+ 22B3 : 26;
+ 22B4 : 7B;
+ 22B5 : E6;
+ 22B6 : 03;
+ 22B7 : CA;
+ 22B8 : 3F;
+ 22B9 : 27;
+ 22BA : 3D;
+ 22BB : CA;
+ 22BC : A1;
+ 22BD : 26;
+ 22BE : 3D;
+ 22BF : CA;
+ 22C0 : D5;
+ 22C1 : 26;
+ 22C2 : C3;
+ 22C3 : 09;
+ 22C4 : 27;
+ 22C5 : 47;
+ 22C6 : 2B;
+ 22C7 : 7E;
+ 22C8 : 23;
+ 22C9 : 86;
+ 22CA : 1F;
+ 22CB : 12;
+ 22CC : 1C;
+ 22CD : ED;
+ 22CE : A0;
+ 22CF : 0C;
+ 22D0 : 78;
+ 22D1 : ED;
+ 22D2 : A0;
+ 22D3 : 0C;
+ 22D4 : 81;
+ 22D5 : 47;
+ 22D6 : D2;
+ 22D7 : 18;
+ 22D8 : 23;
+ 22D9 : 81;
+ 22DA : DA;
+ 22DB : C4;
+ 22DC : 23;
+ 22DD : 47;
+ 22DE : 2B;
+ 22DF : 7E;
+ 22E0 : 23;
+ 22E1 : 86;
+ 22E2 : 1F;
+ 22E3 : 12;
+ 22E4 : 1C;
+ 22E5 : 28;
+ 22E6 : 1A;
+ 22E7 : ED;
+ 22E8 : A0;
+ 22E9 : 0C;
+ 22EA : 78;
+ 22EB : ED;
+ 22EC : A0;
+ 22ED : 0C;
+ 22EE : 81;
+ 22EF : D2;
+ 22F0 : 32;
+ 22F1 : 23;
+ 22F2 : ED;
+ 22F3 : A0;
+ 22F4 : 0C;
+ 22F5 : 81;
+ 22F6 : 47;
+ 22F7 : D2;
+ 22F8 : 18;
+ 22F9 : 23;
+ 22FA : 81;
+ 22FB : DA;
+ 22FC : C4;
+ 22FD : 23;
+ 22FE : C3;
+ 22FF : DD;
+ 2300 : 22;
+ 2301 : FD;
+ 2302 : 6F;
+ 2303 : 78;
+ 2304 : 91;
+ 2305 : 47;
+ 2306 : CB;
+ 2307 : 38;
+ 2308 : 0E;
+ 2309 : 00;
+ 230A : FD;
+ 230B : 7D;
+ 230C : C9;
+ 230D : 47;
+ 230E : 2B;
+ 230F : 7E;
+ 2310 : 23;
+ 2311 : 86;
+ 2312 : 1F;
+ 2313 : 12;
+ 2314 : 1C;
+ 2315 : ED;
+ 2316 : A0;
+ 2317 : 0C;
+ 2318 : 7E;
+ 2319 : 23;
+ 231A : 12;
+ 231B : 1C;
+ 231C : 28;
+ 231D : 2E;
+ 231E : 78;
+ 231F : 81;
+ 2320 : D2;
+ 2321 : 70;
+ 2322 : 23;
+ 2323 : 81;
+ 2324 : 38;
+ 2325 : 9F;
+ 2326 : 47;
+ 2327 : 2B;
+ 2328 : 7E;
+ 2329 : 23;
+ 232A : 86;
+ 232B : 1F;
+ 232C : 12;
+ 232D : 1C;
+ 232E : ED;
+ 232F : A0;
+ 2330 : 0C;
+ 2331 : 78;
+ 2332 : ED;
+ 2333 : A0;
+ 2334 : 0C;
+ 2335 : 81;
+ 2336 : 47;
+ 2337 : D2;
+ 2338 : 85;
+ 2339 : 23;
+ 233A : 7E;
+ 233B : 23;
+ 233C : 12;
+ 233D : 1C;
+ 233E : 28;
+ 233F : 0C;
+ 2340 : 78;
+ 2341 : 81;
+ 2342 : D2;
+ 2343 : 70;
+ 2344 : 23;
+ 2345 : 81;
+ 2346 : DA;
+ 2347 : C5;
+ 2348 : 22;
+ 2349 : C3;
+ 234A : 26;
+ 234B : 23;
+ 234C : FD;
+ 234D : 6F;
+ 234E : 78;
+ 234F : 81;
+ 2350 : 47;
+ 2351 : 30;
+ 2352 : 07;
+ 2353 : 0E;
+ 2354 : 01;
+ 2355 : CB;
+ 2356 : 38;
+ 2357 : FD;
+ 2358 : 7D;
+ 2359 : C9;
+ 235A : 0E;
+ 235B : 00;
+ 235C : CB;
+ 235D : 08;
+ 235E : FD;
+ 235F : 7D;
+ 2360 : C9;
+ 2361 : 47;
+ 2362 : 2B;
+ 2363 : 7E;
+ 2364 : 23;
+ 2365 : 86;
+ 2366 : 1F;
+ 2367 : 12;
+ 2368 : 1C;
+ 2369 : 7E;
+ 236A : 23;
+ 236B : 12;
+ 236C : 1C;
+ 236D : 28;
+ 236E : 2F;
+ 236F : 78;
+ 2370 : ED;
+ 2371 : A0;
+ 2372 : 0C;
+ 2373 : 81;
+ [2374..2375] : D2;
+ 2376 : 23;
+ 2377 : 81;
+ 2378 : 38;
+ 2379 : 93;
+ 237A : 47;
+ 237B : 2B;
+ 237C : 7E;
+ 237D : 23;
+ 237E : 86;
+ 237F : 1F;
+ 2380 : 12;
+ 2381 : 1C;
+ 2382 : ED;
+ 2383 : A0;
+ 2384 : 0C;
+ 2385 : 7E;
+ 2386 : 23;
+ 2387 : 12;
+ 2388 : 1C;
+ 2389 : 28;
+ 238A : 18;
+ 238B : 78;
+ 238C : 81;
+ 238D : D2;
+ 238E : EB;
+ 238F : 23;
+ 2390 : ED;
+ 2391 : A0;
+ 2392 : 0C;
+ 2393 : 81;
+ [2394..2395] : D2;
+ 2396 : 23;
+ 2397 : 81;
+ 2398 : DA;
+ 2399 : 0D;
+ 239A : 23;
+ 239B : C3;
+ 239C : 7A;
+ 239D : 23;
+ 239E : 0E;
+ 239F : 00;
+ 23A0 : CB;
+ 23A1 : 08;
+ 23A2 : C9;
+ 23A3 : FD;
+ 23A4 : 6F;
+ 23A5 : 78;
+ 23A6 : 81;
+ 23A7 : 47;
+ 23A8 : 30;
+ 23A9 : 07;
+ 23AA : 0E;
+ 23AB : 00;
+ 23AC : CB;
+ 23AD : 08;
+ 23AE : FD;
+ 23AF : 7D;
+ 23B0 : C9;
+ 23B1 : 0E;
+ 23B2 : 00;
+ 23B3 : CB;
+ 23B4 : 38;
+ 23B5 : FD;
+ 23B6 : 7D;
+ 23B7 : C9;
+ 23B8 : FD;
+ 23B9 : 6F;
+ 23BA : 78;
+ 23BB : 91;
+ 23BC : 47;
+ 23BD : 0E;
+ 23BE : 00;
+ 23BF : CB;
+ 23C0 : 38;
+ 23C1 : FD;
+ 23C2 : 7D;
+ 23C3 : C9;
+ 23C4 : 47;
+ 23C5 : 2B;
+ 23C6 : 7E;
+ 23C7 : 23;
+ 23C8 : 86;
+ 23C9 : 1F;
+ 23CA : 12;
+ 23CB : 1C;
+ 23CC : 28;
+ 23CD : EA;
+ 23CE : ED;
+ 23CF : A0;
+ 23D0 : 0C;
+ 23D1 : 78;
+ 23D2 : ED;
+ 23D3 : A0;
+ 23D4 : 0C;
+ 23D5 : 81;
+ 23D6 : D2;
+ 23D7 : D1;
+ 23D8 : 22;
+ 23D9 : 81;
+ 23DA : 38;
+ 23DB : 85;
+ 23DC : 47;
+ 23DD : 2B;
+ 23DE : 7E;
+ 23DF : 23;
+ 23E0 : 86;
+ 23E1 : 1F;
+ 23E2 : 12;
+ 23E3 : 1C;
+ 23E4 : 7E;
+ 23E5 : 23;
+ 23E6 : 12;
+ 23E7 : 1C;
+ 23E8 : 28;
+ 23E9 : 16;
+ 23EA : 78;
+ 23EB : ED;
+ 23EC : A0;
+ 23ED : 0C;
+ 23EE : 81;
+ 23EF : D2;
+ 23F0 : EB;
+ 23F1 : 22;
+ 23F2 : ED;
+ 23F3 : A0;
+ 23F4 : 0C;
+ 23F5 : 81;
+ 23F6 : D2;
+ 23F7 : D1;
+ 23F8 : 22;
+ 23F9 : 81;
+ 23FA : DA;
+ 23FB : 61;
+ 23FC : 23;
+ 23FD : C3;
+ 23FE : DC;
+ 23FF : 23;
+ 2400 : 0E;
+ 2401 : 00;
+ 2402 : CB;
+ 2403 : 38;
+ 2404 : C9;
+ 2405 : 47;
+ 2406 : 2B;
+ 2407 : 7E;
+ 2408 : 23;
+ 2409 : 86;
+ 240A : 1F;
+ 240B : 12;
+ 240C : 1C;
+ 240D : ED;
+ 240E : A0;
+ 240F : 0C;
+ 2410 : DD;
+ 2411 : 2D;
+ 2412 : 28;
+ 2413 : 52;
+ 2414 : 78;
+ 2415 : ED;
+ 2416 : A0;
+ 2417 : 0C;
+ 2418 : DD;
+ 2419 : 2D;
+ 241A : 28;
+ 241B : 39;
+ 241C : 81;
+ 241D : 47;
+ 241E : D2;
+ 241F : 80;
+ 2420 : 24;
+ 2421 : 81;
+ 2422 : DA;
+ 2423 : 5E;
+ 2424 : 25;
+ 2425 : 47;
+ 2426 : 2B;
+ 2427 : 7E;
+ 2428 : 23;
+ 2429 : 86;
+ 242A : 1F;
+ 242B : 12;
+ 242C : 1C;
+ 242D : 28;
+ 242E : 2B;
+ 242F : ED;
+ 2430 : A0;
+ 2431 : 0C;
+ 2432 : DD;
+ 2433 : 2D;
+ 2434 : 28;
+ 2435 : 21;
+ 2436 : 78;
+ 2437 : ED;
+ 2438 : A0;
+ 2439 : 0C;
+ 243A : DD;
+ 243B : 2D;
+ 243C : 28;
+ 243D : 30;
+ 243E : 81;
+ 243F : D2;
+ 2440 : A3;
+ 2441 : 24;
+ 2442 : ED;
+ 2443 : A0;
+ 2444 : 0C;
+ 2445 : DD;
+ 2446 : 2D;
+ 2447 : 28;
+ 2448 : 0C;
+ 2449 : 81;
+ 244A : 47;
+ 244B : D2;
+ 244C : 80;
+ 244D : 24;
+ 244E : 81;
+ 244F : DA;
+ 2450 : 5E;
+ 2451 : 25;
+ 2452 : C3;
+ 2453 : 25;
+ 2454 : 24;
+ 2455 : 18;
+ 2456 : 6E;
+ 2457 : C3;
+ 2458 : AF;
+ 2459 : 25;
+ 245A : FD;
+ 245B : 6F;
+ 245C : 78;
+ 245D : 91;
+ 245E : 47;
+ 245F : CB;
+ 2460 : 38;
+ 2461 : 0E;
+ 2462 : 00;
+ 2463 : FD;
+ 2464 : 7D;
+ 2465 : C9;
+ 2466 : 2B;
+ 2467 : 7E;
+ 2468 : 23;
+ 2469 : 0E;
+ 246A : 00;
+ 246B : CB;
+ 246C : 08;
+ 246D : C9;
+ 246E : C3;
+ 246F : 3A;
+ 2470 : 25;
+ 2471 : 47;
+ 2472 : 2B;
+ 2473 : 7E;
+ 2474 : 23;
+ 2475 : 86;
+ 2476 : 1F;
+ 2477 : 12;
+ 2478 : 1C;
+ 2479 : ED;
+ 247A : A0;
+ 247B : 0C;
+ 247C : DD;
+ 247D : 2D;
+ 247E : 28;
+ 247F : E6;
+ 2480 : 7E;
+ 2481 : 23;
+ 2482 : 12;
+ 2483 : 1C;
+ 2484 : 28;
+ 2485 : 42;
+ 2486 : DD;
+ 2487 : 2D;
+ 2488 : 28;
+ 2489 : 3E;
+ 248A : 78;
+ 248B : 81;
+ 248C : D2;
+ 248D : F0;
+ 248E : 24;
+ 248F : 81;
+ 2490 : DA;
+ 2491 : 05;
+ 2492 : 24;
+ 2493 : 47;
+ 2494 : 2B;
+ 2495 : 7E;
+ 2496 : 23;
+ 2497 : 86;
+ 2498 : 1F;
+ 2499 : 12;
+ 249A : 1C;
+ 249B : ED;
+ 249C : A0;
+ 249D : 0C;
+ 249E : DD;
+ 249F : 2D;
+ 24A0 : 28;
+ 24A1 : B5;
+ 24A2 : 78;
+ 24A3 : ED;
+ 24A4 : A0;
+ 24A5 : 0C;
+ 24A6 : DD;
+ 24A7 : 2D;
+ 24A8 : 28;
+ 24A9 : C4;
+ 24AA : 81;
+ 24AB : 47;
+ 24AC : D2;
+ 24AD : 0E;
+ 24AE : 25;
+ 24AF : 7E;
+ 24B0 : 23;
+ 24B1 : 12;
+ 24B2 : 1C;
+ 24B3 : 28;
+ 24B4 : 13;
+ 24B5 : DD;
+ 24B6 : 2D;
+ 24B7 : 28;
+ 24B8 : 0F;
+ 24B9 : 78;
+ 24BA : 81;
+ 24BB : D2;
+ 24BC : F0;
+ 24BD : 24;
+ 24BE : 81;
+ 24BF : DA;
+ 24C0 : 05;
+ 24C1 : 24;
+ 24C2 : C3;
+ 24C3 : 93;
+ 24C4 : 24;
+ 24C5 : 2B;
+ 24C6 : 7E;
+ 24C7 : 23;
+ 24C8 : FD;
+ 24C9 : 6F;
+ 24CA : 78;
+ 24CB : 81;
+ 24CC : 47;
+ 24CD : 30;
+ 24CE : 07;
+ 24CF : 0E;
+ 24D0 : 01;
+ 24D1 : CB;
+ 24D2 : 38;
+ 24D3 : FD;
+ 24D4 : 7D;
+ 24D5 : C9;
+ 24D6 : 0E;
+ 24D7 : 00;
+ 24D8 : CB;
+ 24D9 : 08;
+ 24DA : FD;
+ 24DB : 7D;
+ 24DC : C9;
+ 24DD : 47;
+ 24DE : 2B;
+ 24DF : 7E;
+ 24E0 : 23;
+ 24E1 : 86;
+ 24E2 : 1F;
+ 24E3 : 12;
+ 24E4 : 1C;
+ 24E5 : 7E;
+ 24E6 : 23;
+ 24E7 : 12;
+ 24E8 : 1C;
+ 24E9 : 28;
+ 24EA : 4A;
+ 24EB : DD;
+ 24EC : 2D;
+ 24ED : 28;
+ 24EE : 46;
+ 24EF : 78;
+ 24F0 : ED;
+ 24F1 : A0;
+ 24F2 : 0C;
+ 24F3 : DD;
+ 24F4 : 2D;
+ 24F5 : 28;
+ 24F6 : CE;
+ 24F7 : 81;
+ 24F8 : D2;
+ 24F9 : 70;
+ 24FA : 25;
+ 24FB : 81;
+ 24FC : DA;
+ 24FD : 71;
+ 24FE : 24;
+ 24FF : 47;
+ 2500 : 2B;
+ 2501 : 7E;
+ 2502 : 23;
+ 2503 : 86;
+ 2504 : 1F;
+ 2505 : 12;
+ 2506 : 1C;
+ 2507 : ED;
+ 2508 : A0;
+ 2509 : 0C;
+ 250A : DD;
+ 250B : 2D;
+ 250C : 28;
+ 250D : 21;
+ 250E : 7E;
+ 250F : 23;
+ 2510 : 12;
+ 2511 : 1C;
+ 2512 : 28;
+ 2513 : 29;
+ 2514 : DD;
+ 2515 : 2D;
+ 2516 : 28;
+ 2517 : 25;
+ 2518 : 78;
+ 2519 : 81;
+ 251A : D2;
+ 251B : 92;
+ 251C : 25;
+ 251D : ED;
+ 251E : A0;
+ 251F : 0C;
+ 2520 : DD;
+ 2521 : 2D;
+ 2522 : 28;
+ 2523 : A1;
+ 2524 : 81;
+ 2525 : D2;
+ 2526 : 70;
+ 2527 : 25;
+ 2528 : 81;
+ 2529 : DA;
+ 252A : 71;
+ 252B : 24;
+ 252C : C3;
+ 252D : FF;
+ 252E : 24;
+ 252F : C3;
+ 2530 : AF;
+ 2531 : 25;
+ 2532 : 2B;
+ 2533 : 7E;
+ 2534 : 23;
+ 2535 : 0E;
+ 2536 : 00;
+ 2537 : CB;
+ 2538 : 08;
+ 2539 : C9;
+ 253A : 2B;
+ 253B : 7E;
+ 253C : 23;
+ 253D : FD;
+ 253E : 6F;
+ 253F : 78;
+ 2540 : 81;
+ 2541 : 47;
+ 2542 : 30;
+ 2543 : 07;
+ 2544 : 0E;
+ 2545 : 00;
+ 2546 : CB;
+ 2547 : 08;
+ 2548 : FD;
+ 2549 : 7D;
+ 254A : C9;
+ 254B : 0E;
+ 254C : 00;
+ 254D : CB;
+ 254E : 38;
+ 254F : FD;
+ 2550 : 7D;
+ 2551 : C9;
+ 2552 : FD;
+ 2553 : 6F;
+ 2554 : 78;
+ 2555 : 91;
+ 2556 : 47;
+ 2557 : 0E;
+ 2558 : 00;
+ 2559 : CB;
+ 255A : 38;
+ 255B : FD;
+ 255C : 7D;
+ 255D : C9;
+ 255E : 47;
+ 255F : 2B;
+ 2560 : 7E;
+ 2561 : 23;
+ 2562 : 86;
+ 2563 : 1F;
+ 2564 : 12;
+ 2565 : 1C;
+ 2566 : 28;
+ 2567 : EA;
+ 2568 : ED;
+ 2569 : A0;
+ 256A : 0C;
+ 256B : DD;
+ 256C : 2D;
+ 256D : 28;
+ 256E : C3;
+ 256F : 78;
+ 2570 : ED;
+ 2571 : A0;
+ 2572 : 0C;
+ 2573 : DD;
+ 2574 : 2D;
+ 2575 : 28;
+ 2576 : 40;
+ 2577 : 81;
+ 2578 : D2;
+ 2579 : 15;
+ 257A : 24;
+ 257B : 81;
+ 257C : DA;
+ 257D : DD;
+ 257E : 24;
+ 257F : 47;
+ 2580 : 2B;
+ 2581 : 7E;
+ 2582 : 23;
+ 2583 : 86;
+ 2584 : 1F;
+ 2585 : 12;
+ 2586 : 1C;
+ 2587 : 7E;
+ 2588 : 23;
+ 2589 : 12;
+ 258A : 1C;
+ 258B : 28;
+ 258C : 25;
+ 258D : DD;
+ 258E : 2D;
+ 258F : 28;
+ 2590 : 21;
+ 2591 : 78;
+ 2592 : ED;
+ 2593 : A0;
+ 2594 : 0C;
+ 2595 : DD;
+ 2596 : 2D;
+ 2597 : 28;
+ 2598 : A1;
+ 2599 : 81;
+ 259A : D2;
+ 259B : 37;
+ 259C : 24;
+ 259D : ED;
+ 259E : A0;
+ 259F : 0C;
+ 25A0 : DD;
+ 25A1 : 2D;
+ 25A2 : 28;
+ 25A3 : 13;
+ 25A4 : 81;
+ 25A5 : D2;
+ 25A6 : 15;
+ 25A7 : 24;
+ 25A8 : 81;
+ 25A9 : DA;
+ 25AA : DD;
+ 25AB : 24;
+ 25AC : C3;
+ 25AD : 7F;
+ 25AE : 25;
+ 25AF : 2B;
+ 25B0 : 7E;
+ 25B1 : 23;
+ 25B2 : 0E;
+ 25B3 : 00;
+ 25B4 : CB;
+ 25B5 : 38;
+ 25B6 : C9;
+ 25B7 : C3;
+ 25B8 : C5;
+ 25B9 : 24;
+ 25BA : 30;
+ 25BB : 05;
+ 25BC : CB;
+ 25BD : 38;
+ 25BE : 0E;
+ 25BF : 01;
+ 25C0 : C9;
+ 25C1 : CB;
+ 25C2 : 08;
+ 25C3 : 0E;
+ 25C4 : 00;
+ 25C5 : C9;
+ 25C6 : CB;
+ 25C7 : 38;
+ 25C8 : 0E;
+ 25C9 : 00;
+ 25CA : C9;
+ 25CB : 86;
+ 25CC : 1F;
+ 25CD : 12;
+ 25CE : 1C;
+ 25CF : 78;
+ 25D0 : 81;
+ 25D1 : 47;
+ 25D2 : 7E;
+ 25D3 : 23;
+ 25D4 : 12;
+ 25D5 : 1C;
+ 25D6 : DA;
+ 25D7 : 1B;
+ 25D8 : 26;
+ 25D9 : 86;
+ 25DA : 1F;
+ 25DB : 12;
+ 25DC : 1C;
+ 25DD : 78;
+ 25DE : 81;
+ 25DF : 47;
+ 25E0 : 7E;
+ 25E1 : 23;
+ 25E2 : 12;
+ 25E3 : 1C;
+ 25E4 : 28;
+ 25E5 : D4;
+ 25E6 : DA;
+ 25E7 : 2B;
+ 25E8 : 26;
+ 25E9 : 78;
+ 25EA : 81;
+ 25EB : 47;
+ 25EC : 7E;
+ 25ED : 23;
+ 25EE : 12;
+ 25EF : 1C;
+ 25F0 : D2;
+ 25F1 : 53;
+ 25F2 : 26;
+ 25F3 : 86;
+ 25F4 : 1F;
+ 25F5 : 12;
+ 25F6 : 1C;
+ 25F7 : 78;
+ 25F8 : 81;
+ 25F9 : 47;
+ 25FA : 7E;
+ 25FB : 23;
+ 25FC : 12;
+ 25FD : 1C;
+ 25FE : DA;
+ 25FF : 43;
+ 2600 : 26;
+ 2601 : 86;
+ 2602 : 1F;
+ 2603 : 12;
+ 2604 : 1C;
+ 2605 : 28;
+ 2606 : BF;
+ 2607 : 78;
+ 2608 : 81;
+ 2609 : 47;
+ 260A : 7E;
+ 260B : 23;
+ 260C : 12;
+ 260D : 1C;
+ 260E : DA;
+ 260F : 53;
+ 2610 : 26;
+ 2611 : 78;
+ 2612 : 81;
+ 2613 : 47;
+ 2614 : 7E;
+ 2615 : 23;
+ 2616 : 12;
+ 2617 : 1C;
+ 2618 : D2;
+ 2619 : D9;
+ 261A : 25;
+ 261B : 86;
+ 261C : 1F;
+ 261D : 12;
+ 261E : 1C;
+ 261F : 78;
+ 2620 : 81;
+ 2621 : 47;
+ 2622 : 7E;
+ 2623 : 23;
+ 2624 : 12;
+ 2625 : 1C;
+ 2626 : 28;
+ 2627 : 48;
+ 2628 : DA;
+ 2629 : CB;
+ 262A : 25;
+ 262B : 86;
+ 262C : 1F;
+ 262D : 12;
+ 262E : 1C;
+ 262F : 78;
+ 2630 : 81;
+ 2631 : 47;
+ 2632 : 7E;
+ 2633 : 23;
+ 2634 : 12;
+ 2635 : 1C;
+ 2636 : DA;
+ 2637 : D9;
+ 2638 : 25;
+ 2639 : 78;
+ 263A : 81;
+ 263B : 47;
+ 263C : 7E;
+ 263D : 23;
+ 263E : 12;
+ 263F : 1C;
+ 2640 : D2;
+ 2641 : 01;
+ 2642 : 26;
+ 2643 : 86;
+ 2644 : 1F;
+ 2645 : 12;
+ 2646 : 1C;
+ 2647 : 28;
+ 2648 : 31;
+ 2649 : 78;
+ 264A : 81;
+ 264B : 47;
+ 264C : 7E;
+ 264D : 23;
+ 264E : 12;
+ 264F : 1C;
+ 2650 : DA;
+ 2651 : F3;
+ 2652 : 25;
+ 2653 : 86;
+ 2654 : 1F;
+ 2655 : 12;
+ 2656 : 1C;
+ 2657 : 78;
+ 2658 : 81;
+ 2659 : 47;
+ 265A : 7E;
+ 265B : 23;
+ 265C : 12;
+ 265D : 1C;
+ 265E : DA;
+ 265F : 01;
+ 2660 : 26;
+ 2661 : 78;
+ 2662 : 81;
+ 2663 : 47;
+ 2664 : 7E;
+ 2665 : 23;
+ 2666 : 12;
+ 2667 : 1C;
+ 2668 : 28;
+ 2669 : 15;
+ 266A : D2;
+ 266B : 2B;
+ 266C : 26;
+ 266D : C3;
+ 266E : CB;
+ 266F : 25;
+ 2670 : 0E;
+ 2671 : 01;
+ 2672 : 30;
+ 2673 : 03;
+ 2674 : CB;
+ 2675 : 08;
+ 2676 : C9;
+ 2677 : CB;
+ 2678 : 38;
+ 2679 : C9;
+ 267A : CB;
+ 267B : 08;
+ 267C : 0E;
+ 267D : 00;
+ 267E : C9;
+ 267F : 0E;
+ 2680 : 01;
+ 2681 : 30;
+ 2682 : 03;
+ 2683 : CB;
+ 2684 : 08;
+ 2685 : C9;
+ 2686 : CB;
+ 2687 : 38;
+ 2688 : C9;
+ 2689 : C3;
+ 268A : 72;
+ 268B : 27;
+ 268C : 30;
+ 268D : 05;
+ 268E : CB;
+ 268F : 38;
+ 2690 : 0E;
+ 2691 : 01;
+ 2692 : C9;
+ 2693 : CB;
+ 2694 : 08;
+ 2695 : 0E;
+ 2696 : 00;
+ 2697 : C9;
+ 2698 : CB;
+ 2699 : 38;
+ 269A : 0E;
+ 269B : 00;
+ 269C : C9;
+ 269D : 86;
+ 269E : 1F;
+ 269F : 12;
+ 26A0 : 1C;
+ 26A1 : 78;
+ 26A2 : 81;
+ 26A3 : 47;
+ 26A4 : 7E;
+ 26A5 : 23;
+ 26A6 : 12;
+ 26A7 : 1C;
+ 26A8 : DD;
+ 26A9 : 2D;
+ 26AA : 28;
+ 26AB : DD;
+ 26AC : DA;
+ 26AD : 05;
+ 26AE : 27;
+ 26AF : 86;
+ 26B0 : 1F;
+ 26B1 : 12;
+ 26B2 : 1C;
+ 26B3 : 78;
+ 26B4 : 81;
+ 26B5 : 47;
+ 26B6 : 7E;
+ 26B7 : 23;
+ 26B8 : 12;
+ 26B9 : 1C;
+ 26BA : 28;
+ 26BB : D0;
+ 26BC : DD;
+ 26BD : 2D;
+ 26BE : 28;
+ 26BF : CC;
+ 26C0 : DA;
+ 26C1 : 19;
+ 26C2 : 27;
+ 26C3 : 78;
+ 26C4 : 81;
+ 26C5 : 47;
+ 26C6 : 7E;
+ 26C7 : 23;
+ 26C8 : 12;
+ 26C9 : 1C;
+ 26CA : DD;
+ 26CB : 2D;
+ 26CC : 28;
+ 26CD : BB;
+ 26CE : D2;
+ 26CF : 4D;
+ 26D0 : 27;
+ 26D1 : 86;
+ 26D2 : 1F;
+ 26D3 : 12;
+ 26D4 : 1C;
+ 26D5 : 78;
+ 26D6 : 81;
+ 26D7 : 47;
+ 26D8 : 7E;
+ 26D9 : 23;
+ 26DA : 12;
+ 26DB : 1C;
+ 26DC : DD;
+ 26DD : 2D;
+ 26DE : 28;
+ 26DF : A9;
+ 26E0 : DA;
+ 26E1 : 39;
+ 26E2 : 27;
+ 26E3 : 86;
+ 26E4 : 1F;
+ 26E5 : 12;
+ 26E6 : 1C;
+ 26E7 : 28;
+ 26E8 : AF;
+ 26E9 : 78;
+ 26EA : 81;
+ 26EB : 47;
+ 26EC : 7E;
+ 26ED : 23;
+ 26EE : 12;
+ 26EF : 1C;
+ 26F0 : DD;
+ 26F1 : 2D;
+ 26F2 : 28;
+ 26F3 : 98;
+ 26F4 : DA;
+ 26F5 : 4D;
+ 26F6 : 27;
+ 26F7 : 78;
+ 26F8 : 81;
+ 26F9 : 47;
+ 26FA : 7E;
+ 26FB : 23;
+ 26FC : 12;
+ 26FD : 1C;
+ 26FE : DD;
+ 26FF : 2D;
+ 2700 : 28;
+ 2701 : 70;
+ 2702 : D2;
+ 2703 : AF;
+ 2704 : 26;
+ 2705 : 86;
+ 2706 : 1F;
+ 2707 : 12;
+ 2708 : 1C;
+ 2709 : 78;
+ 270A : 81;
+ 270B : 47;
+ 270C : 7E;
+ 270D : 23;
+ 270E : 12;
+ 270F : 1C;
+ 2710 : 28;
+ 2711 : 60;
+ 2712 : DD;
+ 2713 : 2D;
+ 2714 : 28;
+ 2715 : 5C;
+ 2716 : DA;
+ 2717 : 9D;
+ 2718 : 26;
+ 2719 : 86;
+ 271A : 1F;
+ 271B : 12;
+ 271C : 1C;
+ 271D : 78;
+ 271E : 81;
+ 271F : 47;
+ 2720 : 7E;
+ 2721 : 23;
+ 2722 : 12;
+ 2723 : 1C;
+ 2724 : DD;
+ 2725 : 2D;
+ 2726 : 28;
+ 2727 : 59;
+ 2728 : DA;
+ 2729 : AF;
+ 272A : 26;
+ 272B : 78;
+ 272C : 81;
+ 272D : 47;
+ 272E : 7E;
+ 272F : 23;
+ 2730 : 12;
+ 2731 : 1C;
+ 2732 : DD;
+ 2733 : 2D;
+ 2734 : 28;
+ 2735 : 3C;
+ 2736 : D2;
+ 2737 : E3;
+ 2738 : 26;
+ 2739 : 86;
+ 273A : 1F;
+ 273B : 12;
+ 273C : 1C;
+ 273D : 28;
+ 273E : 3D;
+ 273F : 78;
+ 2740 : 81;
+ 2741 : 47;
+ 2742 : 7E;
+ 2743 : 23;
+ 2744 : 12;
+ 2745 : 1C;
+ 2746 : DD;
+ 2747 : 2D;
+ [2748..2749] : 28;
+ 274A : DA;
+ 274B : D1;
+ 274C : 26;
+ 274D : 86;
+ 274E : 1F;
+ 274F : 12;
+ 2750 : 1C;
+ 2751 : 78;
+ 2752 : 81;
+ 2753 : 47;
+ 2754 : 7E;
+ 2755 : 23;
+ 2756 : 12;
+ 2757 : 1C;
+ 2758 : DD;
+ 2759 : 2D;
+ 275A : 28;
+ 275B : 25;
+ 275C : DA;
+ 275D : E3;
+ 275E : 26;
+ 275F : 78;
+ 2760 : 81;
+ 2761 : 47;
+ 2762 : 7E;
+ 2763 : 23;
+ 2764 : 12;
+ 2765 : 1C;
+ 2766 : 28;
+ 2767 : 0A;
+ 2768 : DD;
+ 2769 : 2D;
+ 276A : 28;
+ 276B : 06;
+ 276C : D2;
+ 276D : 19;
+ 276E : 27;
+ 276F : C3;
+ 2770 : 9D;
+ 2771 : 26;
+ 2772 : 0E;
+ 2773 : 01;
+ 2774 : 30;
+ 2775 : 03;
+ 2776 : CB;
+ 2777 : 08;
+ 2778 : C9;
+ 2779 : CB;
+ 277A : 38;
+ 277B : C9;
+ 277C : CB;
+ 277D : 08;
+ 277E : 0E;
+ 277F : 00;
+ 2780 : C9;
+ 2781 : C3;
+ 2782 : 8C;
+ [2783..2784] : 26;
+ 2785 : 3E;
+ 2786 : 54;
+ 2787 : 14;
+ 2788 : D9;
+ 2789 : CB;
+ 278A : 21;
+ 278B : 38;
+ 278C : 68;
+ 278D : DD;
+ 278E : 7D;
+ 278F : 87;
+ 2790 : 38;
+ 2791 : 17;
+ 2792 : 83;
+ 2793 : 28;
+ 2794 : 3A;
+ 2795 : 38;
+ 2796 : 12;
+ 2797 : CB;
+ 2798 : 79;
+ 2799 : 28;
+ 279A : 34;
+ 279B : FD;
+ 279C : 6F;
+ 279D : DD;
+ 279E : 7D;
+ 279F : CB;
+ 27A0 : 3F;
+ 27A1 : CB;
+ 27A2 : 3F;
+ 27A3 : FD;
+ 27A4 : 85;
+ [27A5..27A6] : 28;
+ 27A7 : 30;
+ 27A8 : 26;
+ 27A9 : CB;
+ [27AA..27AB] : 30;
+ 27AC : 11;
+ 27AD : 7B;
+ 27AE : E6;
+ 27AF : 03;
+ 27B0 : CA;
+ 27B1 : 81;
+ 27B2 : 28;
+ 27B3 : 3D;
+ 27B4 : CA;
+ 27B5 : D2;
+ 27B6 : 28;
+ 27B7 : 3D;
+ 27B8 : CA;
+ 27B9 : 19;
+ 27BA : 29;
+ 27BB : C3;
+ 27BC : 60;
+ 27BD : 29;
+ 27BE : 7B;
+ 27BF : E6;
+ 27C0 : 03;
+ 27C1 : CA;
+ 27C2 : 0B;
+ 27C3 : 29;
+ 27C4 : 3D;
+ 27C5 : CA;
+ 27C6 : 52;
+ 27C7 : 29;
+ 27C8 : 3D;
+ 27C9 : CA;
+ 27CA : 71;
+ 27CB : 28;
+ 27CC : C3;
+ 27CD : C2;
+ 27CE : 28;
+ 27CF : CB;
+ [27D0..27D1] : 30;
+ 27D2 : 11;
+ 27D3 : 7B;
+ 27D4 : E6;
+ 27D5 : 03;
+ 27D6 : CA;
+ 27D7 : B2;
+ 27D8 : 29;
+ 27D9 : 3D;
+ 27DA : CA;
+ 27DB : 12;
+ 27DC : 2A;
+ 27DD : 3D;
+ 27DE : CA;
+ 27DF : 65;
+ 27E0 : 2A;
+ 27E1 : C3;
+ 27E2 : B3;
+ 27E3 : 2A;
+ 27E4 : 7B;
+ 27E5 : E6;
+ 27E6 : 03;
+ 27E7 : CA;
+ 27E8 : 53;
+ 27E9 : 2A;
+ 27EA : 3D;
+ 27EB : CA;
+ 27EC : A1;
+ 27ED : 2A;
+ 27EE : 3D;
+ 27EF : CA;
+ 27F0 : 9E;
+ 27F1 : 29;
+ 27F2 : C3;
+ 27F3 : FE;
+ 27F4 : 29;
+ 27F5 : DD;
+ 27F6 : 7D;
+ 27F7 : 87;
+ 27F8 : 38;
+ 27F9 : 21;
+ 27FA : FD;
+ 27FB : 6F;
+ 27FC : DD;
+ 27FD : 7D;
+ 27FE : CB;
+ 27FF : 3F;
+ 2800 : FD;
+ 2801 : 85;
+ 2802 : 38;
+ 2803 : 17;
+ 2804 : 83;
+ 2805 : 28;
+ 2806 : 3A;
+ 2807 : 38;
+ 2808 : 12;
+ 2809 : CB;
+ 280A : 79;
+ 280B : 28;
+ 280C : 34;
+ 280D : FD;
+ 280E : 6F;
+ 280F : DD;
+ 2810 : 7D;
+ 2811 : CB;
+ 2812 : 3F;
+ 2813 : CB;
+ 2814 : 3F;
+ 2815 : FD;
+ 2816 : 85;
+ [2817..2818] : 28;
+ 2819 : 30;
+ 281A : 26;
+ 281B : CB;
+ 281C : 30;
+ 281D : 38;
+ 281E : 11;
+ 281F : 7B;
+ 2820 : E6;
+ 2821 : 03;
+ 2822 : CA;
+ 2823 : E1;
+ 2824 : 2C;
+ 2825 : 3D;
+ 2826 : CA;
+ 2827 : 51;
+ 2828 : 2B;
+ 2829 : 3D;
+ 282A : CA;
+ 282B : D7;
+ 282C : 2B;
+ 282D : C3;
+ 282E : 55;
+ 282F : 2C;
+ 2830 : 7B;
+ 2831 : E6;
+ 2832 : 03;
+ 2833 : CA;
+ 2834 : 23;
+ 2835 : 2C;
+ 2836 : 3D;
+ 2837 : CA;
+ 2838 : AD;
+ 2839 : 2C;
+ 283A : 3D;
+ 283B : CA;
+ 283C : 1D;
+ 283D : 2B;
+ 283E : C3;
+ 283F : A5;
+ 2840 : 2B;
+ 2841 : CB;
+ 2842 : 30;
+ 2843 : 38;
+ 2844 : 11;
+ 2845 : 7B;
+ 2846 : E6;
+ 2847 : 03;
+ 2848 : CA;
+ 2849 : 3C;
+ 284A : 2F;
+ 284B : 3D;
+ 284C : CA;
+ 284D : 67;
+ 284E : 2D;
+ 284F : 3D;
+ 2850 : CA;
+ 2851 : 04;
+ 2852 : 2E;
+ 2853 : C3;
+ 2854 : 99;
+ 2855 : 2E;
+ 2856 : 7B;
+ 2857 : E6;
+ 2858 : 03;
+ 2859 : CA;
+ 285A : 5F;
+ 285B : 2E;
+ 285C : 3D;
+ 285D : CA;
+ 285E : 00;
+ 285F : 2F;
+ 2860 : 3D;
+ 2861 : CA;
+ 2862 : 2B;
+ 2863 : 2D;
+ 2864 : C3;
+ 2865 : CA;
+ 2866 : 2D;
+ 2867 : 5F;
+ 2868 : 1A;
+ 2869 : D9;
+ 286A : 86;
+ 286B : 12;
+ 286C : 1C;
+ 286D : 86;
+ 286E : 1F;
+ 286F : 12;
+ 2870 : 1C;
+ 2871 : 78;
+ 2872 : 81;
+ 2873 : 47;
+ 2874 : 7E;
+ 2875 : 23;
+ 2876 : 12;
+ 2877 : 1C;
+ 2878 : D2;
+ 2879 : 05;
+ 287A : 29;
+ 287B : 86;
+ 287C : 1F;
+ 287D : 12;
+ 287E : 1C;
+ [287F..2880] : 28;
+ 2881 : 78;
+ 2882 : 81;
+ 2883 : 47;
+ 2884 : 7E;
+ 2885 : 23;
+ 2886 : 12;
+ 2887 : 1C;
+ 2888 : D2;
+ 2889 : 15;
+ 288A : 29;
+ 288B : 96;
+ 288C : D9;
+ 288D : DA;
+ 288E : B8;
+ 288F : 28;
+ 2890 : 6F;
+ 2891 : 7E;
+ 2892 : D9;
+ 2893 : 86;
+ 2894 : 12;
+ 2895 : 1C;
+ 2896 : 86;
+ 2897 : 1F;
+ 2898 : 12;
+ 2899 : 1C;
+ 289A : 78;
+ 289B : 81;
+ 289C : 47;
+ 289D : 7E;
+ 289E : 23;
+ 289F : 12;
+ 28A0 : 1C;
+ 28A1 : 28;
+ 28A2 : 0B;
+ 28A3 : DA;
+ 28A4 : CE;
+ 28A5 : 28;
+ 28A6 : C3;
+ 28A7 : 4E;
+ 28A8 : 29;
+ 28A9 : 0E;
+ 28AA : 00;
+ 28AB : CB;
+ 28AC : 08;
+ 28AD : C9;
+ 28AE : 0E;
+ 28AF : 01;
+ 28B0 : 30;
+ 28B1 : 03;
+ 28B2 : CB;
+ 28B3 : 08;
+ 28B4 : C9;
+ 28B5 : CB;
+ 28B6 : 38;
+ 28B7 : C9;
+ 28B8 : 5F;
+ 28B9 : 1A;
+ 28BA : D9;
+ 28BB : 86;
+ 28BC : 12;
+ 28BD : 1C;
+ 28BE : 86;
+ 28BF : 1F;
+ 28C0 : 12;
+ 28C1 : 1C;
+ 28C2 : 78;
+ 28C3 : 81;
+ 28C4 : 47;
+ 28C5 : 7E;
+ 28C6 : 23;
+ 28C7 : 12;
+ 28C8 : 1C;
+ 28C9 : 28;
+ 28CA : E3;
+ 28CB : D2;
+ 28CC : 4E;
+ 28CD : 29;
+ 28CE : 86;
+ 28CF : 1F;
+ 28D0 : 12;
+ 28D1 : 1C;
+ 28D2 : 78;
+ 28D3 : 81;
+ 28D4 : 47;
+ 28D5 : 7E;
+ 28D6 : 23;
+ 28D7 : 12;
+ 28D8 : 1C;
+ 28D9 : D2;
+ 28DA : 5C;
+ 28DB : 29;
+ 28DC : 96;
+ 28DD : D9;
+ 28DE : DA;
+ 28DF : FF;
+ 28E0 : 28;
+ 28E1 : 6F;
+ 28E2 : 7E;
+ 28E3 : D9;
+ 28E4 : 86;
+ 28E5 : 12;
+ 28E6 : 1C;
+ 28E7 : 86;
+ 28E8 : 1F;
+ 28E9 : 12;
+ 28EA : 1C;
+ 28EB : 28;
+ 28EC : 0D;
+ 28ED : 78;
+ 28EE : 81;
+ 28EF : 47;
+ 28F0 : 7E;
+ 28F1 : 23;
+ 28F2 : 12;
+ 28F3 : 1C;
+ 28F4 : DA;
+ 28F5 : 15;
+ 28F6 : 29;
+ 28F7 : C3;
+ 28F8 : 6D;
+ 28F9 : 28;
+ 28FA : 0E;
+ 28FB : 00;
+ 28FC : CB;
+ 28FD : 38;
+ 28FE : C9;
+ 28FF : 5F;
+ 2900 : 1A;
+ 2901 : D9;
+ 2902 : 86;
+ 2903 : 12;
+ 2904 : 1C;
+ 2905 : 86;
+ 2906 : 1F;
+ 2907 : 12;
+ 2908 : 1C;
+ 2909 : 28;
+ 290A : EF;
+ 290B : 78;
+ 290C : 81;
+ 290D : 47;
+ 290E : 7E;
+ 290F : 23;
+ 2910 : 12;
+ 2911 : 1C;
+ 2912 : D2;
+ 2913 : 6D;
+ 2914 : 28;
+ 2915 : 86;
+ 2916 : 1F;
+ 2917 : 12;
+ 2918 : 1C;
+ 2919 : 78;
+ 291A : 81;
+ 291B : 47;
+ 291C : 7E;
+ 291D : 23;
+ 291E : 12;
+ 291F : 1C;
+ 2920 : D2;
+ 2921 : 7B;
+ 2922 : 28;
+ 2923 : 96;
+ 2924 : D9;
+ 2925 : DA;
+ 2926 : 46;
+ 2927 : 29;
+ 2928 : 6F;
+ 2929 : 7E;
+ 292A : D9;
+ 292B : 86;
+ 292C : 12;
+ 292D : 1C;
+ 292E : 28;
+ 292F : 11;
+ 2930 : 86;
+ 2931 : 1F;
+ 2932 : 12;
+ 2933 : 1C;
+ 2934 : 78;
+ 2935 : 81;
+ 2936 : 47;
+ 2937 : 7E;
+ 2938 : 23;
+ 2939 : 12;
+ 293A : 1C;
+ 293B : DA;
+ 293C : 5C;
+ 293D : 29;
+ 293E : C3;
+ 293F : BE;
+ 2940 : 28;
+ 2941 : 0E;
+ 2942 : 01;
+ 2943 : CB;
+ 2944 : 38;
+ 2945 : C9;
+ 2946 : 5F;
+ 2947 : 1A;
+ 2948 : D9;
+ 2949 : 86;
+ 294A : 12;
+ 294B : 1C;
+ 294C : 28;
+ 294D : F3;
+ 294E : 86;
+ 294F : 1F;
+ 2950 : 12;
+ 2951 : 1C;
+ 2952 : 78;
+ 2953 : 81;
+ 2954 : 47;
+ 2955 : 7E;
+ 2956 : 23;
+ 2957 : 12;
+ 2958 : 1C;
+ 2959 : D2;
+ 295A : BE;
+ 295B : 28;
+ 295C : 86;
+ 295D : 1F;
+ 295E : 12;
+ 295F : 1C;
+ 2960 : 78;
+ 2961 : 81;
+ 2962 : 47;
+ 2963 : 7E;
+ 2964 : 23;
+ 2965 : 12;
+ 2966 : 1C;
+ 2967 : 28;
+ 2968 : 1F;
+ 2969 : D2;
+ 296A : CE;
+ 296B : 28;
+ 296C : 96;
+ 296D : D9;
+ 296E : DA;
+ 296F : 67;
+ 2970 : 28;
+ 2971 : 6F;
+ 2972 : 7E;
+ 2973 : D9;
+ 2974 : 86;
+ 2975 : 12;
+ 2976 : 1C;
+ 2977 : 86;
+ 2978 : 1F;
+ 2979 : 12;
+ 297A : 1C;
+ 297B : 78;
+ 297C : 81;
+ 297D : 47;
+ 297E : 7E;
+ 297F : 23;
+ 2980 : 12;
+ 2981 : 1C;
+ 2982 : DA;
+ 2983 : 7B;
+ 2984 : 28;
+ 2985 : C3;
+ 2986 : 05;
+ 2987 : 29;
+ 2988 : 30;
+ 2989 : 05;
+ 298A : 0E;
+ 298B : 02;
+ 298C : CB;
+ 298D : 38;
+ 298E : C9;
+ 298F : 0E;
+ 2990 : 01;
+ 2991 : CB;
+ 2992 : 08;
+ 2993 : C9;
+ 2994 : 5F;
+ 2995 : 1A;
+ 2996 : D9;
+ 2997 : 86;
+ 2998 : 12;
+ 2999 : 1C;
+ 299A : 86;
+ 299B : 1F;
+ 299C : 12;
+ 299D : 1C;
+ 299E : 78;
+ 299F : 81;
+ 29A0 : 47;
+ 29A1 : 7E;
+ 29A2 : 23;
+ 29A3 : 12;
+ 29A4 : 1C;
+ 29A5 : DD;
+ 29A6 : 2D;
+ 29A7 : 28;
+ 29A8 : 3E;
+ 29A9 : D2;
+ 29AA : 4D;
+ 29AB : 2A;
+ 29AC : 86;
+ 29AD : 1F;
+ 29AE : 12;
+ 29AF : 1C;
+ 29B0 : 28;
+ 29B1 : 30;
+ 29B2 : 78;
+ 29B3 : 81;
+ 29B4 : 47;
+ 29B5 : 7E;
+ 29B6 : 23;
+ 29B7 : 12;
+ 29B8 : 1C;
+ 29B9 : DD;
+ 29BA : 2D;
+ 29BB : 28;
+ 29BC : 34;
+ 29BD : D2;
+ 29BE : 61;
+ 29BF : 2A;
+ 29C0 : 96;
+ 29C1 : D9;
+ 29C2 : DA;
+ 29C3 : F4;
+ 29C4 : 29;
+ 29C5 : 6F;
+ 29C6 : 7E;
+ 29C7 : D9;
+ 29C8 : 86;
+ 29C9 : 12;
+ 29CA : 1C;
+ 29CB : 86;
+ 29CC : 1F;
+ 29CD : 12;
+ 29CE : 1C;
+ 29CF : 78;
+ 29D0 : 81;
+ 29D1 : 47;
+ 29D2 : 7E;
+ 29D3 : 23;
+ 29D4 : 12;
+ 29D5 : 1C;
+ 29D6 : 28;
+ 29D7 : 0F;
+ 29D8 : DD;
+ 29D9 : 2D;
+ 29DA : 28;
+ 29DB : 0B;
+ 29DC : DA;
+ 29DD : 0E;
+ 29DE : 2A;
+ 29DF : C3;
+ 29E0 : 9D;
+ 29E1 : 2A;
+ 29E2 : 0E;
+ 29E3 : 00;
+ 29E4 : CB;
+ 29E5 : 08;
+ 29E6 : C9;
+ 29E7 : 0E;
+ 29E8 : 01;
+ 29E9 : 30;
+ 29EA : 03;
+ 29EB : CB;
+ 29EC : 08;
+ 29ED : C9;
+ 29EE : CB;
+ 29EF : 38;
+ 29F0 : C9;
+ 29F1 : C3;
+ 29F2 : E6;
+ 29F3 : 2A;
+ 29F4 : 5F;
+ 29F5 : 1A;
+ 29F6 : D9;
+ 29F7 : 86;
+ 29F8 : 12;
+ 29F9 : 1C;
+ 29FA : 86;
+ 29FB : 1F;
+ 29FC : 12;
+ 29FD : 1C;
+ 29FE : 78;
+ 29FF : 81;
+ 2A00 : 47;
+ 2A01 : 7E;
+ 2A02 : 23;
+ 2A03 : 12;
+ 2A04 : 1C;
+ 2A05 : 28;
+ 2A06 : E0;
+ 2A07 : DD;
+ 2A08 : 2D;
+ 2A09 : 28;
+ 2A0A : DC;
+ 2A0B : D2;
+ 2A0C : 9D;
+ 2A0D : 2A;
+ 2A0E : 86;
+ 2A0F : 1F;
+ 2A10 : 12;
+ 2A11 : 1C;
+ 2A12 : 78;
+ 2A13 : 81;
+ 2A14 : 47;
+ 2A15 : 7E;
+ 2A16 : 23;
+ 2A17 : 12;
+ 2A18 : 1C;
+ 2A19 : DD;
+ 2A1A : 2D;
+ 2A1B : 28;
+ 2A1C : D4;
+ 2A1D : D2;
+ 2A1E : AF;
+ 2A1F : 2A;
+ 2A20 : 96;
+ 2A21 : D9;
+ 2A22 : DA;
+ 2A23 : 47;
+ 2A24 : 2A;
+ 2A25 : 6F;
+ 2A26 : 7E;
+ 2A27 : D9;
+ 2A28 : 86;
+ 2A29 : 12;
+ 2A2A : 1C;
+ 2A2B : 86;
+ 2A2C : 1F;
+ 2A2D : 12;
+ 2A2E : 1C;
+ 2A2F : 28;
+ 2A30 : 11;
+ 2A31 : 78;
+ 2A32 : 81;
+ 2A33 : 47;
+ 2A34 : 7E;
+ 2A35 : 23;
+ 2A36 : 12;
+ 2A37 : 1C;
+ 2A38 : DD;
+ 2A39 : 2D;
+ 2A3A : 28;
+ 2A3B : AB;
+ 2A3C : DA;
+ 2A3D : 61;
+ 2A3E : 2A;
+ 2A3F : C3;
+ 2A40 : 9A;
+ 2A41 : 29;
+ 2A42 : 0E;
+ 2A43 : 00;
+ 2A44 : CB;
+ 2A45 : 38;
+ 2A46 : C9;
+ 2A47 : 5F;
+ 2A48 : 1A;
+ 2A49 : D9;
+ 2A4A : 86;
+ 2A4B : 12;
+ 2A4C : 1C;
+ 2A4D : 86;
+ 2A4E : 1F;
+ 2A4F : 12;
+ 2A50 : 1C;
+ 2A51 : 28;
+ 2A52 : EF;
+ 2A53 : 78;
+ 2A54 : 81;
+ 2A55 : 47;
+ 2A56 : 7E;
+ 2A57 : 23;
+ 2A58 : 12;
+ 2A59 : 1C;
+ 2A5A : DD;
+ 2A5B : 2D;
+ 2A5C : 28;
+ 2A5D : 89;
+ 2A5E : D2;
+ 2A5F : 9A;
+ 2A60 : 29;
+ 2A61 : 86;
+ 2A62 : 1F;
+ 2A63 : 12;
+ 2A64 : 1C;
+ 2A65 : 78;
+ 2A66 : 81;
+ 2A67 : 47;
+ 2A68 : 7E;
+ 2A69 : 23;
+ 2A6A : 12;
+ 2A6B : 1C;
+ 2A6C : DD;
+ 2A6D : 2D;
+ 2A6E : 28;
+ 2A6F : 76;
+ 2A70 : D2;
+ 2A71 : AC;
+ 2A72 : 29;
+ 2A73 : 96;
+ 2A74 : D9;
+ 2A75 : DA;
+ 2A76 : 95;
+ 2A77 : 2A;
+ 2A78 : 6F;
+ 2A79 : 7E;
+ 2A7A : D9;
+ 2A7B : 86;
+ 2A7C : 12;
+ 2A7D : 1C;
+ 2A7E : 28;
+ 2A7F : 72;
+ 2A80 : 86;
+ 2A81 : 1F;
+ 2A82 : 12;
+ 2A83 : 1C;
+ 2A84 : 78;
+ 2A85 : 81;
+ 2A86 : 47;
+ 2A87 : 7E;
+ 2A88 : 23;
+ 2A89 : 12;
+ 2A8A : 1C;
+ 2A8B : DD;
+ 2A8C : 2D;
+ 2A8D : 28;
+ 2A8E : 54;
+ 2A8F : DA;
+ 2A90 : AF;
+ 2A91 : 2A;
+ 2A92 : C3;
+ 2A93 : FA;
+ 2A94 : 29;
+ 2A95 : 5F;
+ 2A96 : 1A;
+ 2A97 : D9;
+ 2A98 : 86;
+ 2A99 : 12;
+ 2A9A : 1C;
+ 2A9B : 28;
+ 2A9C : 55;
+ 2A9D : 86;
+ 2A9E : 1F;
+ 2A9F : 12;
+ 2AA0 : 1C;
+ 2AA1 : 78;
+ 2AA2 : 81;
+ 2AA3 : 47;
+ 2AA4 : 7E;
+ 2AA5 : 23;
+ 2AA6 : 12;
+ 2AA7 : 1C;
+ 2AA8 : DD;
+ 2AA9 : 2D;
+ 2AAA : 28;
+ 2AAB : 37;
+ 2AAC : D2;
+ 2AAD : FA;
+ 2AAE : 29;
+ 2AAF : 86;
+ 2AB0 : 1F;
+ 2AB1 : 12;
+ 2AB2 : 1C;
+ 2AB3 : 78;
+ 2AB4 : 81;
+ 2AB5 : 47;
+ 2AB6 : 7E;
+ 2AB7 : 23;
+ 2AB8 : 12;
+ 2AB9 : 1C;
+ 2ABA : 28;
+ 2ABB : 2A;
+ 2ABC : DD;
+ 2ABD : 2D;
+ 2ABE : 28;
+ 2ABF : 26;
+ 2AC0 : D2;
+ 2AC1 : 0E;
+ 2AC2 : 2A;
+ 2AC3 : 96;
+ 2AC4 : D9;
+ 2AC5 : DA;
+ 2AC6 : 94;
+ 2AC7 : 29;
+ 2AC8 : 6F;
+ 2AC9 : 7E;
+ 2ACA : D9;
+ 2ACB : 86;
+ 2ACC : 12;
+ 2ACD : 1C;
+ 2ACE : 86;
+ 2ACF : 1F;
+ 2AD0 : 12;
+ 2AD1 : 1C;
+ 2AD2 : 78;
+ 2AD3 : 81;
+ 2AD4 : 47;
+ 2AD5 : 7E;
+ 2AD6 : 23;
+ 2AD7 : 12;
+ 2AD8 : 1C;
+ 2AD9 : DD;
+ 2ADA : 2D;
+ 2ADB : 28;
+ 2ADC : 06;
+ 2ADD : DA;
+ 2ADE : AC;
+ 2ADF : 29;
+ 2AE0 : C3;
+ 2AE1 : 4D;
+ 2AE2 : 2A;
+ 2AE3 : C3;
+ 2AE4 : E7;
+ 2AE5 : 29;
+ 2AE6 : 30;
+ 2AE7 : 05;
+ 2AE8 : 0E;
+ 2AE9 : 02;
+ 2AEA : CB;
+ 2AEB : 38;
+ 2AEC : C9;
+ 2AED : 0E;
+ 2AEE : 01;
+ 2AEF : CB;
+ 2AF0 : 08;
+ 2AF1 : C9;
+ 2AF2 : 0E;
+ 2AF3 : 01;
+ 2AF4 : CB;
+ 2AF5 : 38;
+ 2AF6 : C9;
+ 2AF7 : 96;
+ 2AF8 : D9;
+ 2AF9 : DA;
+ 2AFA : 13;
+ 2AFB : 2B;
+ 2AFC : 6F;
+ 2AFD : 7E;
+ 2AFE : D9;
+ 2AFF : 86;
+ 2B00 : 12;
+ 2B01 : 1C;
+ 2B02 : 86;
+ 2B03 : 1F;
+ 2B04 : 12;
+ 2B05 : 1C;
+ 2B06 : 78;
+ 2B07 : 81;
+ 2B08 : 47;
+ 2B09 : 7E;
+ 2B0A : 23;
+ 2B0B : 12;
+ 2B0C : 1C;
+ 2B0D : DA;
+ 2B0E : 83;
+ 2B0F : 2C;
+ 2B10 : C3;
+ 2B11 : 27;
+ 2B12 : 2B;
+ 2B13 : 5F;
+ 2B14 : 1A;
+ 2B15 : D9;
+ 2B16 : 86;
+ 2B17 : 12;
+ 2B18 : 1C;
+ 2B19 : 86;
+ 2B1A : 1F;
+ 2B1B : 12;
+ 2B1C : 1C;
+ 2B1D : 78;
+ 2B1E : 81;
+ 2B1F : 47;
+ 2B20 : 7E;
+ 2B21 : 23;
+ 2B22 : 12;
+ 2B23 : 1C;
+ 2B24 : DA;
+ 2B25 : 83;
+ 2B26 : 2C;
+ 2B27 : 96;
+ 2B28 : D9;
+ 2B29 : DA;
+ 2B2A : 45;
+ 2B2B : 2B;
+ 2B2C : 6F;
+ 2B2D : 7E;
+ 2B2E : D9;
+ 2B2F : 86;
+ 2B30 : 12;
+ 2B31 : 1C;
+ 2B32 : 28;
+ 2B33 : 3A;
+ 2B34 : 86;
+ 2B35 : 1F;
+ 2B36 : 12;
+ 2B37 : 1C;
+ 2B38 : 78;
+ 2B39 : 81;
+ 2B3A : 47;
+ 2B3B : 7E;
+ 2B3C : 23;
+ 2B3D : 12;
+ 2B3E : 1C;
+ 2B3F : DA;
+ 2B40 : B7;
+ 2B41 : 2C;
+ 2B42 : C3;
+ 2B43 : 5B;
+ 2B44 : 2B;
+ 2B45 : 5F;
+ 2B46 : 1A;
+ 2B47 : D9;
+ 2B48 : 86;
+ 2B49 : 12;
+ 2B4A : 1C;
+ 2B4B : 28;
+ 2B4C : 21;
+ 2B4D : 86;
+ 2B4E : 1F;
+ 2B4F : 12;
+ 2B50 : 1C;
+ 2B51 : 78;
+ 2B52 : 81;
+ 2B53 : 47;
+ 2B54 : 7E;
+ 2B55 : 23;
+ 2B56 : 12;
+ 2B57 : 1C;
+ 2B58 : DA;
+ 2B59 : B7;
+ 2B5A : 2C;
+ 2B5B : 86;
+ 2B5C : 1F;
+ 2B5D : 12;
+ 2B5E : 1C;
+ 2B5F : 78;
+ 2B60 : 81;
+ 2B61 : 47;
+ 2B62 : 7E;
+ 2B63 : 23;
+ 2B64 : 12;
+ 2B65 : 1C;
+ 2B66 : 28;
+ 2B67 : 0B;
+ 2B68 : D2;
+ 2B69 : B1;
+ 2B6A : 2B;
+ 2B6B : C3;
+ 2B6C : F7;
+ 2B6D : 2A;
+ 2B6E : 0E;
+ 2B6F : 01;
+ 2B70 : CB;
+ 2B71 : 38;
+ 2B72 : C9;
+ 2B73 : 0E;
+ 2B74 : 02;
+ 2B75 : 30;
+ 2B76 : 03;
+ 2B77 : CB;
+ 2B78 : 08;
+ 2B79 : C9;
+ 2B7A : CB;
+ 2B7B : 38;
+ 2B7C : C9;
+ 2B7D : 96;
+ 2B7E : D9;
+ 2B7F : DA;
+ 2B80 : 9B;
+ 2B81 : 2B;
+ 2B82 : 6F;
+ 2B83 : 7E;
+ 2B84 : D9;
+ 2B85 : 86;
+ 2B86 : 12;
+ 2B87 : 1C;
+ 2B88 : 86;
+ 2B89 : 1F;
+ 2B8A : 12;
+ 2B8B : 1C;
+ 2B8C : 78;
+ 2B8D : 81;
+ 2B8E : 47;
+ 2B8F : 7E;
+ 2B90 : 23;
+ 2B91 : 12;
+ 2B92 : 1C;
+ 2B93 : 28;
+ 2B94 : DE;
+ 2B95 : DA;
+ 2B96 : F7;
+ 2B97 : 2A;
+ 2B98 : C3;
+ 2B99 : B1;
+ 2B9A : 2B;
+ 2B9B : 5F;
+ 2B9C : 1A;
+ 2B9D : D9;
+ 2B9E : 86;
+ 2B9F : 12;
+ 2BA0 : 1C;
+ 2BA1 : 86;
+ 2BA2 : 1F;
+ 2BA3 : 12;
+ 2BA4 : 1C;
+ 2BA5 : 78;
+ 2BA6 : 81;
+ 2BA7 : 47;
+ 2BA8 : 7E;
+ 2BA9 : 23;
+ 2BAA : 12;
+ 2BAB : 1C;
+ 2BAC : 28;
+ 2BAD : C5;
+ 2BAE : DA;
+ 2BAF : F7;
+ 2BB0 : 2A;
+ 2BB1 : 96;
+ 2BB2 : D9;
+ 2BB3 : DA;
+ 2BB4 : CD;
+ 2BB5 : 2B;
+ 2BB6 : 6F;
+ 2BB7 : 7E;
+ 2BB8 : D9;
+ 2BB9 : 86;
+ 2BBA : 12;
+ 2BBB : 1C;
+ 2BBC : 86;
+ 2BBD : 1F;
+ 2BBE : 12;
+ 2BBF : 1C;
+ 2BC0 : 78;
+ 2BC1 : 81;
+ 2BC2 : 47;
+ 2BC3 : 7E;
+ 2BC4 : 23;
+ 2BC5 : 12;
+ 2BC6 : 1C;
+ 2BC7 : DA;
+ 2BC8 : 27;
+ 2BC9 : 2B;
+ 2BCA : C3;
+ 2BCB : E1;
+ 2BCC : 2B;
+ 2BCD : 5F;
+ 2BCE : 1A;
+ 2BCF : D9;
+ 2BD0 : 86;
+ 2BD1 : 12;
+ 2BD2 : 1C;
+ 2BD3 : 86;
+ 2BD4 : 1F;
+ 2BD5 : 12;
+ 2BD6 : 1C;
+ 2BD7 : 78;
+ 2BD8 : 81;
+ 2BD9 : 47;
+ 2BDA : 7E;
+ 2BDB : 23;
+ 2BDC : 12;
+ 2BDD : 1C;
+ 2BDE : DA;
+ 2BDF : 27;
+ 2BE0 : 2B;
+ 2BE1 : 86;
+ 2BE2 : 1F;
+ 2BE3 : 12;
+ 2BE4 : 1C;
+ 2BE5 : 28;
+ 2BE6 : 0D;
+ 2BE7 : 78;
+ 2BE8 : 81;
+ 2BE9 : 47;
+ 2BEA : 7E;
+ 2BEB : 23;
+ 2BEC : 12;
+ 2BED : 1C;
+ 2BEE : D2;
+ 2BEF : 2D;
+ 2BF0 : 2C;
+ 2BF1 : C3;
+ 2BF2 : 7D;
+ 2BF3 : 2B;
+ 2BF4 : 0E;
+ 2BF5 : 00;
+ 2BF6 : CB;
+ 2BF7 : 08;
+ 2BF8 : C9;
+ 2BF9 : 96;
+ 2BFA : D9;
+ 2BFB : DA;
+ 2BFC : 17;
+ 2BFD : 2C;
+ 2BFE : 6F;
+ 2BFF : 7E;
+ 2C00 : D9;
+ 2C01 : 86;
+ 2C02 : 12;
+ 2C03 : 1C;
+ 2C04 : 86;
+ 2C05 : 1F;
+ 2C06 : 12;
+ 2C07 : 1C;
+ 2C08 : 28;
+ 2C09 : EA;
+ 2C0A : 78;
+ 2C0B : 81;
+ 2C0C : 47;
+ 2C0D : 7E;
+ 2C0E : 23;
+ 2C0F : 12;
+ 2C10 : 1C;
+ 2C11 : DA;
+ 2C12 : 7D;
+ 2C13 : 2B;
+ 2C14 : C3;
+ 2C15 : 2D;
+ 2C16 : 2C;
+ 2C17 : 5F;
+ 2C18 : 1A;
+ 2C19 : D9;
+ 2C1A : 86;
+ 2C1B : 12;
+ 2C1C : 1C;
+ 2C1D : 86;
+ 2C1E : 1F;
+ 2C1F : 12;
+ 2C20 : 1C;
+ 2C21 : 28;
+ 2C22 : D1;
+ 2C23 : 78;
+ 2C24 : 81;
+ 2C25 : 47;
+ 2C26 : 7E;
+ 2C27 : 23;
+ 2C28 : 12;
+ 2C29 : 1C;
+ 2C2A : DA;
+ 2C2B : 7D;
+ 2C2C : 2B;
+ 2C2D : 96;
+ 2C2E : D9;
+ 2C2F : DA;
+ 2C30 : 4B;
+ 2C31 : 2C;
+ 2C32 : 6F;
+ 2C33 : 7E;
+ 2C34 : D9;
+ 2C35 : 86;
+ 2C36 : 12;
+ 2C37 : 1C;
+ 2C38 : 86;
+ 2C39 : 1F;
+ 2C3A : 12;
+ 2C3B : 1C;
+ 2C3C : 78;
+ 2C3D : 81;
+ 2C3E : 47;
+ 2C3F : 7E;
+ 2C40 : 23;
+ 2C41 : 12;
+ 2C42 : 1C;
+ 2C43 : 28;
+ 2C44 : 2D;
+ 2C45 : DA;
+ 2C46 : B1;
+ 2C47 : 2B;
+ 2C48 : C3;
+ 2C49 : 61;
+ 2C4A : 2C;
+ 2C4B : 5F;
+ 2C4C : 1A;
+ 2C4D : D9;
+ 2C4E : 86;
+ 2C4F : 12;
+ 2C50 : 1C;
+ 2C51 : 86;
+ 2C52 : 1F;
+ 2C53 : 12;
+ 2C54 : 1C;
+ 2C55 : 78;
+ 2C56 : 81;
+ 2C57 : 47;
+ 2C58 : 7E;
+ 2C59 : 23;
+ 2C5A : 12;
+ 2C5B : 1C;
+ 2C5C : 28;
+ 2C5D : 14;
+ 2C5E : DA;
+ 2C5F : B1;
+ 2C60 : 2B;
+ 2C61 : 86;
+ 2C62 : 1F;
+ 2C63 : 12;
+ 2C64 : 1C;
+ 2C65 : 78;
+ 2C66 : 81;
+ 2C67 : 47;
+ 2C68 : 7E;
+ 2C69 : 23;
+ 2C6A : 12;
+ 2C6B : 1C;
+ 2C6C : D2;
+ 2C6D : B7;
+ 2C6E : 2C;
+ 2C6F : C3;
+ 2C70 : F9;
+ 2C71 : 2B;
+ 2C72 : 38;
+ 2C73 : 05;
+ 2C74 : 0E;
+ 2C75 : 01;
+ 2C76 : CB;
+ 2C77 : 08;
+ 2C78 : C9;
+ 2C79 : 0E;
+ 2C7A : 02;
+ 2C7B : CB;
+ 2C7C : 38;
+ 2C7D : C9;
+ 2C7E : 0E;
+ 2C7F : 01;
+ 2C80 : CB;
+ 2C81 : 08;
+ 2C82 : C9;
+ 2C83 : 96;
+ 2C84 : D9;
+ 2C85 : DA;
+ 2C86 : A1;
+ 2C87 : 2C;
+ 2C88 : 6F;
+ 2C89 : 7E;
+ 2C8A : D9;
+ 2C8B : 86;
+ 2C8C : 12;
+ 2C8D : 1C;
+ 2C8E : 28;
+ 2C8F : EE;
+ 2C90 : 86;
+ 2C91 : 1F;
+ 2C92 : 12;
+ 2C93 : 1C;
+ 2C94 : 78;
+ 2C95 : 81;
+ 2C96 : 47;
+ 2C97 : 7E;
+ 2C98 : 23;
+ 2C99 : 12;
+ 2C9A : 1C;
+ 2C9B : DA;
+ 2C9C : F9;
+ 2C9D : 2B;
+ 2C9E : C3;
+ 2C9F : B7;
+ 2CA0 : 2C;
+ 2CA1 : 5F;
+ 2CA2 : 1A;
+ 2CA3 : D9;
+ 2CA4 : 86;
+ 2CA5 : 12;
+ 2CA6 : 1C;
+ 2CA7 : 28;
+ 2CA8 : D5;
+ 2CA9 : 86;
+ 2CAA : 1F;
+ 2CAB : 12;
+ 2CAC : 1C;
+ 2CAD : 78;
+ 2CAE : 81;
+ 2CAF : 47;
+ 2CB0 : 7E;
+ 2CB1 : 23;
+ 2CB2 : 12;
+ 2CB3 : 1C;
+ 2CB4 : DA;
+ 2CB5 : F9;
+ 2CB6 : 2B;
+ 2CB7 : 96;
+ 2CB8 : D9;
+ 2CB9 : DA;
+ 2CBA : D5;
+ 2CBB : 2C;
+ 2CBC : 6F;
+ 2CBD : 7E;
+ 2CBE : D9;
+ 2CBF : 86;
+ 2CC0 : 12;
+ 2CC1 : 1C;
+ 2CC2 : 86;
+ 2CC3 : 1F;
+ 2CC4 : 12;
+ 2CC5 : 1C;
+ 2CC6 : 28;
+ 2CC7 : 34;
+ 2CC8 : 78;
+ 2CC9 : 81;
+ 2CCA : 47;
+ 2CCB : 7E;
+ 2CCC : 23;
+ 2CCD : 12;
+ 2CCE : 1C;
+ 2CCF : DA;
+ 2CD0 : 2D;
+ 2CD1 : 2C;
+ 2CD2 : C3;
+ 2CD3 : EB;
+ 2CD4 : 2C;
+ 2CD5 : 5F;
+ 2CD6 : 1A;
+ 2CD7 : D9;
+ 2CD8 : 86;
+ 2CD9 : 12;
+ 2CDA : 1C;
+ 2CDB : 86;
+ 2CDC : 1F;
+ 2CDD : 12;
+ 2CDE : 1C;
+ 2CDF : 28;
+ 2CE0 : 1B;
+ 2CE1 : 78;
+ 2CE2 : 81;
+ 2CE3 : 47;
+ 2CE4 : 7E;
+ 2CE5 : 23;
+ 2CE6 : 12;
+ 2CE7 : 1C;
+ 2CE8 : DA;
+ 2CE9 : 2D;
+ 2CEA : 2C;
+ 2CEB : 86;
+ 2CEC : 1F;
+ 2CED : 12;
+ 2CEE : 1C;
+ 2CEF : 78;
+ 2CF0 : 81;
+ 2CF1 : 47;
+ 2CF2 : 7E;
+ 2CF3 : 23;
+ 2CF4 : 12;
+ 2CF5 : 1C;
+ 2CF6 : D2;
+ 2CF7 : 27;
+ 2CF8 : 2B;
+ 2CF9 : C3;
+ 2CFA : 83;
+ 2CFB : 2C;
+ 2CFC : 0E;
+ 2CFD : 00;
+ 2CFE : CB;
+ 2CFF : 38;
+ 2D00 : C9;
+ 2D01 : 96;
+ 2D02 : D9;
+ 2D03 : DA;
+ 2D04 : 21;
+ 2D05 : 2D;
+ 2D06 : 6F;
+ 2D07 : 7E;
+ 2D08 : D9;
+ 2D09 : 86;
+ 2D0A : 12;
+ 2D0B : 1C;
+ 2D0C : 86;
+ 2D0D : 1F;
+ 2D0E : 12;
+ 2D0F : 1C;
+ 2D10 : 78;
+ 2D11 : 81;
+ 2D12 : 47;
+ 2D13 : 7E;
+ 2D14 : 23;
+ 2D15 : 12;
+ 2D16 : 1C;
+ 2D17 : DD;
+ 2D18 : 2D;
+ 2D19 : 28;
+ 2D1A : 76;
+ 2D1B : DA;
+ 2D1C : D2;
+ 2D1D : 2E;
+ 2D1E : C3;
+ 2D1F : 39;
+ 2D20 : 2D;
+ 2D21 : 5F;
+ 2D22 : 1A;
+ 2D23 : D9;
+ 2D24 : 86;
+ 2D25 : 12;
+ 2D26 : 1C;
+ 2D27 : 86;
+ 2D28 : 1F;
+ 2D29 : 12;
+ 2D2A : 1C;
+ 2D2B : 78;
+ 2D2C : 81;
+ 2D2D : 47;
+ 2D2E : 7E;
+ 2D2F : 23;
+ 2D30 : 12;
+ 2D31 : 1C;
+ 2D32 : DD;
+ 2D33 : 2D;
+ 2D34 : 28;
+ 2D35 : 5B;
+ 2D36 : DA;
+ 2D37 : D2;
+ 2D38 : 2E;
+ 2D39 : 96;
+ 2D3A : D9;
+ 2D3B : DA;
+ 2D3C : 5B;
+ 2D3D : 2D;
+ 2D3E : 6F;
+ 2D3F : 7E;
+ 2D40 : D9;
+ 2D41 : 86;
+ 2D42 : 12;
+ 2D43 : 1C;
+ 2D44 : 28;
+ 2D45 : 46;
+ 2D46 : 86;
+ 2D47 : 1F;
+ 2D48 : 12;
+ 2D49 : 1C;
+ 2D4A : 78;
+ 2D4B : 81;
+ 2D4C : 47;
+ 2D4D : 7E;
+ 2D4E : 23;
+ 2D4F : 12;
+ 2D50 : 1C;
+ 2D51 : DD;
+ 2D52 : 2D;
+ 2D53 : 28;
+ 2D54 : 46;
+ 2D55 : DA;
+ 2D56 : 0E;
+ 2D57 : 2F;
+ 2D58 : C3;
+ 2D59 : 75;
+ 2D5A : 2D;
+ 2D5B : 5F;
+ 2D5C : 1A;
+ 2D5D : D9;
+ 2D5E : 86;
+ 2D5F : 12;
+ 2D60 : 1C;
+ 2D61 : 28;
+ 2D62 : 29;
+ 2D63 : 86;
+ 2D64 : 1F;
+ 2D65 : 12;
+ 2D66 : 1C;
+ 2D67 : 78;
+ 2D68 : 81;
+ 2D69 : 47;
+ 2D6A : 7E;
+ 2D6B : 23;
+ 2D6C : 12;
+ 2D6D : 1C;
+ 2D6E : DD;
+ 2D6F : 2D;
+ 2D70 : 28;
+ 2D71 : 29;
+ 2D72 : DA;
+ 2D73 : 0E;
+ 2D74 : 2F;
+ 2D75 : 86;
+ 2D76 : 1F;
+ 2D77 : 12;
+ 2D78 : 1C;
+ 2D79 : 78;
+ 2D7A : 81;
+ 2D7B : 47;
+ 2D7C : 7E;
+ 2D7D : 23;
+ 2D7E : 12;
+ 2D7F : 1C;
+ 2D80 : 28;
+ 2D81 : 0F;
+ 2D82 : DD;
+ 2D83 : 2D;
+ 2D84 : 28;
+ 2D85 : 0B;
+ 2D86 : D2;
+ 2D87 : DA;
+ 2D88 : 2D;
+ 2D89 : C3;
+ 2D8A : 01;
+ 2D8B : 2D;
+ 2D8C : 0E;
+ 2D8D : 01;
+ 2D8E : CB;
+ 2D8F : 38;
+ 2D90 : C9;
+ 2D91 : 0E;
+ 2D92 : 02;
+ 2D93 : 30;
+ 2D94 : 03;
+ 2D95 : CB;
+ 2D96 : 08;
+ 2D97 : C9;
+ 2D98 : CB;
+ 2D99 : 38;
+ 2D9A : C9;
+ 2D9B : C3;
+ 2D9C : BE;
+ 2D9D : 2E;
+ 2D9E : 96;
+ 2D9F : D9;
+ 2DA0 : DA;
+ 2DA1 : C0;
+ 2DA2 : 2D;
+ 2DA3 : 6F;
+ 2DA4 : 7E;
+ 2DA5 : D9;
+ 2DA6 : 86;
+ 2DA7 : 12;
+ 2DA8 : 1C;
+ 2DA9 : 86;
+ 2DAA : 1F;
+ 2DAB : 12;
+ 2DAC : 1C;
+ 2DAD : 78;
+ 2DAE : 81;
+ 2DAF : 47;
+ 2DB0 : 7E;
+ 2DB1 : 23;
+ 2DB2 : 12;
+ 2DB3 : 1C;
+ 2DB4 : 28;
+ 2DB5 : DB;
+ 2DB6 : DD;
+ 2DB7 : 2D;
+ 2DB8 : 28;
+ 2DB9 : D7;
+ 2DBA : DA;
+ 2DBB : 01;
+ 2DBC : 2D;
+ 2DBD : C3;
+ 2DBE : DA;
+ 2DBF : 2D;
+ 2DC0 : 5F;
+ 2DC1 : 1A;
+ 2DC2 : D9;
+ 2DC3 : 86;
+ 2DC4 : 12;
+ 2DC5 : 1C;
+ 2DC6 : 86;
+ 2DC7 : 1F;
+ 2DC8 : 12;
+ 2DC9 : 1C;
+ 2DCA : 78;
+ 2DCB : 81;
+ 2DCC : 47;
+ 2DCD : 7E;
+ 2DCE : 23;
+ 2DCF : 12;
+ 2DD0 : 1C;
+ 2DD1 : 28;
+ 2DD2 : BE;
+ 2DD3 : DD;
+ 2DD4 : 2D;
+ 2DD5 : 28;
+ 2DD6 : BA;
+ 2DD7 : DA;
+ 2DD8 : 01;
+ 2DD9 : 2D;
+ 2DDA : 96;
+ 2DDB : D9;
+ 2DDC : DA;
+ 2DDD : FA;
+ 2DDE : 2D;
+ 2DDF : 6F;
+ 2DE0 : 7E;
+ 2DE1 : D9;
+ 2DE2 : 86;
+ 2DE3 : 12;
+ 2DE4 : 1C;
+ 2DE5 : 86;
+ 2DE6 : 1F;
+ 2DE7 : 12;
+ 2DE8 : 1C;
+ 2DE9 : 78;
+ 2DEA : 81;
+ 2DEB : 47;
+ 2DEC : 7E;
+ 2DED : 23;
+ 2DEE : 12;
+ 2DEF : 1C;
+ 2DF0 : DD;
+ 2DF1 : 2D;
+ 2DF2 : 28;
+ 2DF3 : A7;
+ 2DF4 : DA;
+ 2DF5 : 39;
+ 2DF6 : 2D;
+ 2DF7 : C3;
+ 2DF8 : 12;
+ 2DF9 : 2E;
+ 2DFA : 5F;
+ 2DFB : 1A;
+ 2DFC : D9;
+ 2DFD : 86;
+ 2DFE : 12;
+ 2DFF : 1C;
+ 2E00 : 86;
+ 2E01 : 1F;
+ 2E02 : 12;
+ 2E03 : 1C;
+ 2E04 : 78;
+ 2E05 : 81;
+ 2E06 : 47;
+ 2E07 : 7E;
+ 2E08 : 23;
+ 2E09 : 12;
+ 2E0A : 1C;
+ 2E0B : DD;
+ 2E0C : 2D;
+ 2E0D : 28;
+ 2E0E : 8C;
+ 2E0F : DA;
+ 2E10 : 39;
+ 2E11 : 2D;
+ 2E12 : 86;
+ 2E13 : 1F;
+ 2E14 : 12;
+ 2E15 : 1C;
+ 2E16 : 28;
+ 2E17 : 14;
+ 2E18 : 78;
+ 2E19 : 81;
+ 2E1A : 47;
+ 2E1B : 7E;
+ 2E1C : 23;
+ 2E1D : 12;
+ 2E1E : 1C;
+ 2E1F : DD;
+ 2E20 : 2D;
+ 2E21 : 28;
+ 2E22 : 06;
+ 2E23 : D2;
+ 2E24 : 6D;
+ 2E25 : 2E;
+ 2E26 : C3;
+ 2E27 : 9E;
+ 2E28 : 2D;
+ 2E29 : C3;
+ 2E2A : 91;
+ 2E2B : 2D;
+ 2E2C : 0E;
+ 2E2D : 00;
+ 2E2E : CB;
+ 2E2F : 08;
+ 2E30 : C9;
+ 2E31 : 96;
+ 2E32 : D9;
+ 2E33 : DA;
+ 2E34 : 53;
+ 2E35 : 2E;
+ 2E36 : 6F;
+ 2E37 : 7E;
+ 2E38 : D9;
+ 2E39 : 86;
+ 2E3A : 12;
+ 2E3B : 1C;
+ 2E3C : 86;
+ 2E3D : 1F;
+ 2E3E : 12;
+ 2E3F : 1C;
+ 2E40 : 28;
+ 2E41 : EA;
+ 2E42 : 78;
+ 2E43 : 81;
+ 2E44 : 47;
+ 2E45 : 7E;
+ 2E46 : 23;
+ 2E47 : 12;
+ 2E48 : 1C;
+ 2E49 : DD;
+ 2E4A : 2D;
+ 2E4B : 28;
+ 2E4C : 7D;
+ 2E4D : DA;
+ 2E4E : 9E;
+ 2E4F : 2D;
+ 2E50 : C3;
+ 2E51 : 6D;
+ 2E52 : 2E;
+ 2E53 : 5F;
+ 2E54 : 1A;
+ 2E55 : D9;
+ 2E56 : 86;
+ 2E57 : 12;
+ 2E58 : 1C;
+ 2E59 : 86;
+ 2E5A : 1F;
+ 2E5B : 12;
+ 2E5C : 1C;
+ 2E5D : 28;
+ 2E5E : CD;
+ 2E5F : 78;
+ 2E60 : 81;
+ 2E61 : 47;
+ 2E62 : 7E;
+ 2E63 : 23;
+ 2E64 : 12;
+ 2E65 : 1C;
+ 2E66 : DD;
+ 2E67 : 2D;
+ 2E68 : 28;
+ 2E69 : 60;
+ 2E6A : DA;
+ 2E6B : 9E;
+ 2E6C : 2D;
+ 2E6D : 96;
+ 2E6E : D9;
+ 2E6F : DA;
+ 2E70 : 8F;
+ 2E71 : 2E;
+ 2E72 : 6F;
+ 2E73 : 7E;
+ 2E74 : D9;
+ 2E75 : 86;
+ 2E76 : 12;
+ 2E77 : 1C;
+ 2E78 : 86;
+ 2E79 : 1F;
+ 2E7A : 12;
+ 2E7B : 1C;
+ 2E7C : 78;
+ 2E7D : 81;
+ 2E7E : 47;
+ 2E7F : 7E;
+ 2E80 : 23;
+ 2E81 : 12;
+ 2E82 : 1C;
+ 2E83 : 28;
+ 2E84 : 39;
+ 2E85 : DD;
+ 2E86 : 2D;
+ 2E87 : 28;
+ 2E88 : 35;
+ [2E89..2E8A] : DA;
+ 2E8B : 2D;
+ 2E8C : C3;
+ 2E8D : A9;
+ 2E8E : 2E;
+ 2E8F : 5F;
+ 2E90 : 1A;
+ 2E91 : D9;
+ 2E92 : 86;
+ 2E93 : 12;
+ 2E94 : 1C;
+ 2E95 : 86;
+ 2E96 : 1F;
+ 2E97 : 12;
+ 2E98 : 1C;
+ 2E99 : 78;
+ 2E9A : 81;
+ 2E9B : 47;
+ 2E9C : 7E;
+ 2E9D : 23;
+ 2E9E : 12;
+ 2E9F : 1C;
+ 2EA0 : 28;
+ 2EA1 : 1C;
+ 2EA2 : DD;
+ 2EA3 : 2D;
+ 2EA4 : 28;
+ 2EA5 : 18;
+ [2EA6..2EA7] : DA;
+ 2EA8 : 2D;
+ 2EA9 : 86;
+ 2EAA : 1F;
+ 2EAB : 12;
+ 2EAC : 1C;
+ 2EAD : 78;
+ 2EAE : 81;
+ 2EAF : 47;
+ 2EB0 : 7E;
+ 2EB1 : 23;
+ 2EB2 : 12;
+ 2EB3 : 1C;
+ 2EB4 : DD;
+ 2EB5 : 2D;
+ 2EB6 : 28;
+ 2EB7 : 12;
+ 2EB8 : D2;
+ 2EB9 : 0E;
+ 2EBA : 2F;
+ 2EBB : C3;
+ 2EBC : 31;
+ 2EBD : 2E;
+ 2EBE : 38;
+ 2EBF : 05;
+ 2EC0 : 0E;
+ 2EC1 : 01;
+ 2EC2 : CB;
+ 2EC3 : 08;
+ 2EC4 : C9;
+ 2EC5 : 0E;
+ 2EC6 : 02;
+ 2EC7 : CB;
+ 2EC8 : 38;
+ 2EC9 : C9;
+ 2ECA : C3;
+ 2ECB : 91;
+ 2ECC : 2D;
+ 2ECD : 0E;
+ 2ECE : 01;
+ 2ECF : CB;
+ 2ED0 : 08;
+ 2ED1 : C9;
+ 2ED2 : 96;
+ 2ED3 : D9;
+ 2ED4 : DA;
+ 2ED5 : F4;
+ 2ED6 : 2E;
+ 2ED7 : 6F;
+ 2ED8 : 7E;
+ 2ED9 : D9;
+ 2EDA : 86;
+ 2EDB : 12;
+ 2EDC : 1C;
+ 2EDD : 28;
+ 2EDE : EE;
+ 2EDF : 86;
+ 2EE0 : 1F;
+ 2EE1 : 12;
+ 2EE2 : 1C;
+ 2EE3 : 78;
+ 2EE4 : 81;
+ 2EE5 : 47;
+ 2EE6 : 7E;
+ 2EE7 : 23;
+ 2EE8 : 12;
+ 2EE9 : 1C;
+ 2EEA : DD;
+ 2EEB : 2D;
+ 2EEC : 28;
+ 2EED : DC;
+ 2EEE : DA;
+ 2EEF : 31;
+ 2EF0 : 2E;
+ 2EF1 : C3;
+ 2EF2 : 0E;
+ 2EF3 : 2F;
+ 2EF4 : 5F;
+ 2EF5 : 1A;
+ 2EF6 : D9;
+ 2EF7 : 86;
+ 2EF8 : 12;
+ 2EF9 : 1C;
+ 2EFA : 28;
+ 2EFB : D1;
+ 2EFC : 86;
+ 2EFD : 1F;
+ 2EFE : 12;
+ 2EFF : 1C;
+ 2F00 : 78;
+ 2F01 : 81;
+ 2F02 : 47;
+ 2F03 : 7E;
+ 2F04 : 23;
+ 2F05 : 12;
+ 2F06 : 1C;
+ 2F07 : DD;
+ 2F08 : 2D;
+ 2F09 : 28;
+ 2F0A : BF;
+ 2F0B : DA;
+ 2F0C : 31;
+ 2F0D : 2E;
+ 2F0E : 96;
+ 2F0F : D9;
+ 2F10 : DA;
+ 2F11 : 30;
+ 2F12 : 2F;
+ 2F13 : 6F;
+ 2F14 : 7E;
+ 2F15 : D9;
+ 2F16 : 86;
+ 2F17 : 12;
+ 2F18 : 1C;
+ 2F19 : 86;
+ 2F1A : 1F;
+ 2F1B : 12;
+ 2F1C : 1C;
+ 2F1D : 28;
+ 2F1E : 46;
+ 2F1F : 78;
+ 2F20 : 81;
+ 2F21 : 47;
+ 2F22 : 7E;
+ 2F23 : 23;
+ 2F24 : 12;
+ 2F25 : 1C;
+ 2F26 : DD;
+ 2F27 : 2D;
+ 2F28 : 28;
+ 2F29 : 94;
+ 2F2A : DA;
+ 2F2B : 6D;
+ 2F2C : 2E;
+ 2F2D : C3;
+ 2F2E : 4A;
+ 2F2F : 2F;
+ 2F30 : 5F;
+ 2F31 : 1A;
+ 2F32 : D9;
+ 2F33 : 86;
+ 2F34 : 12;
+ 2F35 : 1C;
+ 2F36 : 86;
+ 2F37 : 1F;
+ 2F38 : 12;
+ 2F39 : 1C;
+ 2F3A : 28;
+ 2F3B : 29;
+ 2F3C : 78;
+ 2F3D : 81;
+ 2F3E : 47;
+ 2F3F : 7E;
+ 2F40 : 23;
+ 2F41 : 12;
+ 2F42 : 1C;
+ 2F43 : DD;
+ 2F44 : 2D;
+ 2F45 : 28;
+ 2F46 : 1B;
+ 2F47 : DA;
+ 2F48 : 6D;
+ 2F49 : 2E;
+ 2F4A : 86;
+ 2F4B : 1F;
+ 2F4C : 12;
+ 2F4D : 1C;
+ 2F4E : 78;
+ 2F4F : 81;
+ 2F50 : 47;
+ 2F51 : 7E;
+ 2F52 : 23;
+ 2F53 : 12;
+ 2F54 : 1C;
+ 2F55 : DD;
+ 2F56 : 2D;
+ 2F57 : 28;
+ 2F58 : 06;
+ 2F59 : D2;
+ 2F5A : 39;
+ 2F5B : 2D;
+ 2F5C : C3;
+ 2F5D : D2;
+ 2F5E : 2E;
+ 2F5F : C3;
+ 2F60 : 91;
+ 2F61 : 2D;
+ 2F62 : C3;
+ 2F63 : BE;
+ 2F64 : 2E;
+ 2F65 : 0E;
+ 2F66 : 00;
+ 2F67 : CB;
+ 2F68 : 38;
+ 2F69 : C9;
+ 2F6A : 51;
+ 2F6B : D5;
+ 2F6C : 50;
+ 2F6D : D9;
+ 2F6E : C1;
+ 2F6F : CB;
+ 2F70 : 20;
+ 2F71 : DA;
+ 2F72 : E1;
+ 2F73 : 30;
+ 2F74 : DD;
+ 2F75 : 7D;
+ 2F76 : 87;
+ 2F77 : DA;
+ 2F78 : 3F;
+ 2F79 : 30;
+ 2F7A : DD;
+ 2F7B : 85;
+ 2F7C : DA;
+ 2F7D : 3F;
+ 2F7E : 30;
+ 2F7F : 83;
+ 2F80 : 28;
+ 2F81 : 16;
+ 2F82 : DA;
+ 2F83 : 3F;
+ 2F84 : 30;
+ 2F85 : CB;
+ 2F86 : 78;
+ 2F87 : 28;
+ 2F88 : 0F;
+ 2F89 : FD;
+ 2F8A : 6F;
+ 2F8B : DD;
+ 2F8C : 7D;
+ 2F8D : CB;
+ 2F8E : 3F;
+ 2F8F : CB;
+ 2F90 : 3F;
+ 2F91 : FD;
+ 2F92 : 85;
+ 2F93 : 28;
+ 2F94 : 03;
+ 2F95 : DA;
+ 2F96 : 3F;
+ 2F97 : 30;
+ 2F98 : CB;
+ 2F99 : 31;
+ 2F9A : DA;
+ 2F9B : EB;
+ 2F9C : 2F;
+ 2F9D : C3;
+ 2F9E : AF;
+ 2F9F : 2F;
+ 2FA0 : 28;
+ 2FA1 : 57;
+ 2FA2 : 1C;
+ 2FA3 : 28;
+ 2FA4 : 55;
+ 2FA5 : 86;
+ 2FA6 : 1F;
+ 2FA7 : 12;
+ 2FA8 : 1C;
+ 2FA9 : 28;
+ 2FAA : 59;
+ 2FAB : 12;
+ 2FAC : 1C;
+ 2FAD : 28;
+ 2FAE : 5F;
+ 2FAF : 79;
+ 2FB0 : 80;
+ 2FB1 : 4F;
+ 2FB2 : 7E;
+ 2FB3 : 23;
+ 2FB4 : DD;
+ 2FB5 : 2D;
+ 2FB6 : 12;
+ 2FB7 : D2;
+ 2FB8 : A0;
+ 2FB9 : 2F;
+ 2FBA : 28;
+ 2FBB : 5C;
+ 2FBC : 1C;
+ 2FBD : 28;
+ 2FBE : 5A;
+ 2FBF : FD;
+ 2FC0 : 6F;
+ 2FC1 : 86;
+ 2FC2 : 1F;
+ 2FC3 : FD;
+ 2FC4 : 67;
+ 2FC5 : FD;
+ 2FC6 : 85;
+ 2FC7 : 1F;
+ 2FC8 : 12;
+ 2FC9 : 1C;
+ 2FCA : 28;
+ 2FCB : 56;
+ 2FCC : FD;
+ 2FCD : 7C;
+ 2FCE : 12;
+ 2FCF : 1C;
+ 2FD0 : 28;
+ 2FD1 : 59;
+ 2FD2 : 86;
+ 2FD3 : 1F;
+ 2FD4 : 12;
+ 2FD5 : 1C;
+ 2FD6 : C2;
+ 2FD7 : EB;
+ 2FD8 : 2F;
+ 2FD9 : C3;
+ 2FDA : 35;
+ 2FDB : 30;
+ 2FDC : 28;
+ 2FDD : 43;
+ 2FDE : 1C;
+ 2FDF : 28;
+ 2FE0 : 41;
+ 2FE1 : 86;
+ 2FE2 : 1F;
+ 2FE3 : 12;
+ 2FE4 : 1C;
+ 2FE5 : 28;
+ 2FE6 : 44;
+ 2FE7 : 12;
+ 2FE8 : 1C;
+ 2FE9 : 28;
+ 2FEA : 4A;
+ 2FEB : 79;
+ 2FEC : 80;
+ 2FED : 4F;
+ 2FEE : 7E;
+ 2FEF : 23;
+ 2FF0 : DD;
+ 2FF1 : 2D;
+ 2FF2 : 12;
+ 2FF3 : D2;
+ 2FF4 : DC;
+ 2FF5 : 2F;
+ 2FF6 : C2;
+ 2FF7 : A2;
+ 2FF8 : 2F;
+ 2FF9 : 1C;
+ 2FFA : CB;
+ 2FFB : 39;
+ 2FFC : FD;
+ 2FFD : 69;
+ 2FFE : D9;
+ 2FFF : FD;
+ 3000 : 5D;
+ 3001 : 16;
+ 3002 : 02;
+ 3003 : C9;
+ 3004 : CB;
+ 3005 : 39;
+ 3006 : FD;
+ 3007 : 69;
+ 3008 : D9;
+ 3009 : FD;
+ 300A : 5D;
+ 300B : 16;
+ 300C : 01;
+ 300D : C9;
+ 300E : CB;
+ 300F : 39;
+ 3010 : FD;
+ 3011 : 69;
+ 3012 : D9;
+ 3013 : FD;
+ 3014 : 5D;
+ 3015 : 16;
+ 3016 : 00;
+ 3017 : C9;
+ 3018 : 1C;
+ 3019 : CB;
+ 301A : 09;
+ 301B : FD;
+ 301C : 69;
+ 301D : D9;
+ 301E : FD;
+ 301F : 5D;
+ 3020 : C9;
+ 3021 : 1C;
+ 3022 : CB;
+ 3023 : 09;
+ 3024 : FD;
+ 3025 : 69;
+ 3026 : D9;
+ 3027 : FD;
+ 3028 : 5D;
+ 3029 : 15;
+ 302A : C9;
+ 302B : CB;
+ 302C : 09;
+ 302D : FD;
+ 302E : 69;
+ 302F : D9;
+ 3030 : FD;
+ 3031 : 5D;
+ 3032 : 16;
+ 3033 : 01;
+ 3034 : C9;
+ 3035 : CB;
+ 3036 : 09;
+ 3037 : FD;
+ 3038 : 69;
+ 3039 : D9;
+ 303A : FD;
+ 303B : 5D;
+ 303C : 16;
+ 303D : 00;
+ 303E : C9;
+ 303F : CB;
+ 3040 : 31;
+ 3041 : DA;
+ 3042 : 87;
+ 3043 : 30;
+ 3044 : 18;
+ 3045 : 0C;
+ 3046 : 28;
+ 3047 : 6A;
+ 3048 : 86;
+ 3049 : 1F;
+ 304A : 12;
+ 304B : 1C;
+ 304C : 28;
+ 304D : 50;
+ 304E : 12;
+ 304F : 1C;
+ 3050 : 28;
+ 3051 : 56;
+ 3052 : 79;
+ 3053 : 80;
+ 3054 : 4F;
+ 3055 : 7E;
+ 3056 : 23;
+ 3057 : 12;
+ 3058 : 1C;
+ 3059 : D2;
+ 305A : 46;
+ 305B : 30;
+ 305C : 28;
+ 305D : 5E;
+ 305E : FD;
+ 305F : 6F;
+ 3060 : 86;
+ 3061 : 1F;
+ 3062 : FD;
+ 3063 : 67;
+ 3064 : FD;
+ 3065 : 85;
+ 3066 : 1F;
+ 3067 : 12;
+ 3068 : 1C;
+ 3069 : 28;
+ 306A : 59;
+ 306B : FD;
+ 306C : 7C;
+ 306D : 12;
+ 306E : 1C;
+ 306F : 28;
+ 3070 : 5C;
+ 3071 : 86;
+ 3072 : 1F;
+ 3073 : 12;
+ 3074 : 1C;
+ 3075 : C2;
+ 3076 : 87;
+ 3077 : 30;
+ 3078 : C3;
+ 3079 : D7;
+ 307A : 30;
+ 307B : 28;
+ 307C : 47;
+ 307D : 86;
+ 307E : 1F;
+ 307F : 12;
+ 3080 : 1C;
+ 3081 : 28;
+ 3082 : 4A;
+ 3083 : 12;
+ 3084 : 1C;
+ 3085 : 28;
+ 3086 : 50;
+ 3087 : 79;
+ 3088 : 80;
+ 3089 : 4F;
+ 308A : 7E;
+ 308B : 23;
+ 308C : 12;
+ 308D : 1C;
+ 308E : D2;
+ 308F : 7B;
+ 3090 : 30;
+ 3091 : C2;
+ 3092 : 46;
+ 3093 : 30;
+ 3094 : CB;
+ 3095 : 39;
+ 3096 : FD;
+ 3097 : 69;
+ 3098 : D9;
+ 3099 : FD;
+ 309A : 5D;
+ 309B : 16;
+ 309C : 02;
+ 309D : C9;
+ 309E : CB;
+ 309F : 39;
+ 30A0 : FD;
+ 30A1 : 69;
+ 30A2 : D9;
+ 30A3 : FD;
+ 30A4 : 5D;
+ 30A5 : 16;
+ 30A6 : 01;
+ 30A7 : C9;
+ 30A8 : CB;
+ 30A9 : 39;
+ 30AA : FD;
+ 30AB : 69;
+ 30AC : D9;
+ 30AD : FD;
+ 30AE : 5D;
+ 30AF : 16;
+ 30B0 : 00;
+ 30B1 : C9;
+ 30B2 : CB;
+ 30B3 : 39;
+ 30B4 : FD;
+ 30B5 : 69;
+ 30B6 : D9;
+ 30B7 : FD;
+ 30B8 : 5D;
+ 30B9 : 16;
+ 30BA : 02;
+ 30BB : C9;
+ 30BC : CB;
+ 30BD : 09;
+ 30BE : FD;
+ 30BF : 69;
+ 30C0 : D9;
+ 30C1 : FD;
+ 30C2 : 5D;
+ 30C3 : C9;
+ 30C4 : CB;
+ 30C5 : 09;
+ 30C6 : FD;
+ 30C7 : 69;
+ 30C8 : D9;
+ 30C9 : FD;
+ 30CA : 5D;
+ 30CB : 15;
+ 30CC : C9;
+ 30CD : CB;
+ 30CE : 09;
+ 30CF : FD;
+ 30D0 : 69;
+ 30D1 : D9;
+ 30D2 : FD;
+ 30D3 : 5D;
+ 30D4 : 16;
+ 30D5 : 01;
+ 30D6 : C9;
+ 30D7 : CB;
+ 30D8 : 09;
+ 30D9 : FD;
+ 30DA : 69;
+ 30DB : D9;
+ 30DC : FD;
+ 30DD : 5D;
+ 30DE : 16;
+ 30DF : 00;
+ 30E0 : C9;
+ 30E1 : DD;
+ 30E2 : 7D;
+ 30E3 : 87;
+ 30E4 : DA;
+ 30E5 : E3;
+ 30E6 : 31;
+ 30E7 : DD;
+ 30E8 : 85;
+ 30E9 : DA;
+ 30EA : E3;
+ 30EB : 31;
+ 30EC : FD;
+ 30ED : 6F;
+ 30EE : DD;
+ 30EF : 7D;
+ 30F0 : CB;
+ 30F1 : 3F;
+ 30F2 : FD;
+ 30F3 : 85;
+ 30F4 : DA;
+ 30F5 : E3;
+ 30F6 : 31;
+ 30F7 : 83;
+ 30F8 : 28;
+ 30F9 : 16;
+ 30FA : DA;
+ 30FB : E3;
+ 30FC : 31;
+ 30FD : CB;
+ 30FE : 78;
+ 30FF : 28;
+ 3100 : 0F;
+ 3101 : FD;
+ 3102 : 6F;
+ 3103 : DD;
+ 3104 : 7D;
+ 3105 : CB;
+ 3106 : 3F;
+ 3107 : CB;
+ 3108 : 3F;
+ 3109 : FD;
+ 310A : 85;
+ 310B : 28;
+ 310C : 03;
+ 310D : DA;
+ 310E : E3;
+ 310F : 31;
+ 3110 : CB;
+ 3111 : 31;
+ 3112 : D2;
+ 3113 : 85;
+ 3114 : 31;
+ 3115 : C3;
+ 3116 : 3F;
+ 3117 : 31;
+ 3118 : 1C;
+ 3119 : CB;
+ 311A : 09;
+ 311B : FD;
+ 311C : 69;
+ 311D : D9;
+ 311E : FD;
+ 311F : 5D;
+ 3120 : C9;
+ 3121 : 28;
+ 3122 : F5;
+ 3123 : 1C;
+ 3124 : 28;
+ 3125 : F3;
+ 3126 : FD;
+ 3127 : 6F;
+ 3128 : 86;
+ 3129 : 1F;
+ 312A : FD;
+ 312B : 67;
+ 312C : FD;
+ 312D : 85;
+ 312E : 1F;
+ 312F : 12;
+ 3130 : 1C;
+ 3131 : 28;
+ 3132 : 6B;
+ 3133 : FD;
+ 3134 : 7C;
+ 3135 : 12;
+ 3136 : 1C;
+ 3137 : 28;
+ 3138 : 6E;
+ 3139 : 86;
+ 313A : 1F;
+ 313B : 12;
+ 313C : 1C;
+ 313D : 28;
+ 313E : 72;
+ 313F : 79;
+ 3140 : 80;
+ 3141 : 4F;
+ 3142 : 7E;
+ 3143 : 23;
+ 3144 : DD;
+ 3145 : 2D;
+ 3146 : 12;
+ 3147 : DA;
+ 3148 : 21;
+ 3149 : 31;
+ 314A : 28;
+ 314B : 11;
+ 314C : 1C;
+ 314D : 28;
+ 314E : 0F;
+ 314F : 86;
+ 3150 : 1F;
+ 3151 : 12;
+ 3152 : 1C;
+ 3153 : 28;
+ 3154 : 79;
+ 3155 : 12;
+ 3156 : 1C;
+ 3157 : C2;
+ 3158 : 85;
+ 3159 : 31;
+ 315A : C3;
+ 315B : D8;
+ 315C : 31;
+ 315D : 1C;
+ 315E : CB;
+ 315F : 39;
+ 3160 : FD;
+ 3161 : 69;
+ 3162 : D9;
+ 3163 : FD;
+ 3164 : 5D;
+ 3165 : 15;
+ 3166 : C9;
+ 3167 : 28;
+ 3168 : 5C;
+ 3169 : 1C;
+ 316A : 28;
+ 316B : 5A;
+ 316C : FD;
+ 316D : 6F;
+ 316E : 86;
+ 316F : 1F;
+ 3170 : FD;
+ 3171 : 67;
+ 3172 : FD;
+ 3173 : 85;
+ 3174 : 1F;
+ 3175 : 12;
+ 3176 : 1C;
+ 3177 : 28;
+ 3178 : E5;
+ 3179 : FD;
+ 317A : 7C;
+ 317B : 12;
+ 317C : 1C;
+ 317D : 28;
+ 317E : 4F;
+ 317F : 86;
+ 3180 : 1F;
+ 3181 : 12;
+ 3182 : 1C;
+ 3183 : 28;
+ 3184 : 53;
+ 3185 : 79;
+ 3186 : 80;
+ 3187 : 4F;
+ 3188 : 7E;
+ 3189 : 23;
+ 318A : DD;
+ 318B : 2D;
+ 318C : 12;
+ 318D : DA;
+ 318E : 67;
+ 318F : 31;
+ 3190 : 28;
+ 3191 : 2A;
+ 3192 : 1C;
+ 3193 : C2;
+ 3194 : 26;
+ 3195 : 31;
+ 3196 : CB;
+ 3197 : 09;
+ 3198 : FD;
+ 3199 : 69;
+ 319A : D9;
+ 319B : FD;
+ 319C : 5D;
+ 319D : C9;
+ 319E : CB;
+ 319F : 09;
+ 31A0 : FD;
+ 31A1 : 69;
+ 31A2 : D9;
+ 31A3 : FD;
+ 31A4 : 5D;
+ 31A5 : 15;
+ 31A6 : C9;
+ 31A7 : CB;
+ 31A8 : 09;
+ 31A9 : FD;
+ 31AA : 69;
+ 31AB : D9;
+ 31AC : FD;
+ 31AD : 5D;
+ [31AE..31AF] : 15;
+ 31B0 : C9;
+ 31B1 : CB;
+ 31B2 : 09;
+ 31B3 : FD;
+ 31B4 : 69;
+ 31B5 : D9;
+ 31B6 : FD;
+ 31B7 : 5D;
+ [31B8..31BA] : 15;
+ 31BB : C9;
+ 31BC : 1C;
+ 31BD : CB;
+ 31BE : 09;
+ 31BF : FD;
+ 31C0 : 69;
+ 31C1 : D9;
+ 31C2 : FD;
+ 31C3 : 5D;
+ 31C4 : C9;
+ 31C5 : 1C;
+ 31C6 : CB;
+ 31C7 : 39;
+ 31C8 : FD;
+ 31C9 : 69;
+ 31CA : D9;
+ 31CB : FD;
+ 31CC : 5D;
+ 31CD : C9;
+ 31CE : CB;
+ 31CF : 39;
+ 31D0 : FD;
+ 31D1 : 69;
+ 31D2 : D9;
+ 31D3 : FD;
+ 31D4 : 5D;
+ [31D5..31D6] : 15;
+ 31D7 : C9;
+ 31D8 : CB;
+ 31D9 : 39;
+ 31DA : FD;
+ 31DB : 69;
+ 31DC : D9;
+ 31DD : FD;
+ 31DE : 5D;
+ [31DF..31E1] : 15;
+ 31E2 : C9;
+ 31E3 : CB;
+ 31E4 : 31;
+ 31E5 : D2;
+ 31E6 : 43;
+ 31E7 : 32;
+ 31E8 : C3;
+ 31E9 : 0E;
+ 31EA : 32;
+ 31EB : CB;
+ 31EC : 09;
+ 31ED : FD;
+ 31EE : 69;
+ 31EF : D9;
+ 31F0 : FD;
+ 31F1 : 5D;
+ 31F2 : C9;
+ 31F3 : 28;
+ 31F4 : F6;
+ 31F5 : FD;
+ 31F6 : 6F;
+ 31F7 : 86;
+ 31F8 : 1F;
+ 31F9 : FD;
+ 31FA : 67;
+ 31FB : FD;
+ 31FC : 85;
+ 31FD : 1F;
+ 31FE : 12;
+ 31FF : 1C;
+ 3200 : 28;
+ 3201 : 56;
+ 3202 : FD;
+ 3203 : 7C;
+ 3204 : 12;
+ 3205 : 1C;
+ 3206 : 28;
+ 3207 : 59;
+ 3208 : 86;
+ 3209 : 1F;
+ 320A : 12;
+ 320B : 1C;
+ 320C : 28;
+ 320D : 5D;
+ 320E : 79;
+ 320F : 80;
+ 3210 : 4F;
+ 3211 : 7E;
+ 3212 : 23;
+ 3213 : 12;
+ 3214 : 1C;
+ 3215 : DA;
+ 3216 : F3;
+ 3217 : 31;
+ 3218 : 28;
+ 3219 : 64;
+ 321A : 86;
+ 321B : 1F;
+ 321C : 12;
+ 321D : 1C;
+ 321E : 28;
+ 321F : 67;
+ 3220 : 12;
+ 3221 : 1C;
+ 3222 : C2;
+ 3223 : 43;
+ 3224 : 32;
+ 3225 : C3;
+ 3226 : 91;
+ 3227 : 32;
+ 3228 : 28;
+ 3229 : 4C;
+ 322A : FD;
+ 322B : 6F;
+ 322C : 86;
+ 322D : 1F;
+ 322E : FD;
+ 322F : 67;
+ 3230 : FD;
+ 3231 : 85;
+ 3232 : 1F;
+ 3233 : 12;
+ 3234 : 1C;
+ 3235 : 28;
+ 3236 : 47;
+ 3237 : FD;
+ 3238 : 7C;
+ 3239 : 12;
+ 323A : 1C;
+ 323B : 28;
+ 323C : 4A;
+ 323D : 86;
+ 323E : 1F;
+ 323F : 12;
+ 3240 : 1C;
+ 3241 : 28;
+ 3242 : 4E;
+ 3243 : 79;
+ 3244 : 80;
+ 3245 : 4F;
+ 3246 : 7E;
+ 3247 : 23;
+ 3248 : 12;
+ 3249 : 1C;
+ 324A : DA;
+ 324B : 28;
+ 324C : 32;
+ 324D : C2;
+ 324E : F3;
+ 324F : 31;
+ 3250 : CB;
+ 3251 : 09;
+ 3252 : FD;
+ 3253 : 69;
+ 3254 : D9;
+ 3255 : FD;
+ 3256 : 5D;
+ 3257 : C9;
+ 3258 : CB;
+ 3259 : 09;
+ 325A : FD;
+ 325B : 69;
+ 325C : D9;
+ 325D : FD;
+ 325E : 5D;
+ 325F : 15;
+ 3260 : C9;
+ 3261 : CB;
+ 3262 : 09;
+ 3263 : FD;
+ 3264 : 69;
+ 3265 : D9;
+ 3266 : FD;
+ 3267 : 5D;
+ [3268..3269] : 15;
+ 326A : C9;
+ 326B : CB;
+ 326C : 09;
+ 326D : FD;
+ 326E : 69;
+ 326F : D9;
+ 3270 : FD;
+ 3271 : 5D;
+ [3272..3274] : 15;
+ 3275 : C9;
+ 3276 : CB;
+ 3277 : 39;
+ 3278 : FD;
+ 3279 : 69;
+ 327A : D9;
+ 327B : FD;
+ 327C : 5D;
+ 327D : C9;
+ 327E : CB;
+ 327F : 39;
+ 3280 : FD;
+ 3281 : 69;
+ 3282 : D9;
+ 3283 : FD;
+ 3284 : 5D;
+ 3285 : 15;
+ 3286 : C9;
+ 3287 : CB;
+ 3288 : 39;
+ 3289 : FD;
+ 328A : 69;
+ 328B : D9;
+ 328C : FD;
+ 328D : 5D;
+ [328E..328F] : 15;
+ 3290 : C9;
+ 3291 : CB;
+ 3292 : 39;
+ 3293 : FD;
+ 3294 : 69;
+ 3295 : D9;
+ 3296 : FD;
+ 3297 : 5D;
+ [3298..329A] : 15;
+ 329B : C9;
+ 329C : 51;
+ 329D : D5;
+ 329E : 50;
+ 329F : D9;
+ 32A0 : C1;
+ 32A1 : CB;
+ 32A2 : 20;
+ 32A3 : DA;
+ 32A4 : 6B;
+ 32A5 : 33;
+ 32A6 : CB;
+ 32A7 : 31;
+ 32A8 : FD;
+ 32A9 : 60;
+ 32AA : DA;
+ 32AB : 01;
+ 32AC : 33;
+ 32AD : C3;
+ 32AE : CC;
+ 32AF : 32;
+ 32B0 : 28;
+ 32B1 : 5E;
+ 32B2 : 1C;
+ 32B3 : 28;
+ 32B4 : 5C;
+ 32B5 : 47;
+ 32B6 : 86;
+ 32B7 : 1F;
+ 32B8 : FD;
+ 32B9 : 6F;
+ 32BA : 80;
+ 32BB : 1F;
+ 32BC : 12;
+ 32BD : 1C;
+ 32BE : 28;
+ 32BF : 5B;
+ 32C0 : FD;
+ 32C1 : 7D;
+ 32C2 : 12;
+ 32C3 : 1C;
+ 32C4 : 28;
+ 32C5 : 5F;
+ 32C6 : 86;
+ 32C7 : 1F;
+ 32C8 : 12;
+ 32C9 : 1C;
+ 32CA : 28;
+ 32CB : 63;
+ 32CC : 79;
+ 32CD : FD;
+ 32CE : 84;
+ 32CF : 4F;
+ 32D0 : 7E;
+ 32D1 : 23;
+ 32D2 : DD;
+ 32D3 : 2D;
+ 32D4 : 12;
+ 32D5 : D2;
+ 32D6 : B0;
+ 32D7 : 32;
+ 32D8 : 28;
+ 32D9 : 5F;
+ 32DA : 1C;
+ 32DB : 28;
+ 32DC : 5D;
+ 32DD : 12;
+ 32DE : 1C;
+ 32DF : C2;
+ 32E0 : EA;
+ 32E1 : 32;
+ 32E2 : C3;
+ 32E3 : 43;
+ 32E4 : 33;
+ 32E5 : 28;
+ 32E6 : 5B;
+ 32E7 : 1C;
+ 32E8 : 28;
+ 32E9 : 59;
+ 32EA : 47;
+ 32EB : 86;
+ 32EC : 1F;
+ 32ED : FD;
+ 32EE : 6F;
+ 32EF : 80;
+ 32F0 : 1F;
+ 32F1 : 12;
+ 32F2 : 1C;
+ 32F3 : 28;
+ 32F4 : 58;
+ 32F5 : FD;
+ 32F6 : 7D;
+ 32F7 : 12;
+ 32F8 : 1C;
+ 32F9 : 28;
+ 32FA : 5C;
+ 32FB : 86;
+ 32FC : 1F;
+ 32FD : 12;
+ 32FE : 1C;
+ 32FF : 28;
+ 3300 : 60;
+ 3301 : 79;
+ 3302 : FD;
+ 3303 : 84;
+ 3304 : 4F;
+ 3305 : 7E;
+ 3306 : 23;
+ 3307 : DD;
+ 3308 : 2D;
+ 3309 : 12;
+ 330A : D2;
+ 330B : E5;
+ 330C : 32;
+ 330D : C2;
+ 330E : B2;
+ 330F : 32;
+ 3310 : 1C;
+ 3311 : CB;
+ 3312 : 39;
+ 3313 : FD;
+ 3314 : 69;
+ 3315 : D9;
+ 3316 : FD;
+ 3317 : 5D;
+ 3318 : 16;
+ 3319 : 03;
+ 331A : C9;
+ 331B : CB;
+ 331C : 39;
+ 331D : FD;
+ 331E : 69;
+ 331F : D9;
+ 3320 : FD;
+ 3321 : 5D;
+ 3322 : 16;
+ 3323 : 02;
+ 3324 : C9;
+ 3325 : CB;
+ 3326 : 39;
+ 3327 : FD;
+ 3328 : 69;
+ 3329 : D9;
+ 332A : FD;
+ 332B : 5D;
+ 332C : 16;
+ 332D : 01;
+ 332E : C9;
+ 332F : CB;
+ 3330 : 39;
+ 3331 : FD;
+ 3332 : 69;
+ 3333 : D9;
+ 3334 : FD;
+ 3335 : 5D;
+ 3336 : 16;
+ 3337 : 00;
+ 3338 : C9;
+ 3339 : 1C;
+ 333A : CB;
+ 333B : 09;
+ 333C : FD;
+ 333D : 69;
+ 333E : D9;
+ 333F : FD;
+ 3340 : 5D;
+ 3341 : C9;
+ 3342 : 1C;
+ 3343 : CB;
+ 3344 : 09;
+ 3345 : FD;
+ 3346 : 69;
+ 3347 : D9;
+ 3348 : FD;
+ 3349 : 5D;
+ 334A : 16;
+ 334B : 03;
+ 334C : C9;
+ 334D : CB;
+ 334E : 09;
+ 334F : FD;
+ 3350 : 69;
+ 3351 : D9;
+ 3352 : FD;
+ 3353 : 5D;
+ 3354 : 16;
+ 3355 : 02;
+ 3356 : C9;
+ 3357 : CB;
+ 3358 : 09;
+ 3359 : FD;
+ 335A : 69;
+ 335B : D9;
+ 335C : FD;
+ 335D : 5D;
+ 335E : 16;
+ 335F : 01;
+ 3360 : C9;
+ 3361 : CB;
+ 3362 : 09;
+ 3363 : FD;
+ 3364 : 69;
+ 3365 : D9;
+ 3366 : FD;
+ 3367 : 5D;
+ 3368 : 16;
+ 3369 : 00;
+ 336A : C9;
+ 336B : CB;
+ 336C : 31;
+ 336D : D2;
+ 336E : C7;
+ 336F : 33;
+ 3370 : C3;
+ 3371 : 9E;
+ 3372 : 33;
+ 3373 : 1C;
+ 3374 : CB;
+ 3375 : 09;
+ 3376 : FD;
+ 3377 : 69;
+ 3378 : D9;
+ 3379 : FD;
+ 337A : 5D;
+ 337B : C9;
+ 337C : 1C;
+ 337D : CB;
+ 337E : 09;
+ 337F : FD;
+ 3380 : 69;
+ 3381 : D9;
+ 3382 : FD;
+ 3383 : 5D;
+ 3384 : C9;
+ 3385 : 28;
+ 3386 : EC;
+ 3387 : 1C;
+ 3388 : 28;
+ 3389 : EA;
+ 338A : 12;
+ 338B : 1C;
+ 338C : 28;
+ 338D : 52;
+ 338E : 86;
+ 338F : 1F;
+ 3390 : 12;
+ 3391 : 1C;
+ 3392 : 28;
+ 3393 : 56;
+ 3394 : 12;
+ 3395 : 1C;
+ 3396 : 28;
+ 3397 : 5C;
+ 3398 : 86;
+ 3399 : 1F;
+ 339A : 12;
+ 339B : 1C;
+ 339C : 28;
+ 339D : 60;
+ 339E : 79;
+ 339F : 80;
+ 33A0 : 4F;
+ 33A1 : 7E;
+ 33A2 : 23;
+ 33A3 : DD;
+ 33A4 : 2D;
+ 33A5 : 12;
+ 33A6 : DA;
+ 33A7 : 85;
+ 33A8 : 33;
+ 33A9 : 28;
+ 33AA : 70;
+ 33AB : C3;
+ 33AC : B4;
+ 33AD : 33;
+ 33AE : 28;
+ 33AF : 58;
+ 33B0 : 1C;
+ 33B1 : 28;
+ 33B2 : 56;
+ 33B3 : 12;
+ 33B4 : 1C;
+ 33B5 : 28;
+ 33B6 : 5A;
+ 33B7 : 86;
+ 33B8 : 1F;
+ 33B9 : 12;
+ 33BA : 1C;
+ 33BB : 28;
+ 33BC : 5F;
+ 33BD : 12;
+ 33BE : 1C;
+ 33BF : 28;
+ 33C0 : 65;
+ 33C1 : 86;
+ 33C2 : 1F;
+ 33C3 : 12;
+ 33C4 : 1C;
+ 33C5 : 28;
+ 33C6 : 69;
+ 33C7 : 79;
+ 33C8 : 80;
+ 33C9 : 4F;
+ 33CA : 7E;
+ 33CB : 23;
+ 33CC : DD;
+ 33CD : 2D;
+ 33CE : 12;
+ 33CF : DA;
+ 33D0 : AE;
+ 33D1 : 33;
+ 33D2 : 28;
+ 33D3 : A8;
+ 33D4 : 1C;
+ 33D5 : C2;
+ 33D6 : 8A;
+ 33D7 : 33;
+ 33D8 : CB;
+ 33D9 : 09;
+ 33DA : FD;
+ 33DB : 69;
+ 33DC : D9;
+ 33DD : FD;
+ 33DE : 5D;
+ 33DF : C9;
+ 33E0 : CB;
+ 33E1 : 09;
+ 33E2 : FD;
+ 33E3 : 69;
+ 33E4 : D9;
+ 33E5 : FD;
+ 33E6 : 5D;
+ 33E7 : 16;
+ 33E8 : 03;
+ 33E9 : C9;
+ 33EA : CB;
+ 33EB : 09;
+ 33EC : FD;
+ 33ED : 69;
+ 33EE : D9;
+ 33EF : FD;
+ 33F0 : 5D;
+ 33F1 : 16;
+ 33F2 : 02;
+ 33F3 : C9;
+ 33F4 : CB;
+ 33F5 : 09;
+ 33F6 : FD;
+ 33F7 : 69;
+ 33F8 : D9;
+ 33F9 : FD;
+ 33FA : 5D;
+ 33FB : 16;
+ 33FC : 01;
+ 33FD : C9;
+ 33FE : CB;
+ 33FF : 09;
+ 3400 : FD;
+ 3401 : 69;
+ 3402 : D9;
+ 3403 : FD;
+ 3404 : 5D;
+ 3405 : 16;
+ 3406 : 00;
+ 3407 : C9;
+ 3408 : 1C;
+ 3409 : CB;
+ 340A : 39;
+ 340B : FD;
+ 340C : 69;
+ 340D : D9;
+ 340E : FD;
+ 340F : 5D;
+ 3410 : C9;
+ 3411 : CB;
+ 3412 : 39;
+ 3413 : FD;
+ 3414 : 69;
+ 3415 : D9;
+ 3416 : FD;
+ 3417 : 5D;
+ 3418 : 16;
+ 3419 : 03;
+ 341A : C9;
+ 341B : 1C;
+ 341C : CB;
+ 341D : 39;
+ 341E : FD;
+ 341F : 69;
+ 3420 : D9;
+ 3421 : FD;
+ 3422 : 5D;
+ 3423 : 16;
+ 3424 : 02;
+ 3425 : C9;
+ 3426 : CB;
+ 3427 : 39;
+ 3428 : FD;
+ 3429 : 69;
+ 342A : D9;
+ 342B : FD;
+ 342C : 5D;
+ 342D : 16;
+ 342E : 01;
+ 342F : C9;
+ 3430 : CB;
+ 3431 : 39;
+ 3432 : FD;
+ 3433 : 69;
+ 3434 : D9;
+ 3435 : FD;
+ 3436 : 5D;
+ 3437 : 16;
+ 3438 : 00;
+ 3439 : C9;
+ 343A : 51;
+ 343B : D5;
+ 343C : 50;
+ 343D : D9;
+ 343E : C1;
+ 343F : CB;
+ 3440 : 20;
+ 3441 : DA;
+ 3442 : 24;
+ 3443 : 35;
+ 3444 : CB;
+ 3445 : 31;
+ 3446 : DA;
+ 3447 : A7;
+ 3448 : 34;
+ 3449 : C3;
+ 344A : 70;
+ 344B : 34;
+ 344C : 28;
+ 344D : 67;
+ 344E : 1C;
+ 344F : 28;
+ 3450 : 65;
+ 3451 : FD;
+ 3452 : 6F;
+ 3453 : 86;
+ 3454 : 1F;
+ 3455 : FD;
+ 3456 : 67;
+ 3457 : FD;
+ 3458 : 85;
+ 3459 : 1F;
+ 345A : 12;
+ 345B : 1C;
+ 345C : 28;
+ 345D : 62;
+ 345E : FD;
+ 345F : 7C;
+ 3460 : 12;
+ 3461 : 1C;
+ 3462 : 28;
+ 3463 : 66;
+ 3464 : 86;
+ 3465 : 1F;
+ 3466 : 12;
+ 3467 : 1C;
+ 3468 : 28;
+ 3469 : 6A;
+ 346A : 86;
+ 346B : 1F;
+ 346C : 12;
+ 346D : 1C;
+ 346E : 28;
+ 346F : 6E;
+ 3470 : 79;
+ 3471 : 80;
+ 3472 : 4F;
+ 3473 : 7E;
+ 3474 : 23;
+ 3475 : DD;
+ 3476 : 2D;
+ 3477 : 12;
+ 3478 : D2;
+ 3479 : 4C;
+ 347A : 34;
+ 347B : 28;
+ 347C : 6B;
+ 347D : 1C;
+ 347E : 28;
+ 347F : 69;
+ 3480 : 12;
+ 3481 : 1D;
+ 3482 : 1C;
+ 3483 : 28;
+ 3484 : 6C;
+ 3485 : 1C;
+ 3486 : 28;
+ 3487 : 6A;
+ 3488 : FD;
+ 3489 : 6F;
+ 348A : 86;
+ 348B : 1F;
+ 348C : FD;
+ 348D : 67;
+ 348E : FD;
+ 348F : 85;
+ 3490 : 1F;
+ 3491 : 12;
+ 3492 : 1C;
+ 3493 : 28;
+ 3494 : 67;
+ 3495 : FD;
+ 3496 : 7C;
+ 3497 : 12;
+ 3498 : 1C;
+ 3499 : 28;
+ 349A : 6B;
+ 349B : 86;
+ 349C : 1F;
+ 349D : 12;
+ 349E : 1C;
+ 349F : 28;
+ 34A0 : 6F;
+ 34A1 : 86;
+ 34A2 : 1F;
+ 34A3 : 12;
+ 34A4 : 1C;
+ 34A5 : 28;
+ 34A6 : 73;
+ 34A7 : 79;
+ 34A8 : 80;
+ 34A9 : 4F;
+ 34AA : 7E;
+ 34AB : 23;
+ 34AC : DD;
+ 34AD : 2D;
+ 34AE : 12;
+ 34AF : D2;
+ 34B0 : 83;
+ 34B1 : 34;
+ 34B2 : C2;
+ 34B3 : 4E;
+ 34B4 : 34;
+ 34B5 : 1C;
+ 34B6 : CB;
+ 34B7 : 39;
+ 34B8 : FD;
+ 34B9 : 69;
+ 34BA : D9;
+ 34BB : FD;
+ 34BC : 5D;
+ 34BD : 16;
+ 34BE : 04;
+ 34BF : C9;
+ 34C0 : CB;
+ 34C1 : 39;
+ 34C2 : FD;
+ 34C3 : 69;
+ 34C4 : D9;
+ 34C5 : FD;
+ 34C6 : 5D;
+ 34C7 : 16;
+ 34C8 : 03;
+ 34C9 : C9;
+ 34CA : CB;
+ 34CB : 39;
+ 34CC : FD;
+ 34CD : 69;
+ 34CE : D9;
+ 34CF : FD;
+ 34D0 : 5D;
+ 34D1 : 16;
+ 34D2 : 02;
+ 34D3 : C9;
+ 34D4 : CB;
+ 34D5 : 39;
+ 34D6 : FD;
+ 34D7 : 69;
+ 34D8 : D9;
+ 34D9 : FD;
+ 34DA : 5D;
+ 34DB : 16;
+ 34DC : 01;
+ 34DD : C9;
+ 34DE : CB;
+ 34DF : 39;
+ 34E0 : FD;
+ 34E1 : 69;
+ 34E2 : D9;
+ 34E3 : FD;
+ 34E4 : 5D;
+ 34E5 : 16;
+ 34E6 : 00;
+ 34E7 : C9;
+ 34E8 : 1C;
+ 34E9 : CB;
+ 34EA : 09;
+ 34EB : FD;
+ 34EC : 69;
+ 34ED : D9;
+ 34EE : FD;
+ 34EF : 5D;
+ 34F0 : C9;
+ 34F1 : 1C;
+ 34F2 : CB;
+ 34F3 : 09;
+ 34F4 : FD;
+ 34F5 : 69;
+ 34F6 : D9;
+ 34F7 : FD;
+ 34F8 : 5D;
+ 34F9 : 16;
+ 34FA : 04;
+ 34FB : C9;
+ 34FC : CB;
+ 34FD : 09;
+ 34FE : FD;
+ 34FF : 69;
+ 3500 : D9;
+ 3501 : FD;
+ 3502 : 5D;
+ 3503 : 16;
+ 3504 : 03;
+ 3505 : C9;
+ 3506 : CB;
+ 3507 : 09;
+ 3508 : FD;
+ 3509 : 69;
+ 350A : D9;
+ 350B : FD;
+ 350C : 5D;
+ 350D : 16;
+ 350E : 02;
+ 350F : C9;
+ 3510 : CB;
+ 3511 : 09;
+ 3512 : FD;
+ 3513 : 69;
+ 3514 : D9;
+ 3515 : FD;
+ 3516 : 5D;
+ 3517 : 16;
+ 3518 : 01;
+ 3519 : C9;
+ 351A : CB;
+ 351B : 09;
+ 351C : FD;
+ 351D : 69;
+ 351E : D9;
+ 351F : FD;
+ 3520 : 5D;
+ 3521 : 16;
+ 3522 : 00;
+ 3523 : C9;
+ 3524 : CB;
+ 3525 : 31;
+ 3526 : D2;
+ 3527 : CA;
+ 3528 : 35;
+ 3529 : C3;
+ 352A : 92;
+ 352B : 35;
+ 352C : 1C;
+ 352D : CB;
+ 352E : 09;
+ 352F : FD;
+ 3530 : 69;
+ 3531 : D9;
+ 3532 : FD;
+ 3533 : 5D;
+ 3534 : C9;
+ 3535 : CB;
+ 3536 : 09;
+ 3537 : FD;
+ 3538 : 69;
+ 3539 : D9;
+ 353A : FD;
+ 353B : 5D;
+ 353C : 16;
+ 353D : 04;
+ 353E : C9;
+ 353F : CB;
+ 3540 : 09;
+ 3541 : FD;
+ 3542 : 69;
+ 3543 : D9;
+ 3544 : FD;
+ 3545 : 5D;
+ 3546 : 16;
+ 3547 : 03;
+ 3548 : C9;
+ 3549 : CB;
+ 354A : 09;
+ 354B : FD;
+ 354C : 69;
+ 354D : D9;
+ 354E : FD;
+ 354F : 5D;
+ 3550 : 16;
+ 3551 : 02;
+ 3552 : C9;
+ 3553 : CB;
+ 3554 : 09;
+ 3555 : FD;
+ 3556 : 69;
+ 3557 : D9;
+ 3558 : FD;
+ 3559 : 5D;
+ 355A : 16;
+ 355B : 01;
+ 355C : C9;
+ 355D : CB;
+ 355E : 09;
+ 355F : FD;
+ 3560 : 69;
+ 3561 : D9;
+ 3562 : FD;
+ 3563 : 5D;
+ 3564 : 16;
+ 3565 : 00;
+ 3566 : C9;
+ 3567 : 28;
+ 3568 : C3;
+ 3569 : 1C;
+ 356A : 28;
+ 356B : C1;
+ 356C : FD;
+ 356D : 6F;
+ 356E : 86;
+ 356F : 1F;
+ 3570 : FD;
+ 3571 : 67;
+ 3572 : FD;
+ 3573 : 85;
+ 3574 : 1F;
+ 3575 : 12;
+ 3576 : 1C;
+ 3577 : 28;
+ 3578 : BC;
+ 3579 : FD;
+ 357A : 84;
+ 357B : 1F;
+ 357C : 12;
+ 357D : 1C;
+ 357E : 28;
+ 357F : BF;
+ 3580 : FD;
+ 3581 : 7C;
+ 3582 : 12;
+ 3583 : 1C;
+ 3584 : 28;
+ 3585 : C3;
+ 3586 : 86;
+ 3587 : 1F;
+ 3588 : 12;
+ 3589 : 1C;
+ 358A : 28;
+ 358B : C7;
+ 358C : 86;
+ 358D : 1F;
+ 358E : 12;
+ 358F : 1C;
+ 3590 : 28;
+ 3591 : CB;
+ 3592 : 79;
+ 3593 : 80;
+ 3594 : 4F;
+ 3595 : 7E;
+ 3596 : 23;
+ 3597 : DD;
+ 3598 : 2D;
+ 3599 : 12;
+ 359A : DA;
+ 359B : 67;
+ 359C : 35;
+ 359D : 28;
+ 359E : 60;
+ 359F : C3;
+ 35A0 : A8;
+ 35A1 : 35;
+ 35A2 : 28;
+ 35A3 : 48;
+ 35A4 : 1C;
+ 35A5 : 28;
+ 35A6 : 46;
+ 35A7 : 12;
+ 35A8 : 1C;
+ 35A9 : 28;
+ 35AA : 4A;
+ 35AB : FD;
+ 35AC : 6F;
+ 35AD : 86;
+ 35AE : 1F;
+ 35AF : FD;
+ 35B0 : 67;
+ 35B1 : FD;
+ 35B2 : 85;
+ 35B3 : 1F;
+ 35B4 : 12;
+ 35B5 : 1C;
+ 35B6 : 28;
+ 35B7 : 48;
+ 35B8 : FD;
+ 35B9 : 7C;
+ 35BA : 12;
+ 35BB : 1C;
+ 35BC : 28;
+ 35BD : 4C;
+ 35BE : 86;
+ 35BF : 1F;
+ 35C0 : 12;
+ 35C1 : 1C;
+ 35C2 : 28;
+ 35C3 : 50;
+ 35C4 : 86;
+ 35C5 : 1F;
+ 35C6 : 12;
+ 35C7 : 1C;
+ 35C8 : 28;
+ 35C9 : 54;
+ 35CA : 79;
+ 35CB : 80;
+ 35CC : 4F;
+ 35CD : 7E;
+ 35CE : 23;
+ 35CF : DD;
+ 35D0 : 2D;
+ 35D1 : 12;
+ 35D2 : DA;
+ 35D3 : A2;
+ 35D4 : 35;
+ 35D5 : 28;
+ 35D6 : 0C;
+ 35D7 : 1C;
+ 35D8 : C2;
+ 35D9 : 6C;
+ 35DA : 35;
+ 35DB : CB;
+ 35DC : 09;
+ 35DD : FD;
+ 35DE : 69;
+ 35DF : D9;
+ 35E0 : FD;
+ 35E1 : 5D;
+ 35E2 : C9;
+ 35E3 : 1C;
+ 35E4 : CB;
+ 35E5 : 09;
+ 35E6 : FD;
+ 35E7 : 69;
+ 35E8 : D9;
+ 35E9 : FD;
+ 35EA : 5D;
+ 35EB : C9;
+ 35EC : 1C;
+ 35ED : CB;
+ 35EE : 39;
+ 35EF : FD;
+ 35F0 : 69;
+ 35F1 : D9;
+ 35F2 : FD;
+ 35F3 : 5D;
+ 35F4 : C9;
+ 35F5 : CB;
+ 35F6 : 39;
+ 35F7 : FD;
+ 35F8 : 69;
+ 35F9 : D9;
+ 35FA : FD;
+ 35FB : 5D;
+ 35FC : 16;
+ 35FD : 04;
+ 35FE : C9;
+ 35FF : 1C;
+ 3600 : CB;
+ 3601 : 39;
+ 3602 : FD;
+ 3603 : 69;
+ 3604 : D9;
+ 3605 : FD;
+ 3606 : 5D;
+ 3607 : 16;
+ 3608 : 03;
+ 3609 : C9;
+ 360A : CB;
+ 360B : 39;
+ 360C : FD;
+ 360D : 69;
+ 360E : D9;
+ 360F : FD;
+ 3610 : 5D;
+ 3611 : 16;
+ 3612 : 02;
+ 3613 : C9;
+ 3614 : CB;
+ 3615 : 39;
+ 3616 : FD;
+ 3617 : 69;
+ 3618 : D9;
+ 3619 : FD;
+ 361A : 5D;
+ 361B : 16;
+ 361C : 01;
+ 361D : C9;
+ 361E : CB;
+ 361F : 39;
+ 3620 : FD;
+ 3621 : 69;
+ 3622 : D9;
+ 3623 : FD;
+ 3624 : 5D;
+ 3625 : 16;
+ 3626 : 00;
+ 3627 : C9;
+ 3628 : 51;
+ 3629 : D5;
+ 362A : 50;
+ 362B : D9;
+ 362C : C1;
+ 362D : CB;
+ 362E : 20;
+ 362F : DA;
+ 3630 : 34;
+ 3631 : 37;
+ 3632 : CB;
+ 3633 : 31;
+ 3634 : DA;
+ 3635 : D5;
+ 3636 : 36;
+ 3637 : C3;
+ 3638 : 97;
+ 3639 : 36;
+ 363A : CB;
+ 363B : 39;
+ 363C : FD;
+ 363D : 69;
+ 363E : D9;
+ 363F : FD;
+ 3640 : 5D;
+ 3641 : 16;
+ 3642 : 04;
+ 3643 : C9;
+ 3644 : CB;
+ 3645 : 39;
+ 3646 : FD;
+ 3647 : 69;
+ 3648 : D9;
+ 3649 : FD;
+ 364A : 5D;
+ 364B : 16;
+ 364C : 03;
+ 364D : C9;
+ 364E : CB;
+ 364F : 39;
+ 3650 : FD;
+ 3651 : 69;
+ 3652 : D9;
+ 3653 : FD;
+ 3654 : 5D;
+ 3655 : 16;
+ 3656 : 02;
+ 3657 : C9;
+ 3658 : CB;
+ 3659 : 39;
+ 365A : FD;
+ 365B : 69;
+ 365C : D9;
+ 365D : FD;
+ 365E : 5D;
+ 365F : 16;
+ 3660 : 01;
+ 3661 : C9;
+ 3662 : CB;
+ 3663 : 39;
+ 3664 : FD;
+ 3665 : 69;
+ 3666 : D9;
+ 3667 : FD;
+ 3668 : 5D;
+ 3669 : 16;
+ 366A : 00;
+ 366B : C9;
+ 366C : 28;
+ 366D : 75;
+ 366E : 1C;
+ 366F : 28;
+ 3670 : 73;
+ 3671 : FD;
+ 3672 : 6F;
+ 3673 : 86;
+ 3674 : 1F;
+ 3675 : FD;
+ 3676 : 67;
+ 3677 : FD;
+ 3678 : 85;
+ 3679 : 1F;
+ 367A : 12;
+ 367B : 1C;
+ 367C : 28;
+ 367D : BC;
+ 367E : FD;
+ 367F : 84;
+ 3680 : 1F;
+ 3681 : 12;
+ 3682 : 1C;
+ 3683 : 28;
+ 3684 : BF;
+ 3685 : FD;
+ 3686 : 7C;
+ 3687 : 12;
+ 3688 : 1C;
+ 3689 : 28;
+ 368A : C3;
+ 368B : 86;
+ 368C : 1F;
+ 368D : 12;
+ 368E : 1C;
+ 368F : 28;
+ 3690 : C7;
+ 3691 : 86;
+ 3692 : 1F;
+ 3693 : 12;
+ 3694 : 1C;
+ 3695 : 28;
+ 3696 : CB;
+ 3697 : 79;
+ 3698 : 80;
+ 3699 : 4F;
+ 369A : 7E;
+ 369B : 23;
+ 369C : DD;
+ 369D : 2D;
+ 369E : 12;
+ 369F : D2;
+ 36A0 : 6C;
+ 36A1 : 36;
+ 36A2 : 28;
+ 36A3 : 4A;
+ 36A4 : 1C;
+ 36A5 : 28;
+ 36A6 : 48;
+ 36A7 : 12;
+ 36A8 : 1D;
+ 36A9 : 1C;
+ 36AA : 28;
+ 36AB : 4B;
+ 36AC : 1C;
+ 36AD : 28;
+ 36AE : 49;
+ 36AF : FD;
+ 36B0 : 6F;
+ 36B1 : 86;
+ 36B2 : 1F;
+ 36B3 : FD;
+ 36B4 : 67;
+ 36B5 : FD;
+ 36B6 : 85;
+ 36B7 : 1F;
+ 36B8 : 12;
+ 36B9 : 1C;
+ 36BA : 28;
+ 36BB : 46;
+ 36BC : FD;
+ 36BD : 84;
+ 36BE : 1F;
+ 36BF : 12;
+ 36C0 : 1C;
+ 36C1 : 28;
+ 36C2 : 49;
+ 36C3 : FD;
+ 36C4 : 7C;
+ 36C5 : 12;
+ 36C6 : 1C;
+ 36C7 : 28;
+ 36C8 : 4D;
+ 36C9 : 86;
+ 36CA : 1F;
+ 36CB : 12;
+ 36CC : 1C;
+ 36CD : 28;
+ 36CE : 51;
+ 36CF : 86;
+ 36D0 : 1F;
+ 36D1 : 12;
+ 36D2 : 1C;
+ 36D3 : 28;
+ 36D4 : 55;
+ 36D5 : 79;
+ 36D6 : 80;
+ 36D7 : 4F;
+ 36D8 : 7E;
+ 36D9 : 23;
+ 36DA : DD;
+ 36DB : 2D;
+ 36DC : 12;
+ 36DD : D2;
+ 36DE : AA;
+ 36DF : 36;
+ 36E0 : C2;
+ 36E1 : 6E;
+ 36E2 : 36;
+ 36E3 : 1C;
+ 36E4 : CB;
+ 36E5 : 39;
+ 36E6 : FD;
+ 36E7 : 69;
+ 36E8 : D9;
+ 36E9 : FD;
+ 36EA : 5D;
+ 36EB : 16;
+ 36EC : 05;
+ 36ED : C9;
+ 36EE : 1C;
+ 36EF : CB;
+ 36F0 : 09;
+ 36F1 : FD;
+ 36F2 : 69;
+ 36F3 : D9;
+ 36F4 : FD;
+ 36F5 : 5D;
+ 36F6 : C9;
+ 36F7 : 1C;
+ 36F8 : CB;
+ 36F9 : 09;
+ 36FA : FD;
+ 36FB : 69;
+ 36FC : D9;
+ 36FD : FD;
+ 36FE : 5D;
+ 36FF : 16;
+ 3700 : 05;
+ 3701 : C9;
+ 3702 : CB;
+ 3703 : 09;
+ 3704 : FD;
+ 3705 : 69;
+ 3706 : D9;
+ 3707 : FD;
+ 3708 : 5D;
+ 3709 : 16;
+ 370A : 04;
+ 370B : C9;
+ 370C : CB;
+ 370D : 09;
+ 370E : FD;
+ 370F : 69;
+ 3710 : D9;
+ 3711 : FD;
+ 3712 : 5D;
+ 3713 : 16;
+ 3714 : 03;
+ 3715 : C9;
+ 3716 : CB;
+ 3717 : 09;
+ 3718 : FD;
+ 3719 : 69;
+ 371A : D9;
+ 371B : FD;
+ 371C : 5D;
+ 371D : 16;
+ 371E : 02;
+ 371F : C9;
+ 3720 : CB;
+ 3721 : 09;
+ 3722 : FD;
+ 3723 : 69;
+ 3724 : D9;
+ 3725 : FD;
+ 3726 : 5D;
+ 3727 : 16;
+ 3728 : 01;
+ 3729 : C9;
+ 372A : CB;
+ 372B : 09;
+ 372C : FD;
+ 372D : 69;
+ 372E : D9;
+ 372F : FD;
+ 3730 : 5D;
+ 3731 : 16;
+ 3732 : 00;
+ 3733 : C9;
+ 3734 : CB;
+ 3735 : 31;
+ 3736 : D2;
+ 3737 : EF;
+ 3738 : 37;
+ 3739 : C3;
+ 373A : B0;
+ 373B : 37;
+ 373C : 1C;
+ 373D : CB;
+ 373E : 09;
+ 373F : FD;
+ 3740 : 69;
+ 3741 : D9;
+ 3742 : FD;
+ 3743 : 5D;
+ 3744 : C9;
+ 3745 : CB;
+ 3746 : 09;
+ 3747 : FD;
+ 3748 : 69;
+ 3749 : D9;
+ 374A : FD;
+ 374B : 5D;
+ 374C : 16;
+ 374D : 05;
+ 374E : C9;
+ 374F : CB;
+ 3750 : 09;
+ 3751 : FD;
+ 3752 : 69;
+ 3753 : D9;
+ 3754 : FD;
+ 3755 : 5D;
+ 3756 : 16;
+ 3757 : 04;
+ 3758 : C9;
+ 3759 : CB;
+ 375A : 09;
+ 375B : FD;
+ 375C : 69;
+ 375D : D9;
+ 375E : FD;
+ 375F : 5D;
+ 3760 : 16;
+ 3761 : 03;
+ 3762 : C9;
+ 3763 : CB;
+ 3764 : 09;
+ 3765 : FD;
+ 3766 : 69;
+ 3767 : D9;
+ 3768 : FD;
+ 3769 : 5D;
+ 376A : 16;
+ 376B : 02;
+ 376C : C9;
+ 376D : CB;
+ 376E : 09;
+ 376F : FD;
+ 3770 : 69;
+ 3771 : D9;
+ 3772 : FD;
+ 3773 : 5D;
+ 3774 : 16;
+ 3775 : 01;
+ 3776 : C9;
+ 3777 : CB;
+ 3778 : 09;
+ 3779 : FD;
+ 377A : 69;
+ 377B : D9;
+ 377C : FD;
+ 377D : 5D;
+ 377E : 16;
+ 377F : 00;
+ 3780 : C9;
+ 3781 : 28;
+ 3782 : B9;
+ 3783 : 1C;
+ 3784 : 28;
+ 3785 : B7;
+ 3786 : 12;
+ 3787 : 1C;
+ 3788 : 28;
+ 3789 : BB;
+ 378A : FD;
+ 378B : 6F;
+ 378C : 86;
+ 378D : 1F;
+ 378E : FD;
+ 378F : 67;
+ 3790 : FD;
+ 3791 : 85;
+ 3792 : 1F;
+ 3793 : 12;
+ 3794 : 1C;
+ 3795 : 28;
+ 3796 : B8;
+ 3797 : FD;
+ 3798 : 84;
+ 3799 : 1F;
+ 379A : 12;
+ 379B : 1C;
+ 379C : 28;
+ 379D : BB;
+ 379E : FD;
+ 379F : 7C;
+ 37A0 : 12;
+ 37A1 : 1C;
+ 37A2 : 28;
+ 37A3 : BF;
+ 37A4 : 86;
+ 37A5 : 1F;
+ 37A6 : 12;
+ 37A7 : 1C;
+ 37A8 : 28;
+ 37A9 : C3;
+ 37AA : 86;
+ 37AB : 1F;
+ 37AC : 12;
+ 37AD : 1C;
+ 37AE : 28;
+ 37AF : C7;
+ 37B0 : 79;
+ 37B1 : 80;
+ 37B2 : 4F;
+ 37B3 : 7E;
+ 37B4 : 23;
+ 37B5 : DD;
+ 37B6 : 2D;
+ 37B7 : 12;
+ 37B8 : DA;
+ 37B9 : 81;
+ 37BA : 37;
+ 37BB : 28;
+ 37BC : 67;
+ 37BD : C3;
+ 37BE : C6;
+ 37BF : 37;
+ 37C0 : 28;
+ 37C1 : 4F;
+ 37C2 : 1C;
+ 37C3 : 28;
+ 37C4 : 4D;
+ 37C5 : 12;
+ 37C6 : 1C;
+ 37C7 : 28;
+ 37C8 : 51;
+ 37C9 : FD;
+ 37CA : 6F;
+ 37CB : 86;
+ 37CC : 1F;
+ 37CD : FD;
+ 37CE : 67;
+ 37CF : FD;
+ 37D0 : 85;
+ 37D1 : 1F;
+ 37D2 : 12;
+ 37D3 : 1C;
+ 37D4 : 28;
+ 37D5 : 4F;
+ 37D6 : FD;
+ 37D7 : 84;
+ 37D8 : 1F;
+ 37D9 : 12;
+ 37DA : 1C;
+ 37DB : 28;
+ 37DC : 52;
+ 37DD : FD;
+ 37DE : 7C;
+ 37DF : 12;
+ 37E0 : 1C;
+ 37E1 : 28;
+ 37E2 : 56;
+ 37E3 : 86;
+ 37E4 : 1F;
+ 37E5 : 12;
+ 37E6 : 1C;
+ 37E7 : 28;
+ 37E8 : 5A;
+ 37E9 : 86;
+ 37EA : 1F;
+ 37EB : 12;
+ 37EC : 1C;
+ 37ED : 28;
+ 37EE : 5E;
+ 37EF : 79;
+ 37F0 : 80;
+ 37F1 : 4F;
+ 37F2 : 7E;
+ 37F3 : 23;
+ 37F4 : DD;
+ 37F5 : 2D;
+ 37F6 : 12;
+ 37F7 : DA;
+ 37F8 : C0;
+ 37F9 : 37;
+ 37FA : 28;
+ 37FB : 0C;
+ 37FC : 1C;
+ 37FD : C2;
+ 37FE : 86;
+ 37FF : 37;
+ 3800 : CB;
+ 3801 : 09;
+ 3802 : FD;
+ 3803 : 69;
+ 3804 : D9;
+ 3805 : FD;
+ 3806 : 5D;
+ 3807 : C9;
+ 3808 : 1C;
+ 3809 : CB;
+ 380A : 09;
+ 380B : FD;
+ 380C : 69;
+ 380D : D9;
+ 380E : FD;
+ 380F : 5D;
+ 3810 : C9;
+ 3811 : 1C;
+ 3812 : CB;
+ 3813 : 39;
+ 3814 : FD;
+ 3815 : 69;
+ 3816 : D9;
+ 3817 : FD;
+ 3818 : 5D;
+ 3819 : C9;
+ 381A : CB;
+ 381B : 39;
+ 381C : FD;
+ 381D : 69;
+ 381E : D9;
+ 381F : FD;
+ 3820 : 5D;
+ 3821 : 16;
+ 3822 : 05;
+ 3823 : C9;
+ 3824 : 1C;
+ 3825 : CB;
+ 3826 : 39;
+ 3827 : FD;
+ 3828 : 69;
+ 3829 : D9;
+ 382A : FD;
+ 382B : 5D;
+ 382C : 16;
+ 382D : 04;
+ 382E : C9;
+ 382F : CB;
+ 3830 : 39;
+ 3831 : FD;
+ 3832 : 69;
+ 3833 : D9;
+ 3834 : FD;
+ 3835 : 5D;
+ 3836 : 16;
+ 3837 : 03;
+ 3838 : C9;
+ 3839 : CB;
+ 383A : 39;
+ 383B : FD;
+ 383C : 69;
+ 383D : D9;
+ 383E : FD;
+ 383F : 5D;
+ 3840 : 16;
+ 3841 : 02;
+ 3842 : C9;
+ 3843 : CB;
+ 3844 : 39;
+ 3845 : FD;
+ 3846 : 69;
+ 3847 : D9;
+ 3848 : FD;
+ 3849 : 5D;
+ 384A : 16;
+ 384B : 01;
+ 384C : C9;
+ 384D : CB;
+ 384E : 39;
+ 384F : FD;
+ 3850 : 69;
+ 3851 : D9;
+ 3852 : FD;
+ 3853 : 5D;
+ 3854 : 16;
+ 3855 : 00;
+ 3856 : C9;
+ 3857 : 51;
+ 3858 : D5;
+ 3859 : 50;
+ 385A : D9;
+ 385B : C1;
+ 385C : CB;
+ 385D : 20;
+ 385E : DA;
+ 385F : 7F;
+ 3860 : 39;
+ 3861 : CB;
+ 3862 : 31;
+ 3863 : DA;
+ 3864 : 16;
+ 3865 : 39;
+ 3866 : C3;
+ 3867 : D4;
+ 3868 : 38;
+ 3869 : CB;
+ 386A : 39;
+ 386B : FD;
+ 386C : 69;
+ 386D : D9;
+ 386E : FD;
+ 386F : 5D;
+ 3870 : 16;
+ 3871 : 05;
+ 3872 : C9;
+ 3873 : CB;
+ 3874 : 39;
+ 3875 : FD;
+ 3876 : 69;
+ 3877 : D9;
+ 3878 : FD;
+ 3879 : 5D;
+ 387A : 16;
+ 387B : 04;
+ 387C : C9;
+ 387D : CB;
+ 387E : 39;
+ 387F : FD;
+ 3880 : 69;
+ 3881 : D9;
+ 3882 : FD;
+ 3883 : 5D;
+ 3884 : 16;
+ 3885 : 03;
+ 3886 : C9;
+ 3887 : CB;
+ 3888 : 39;
+ 3889 : FD;
+ 388A : 69;
+ 388B : D9;
+ 388C : FD;
+ 388D : 5D;
+ 388E : 16;
+ 388F : 02;
+ 3890 : C9;
+ 3891 : CB;
+ 3892 : 39;
+ 3893 : FD;
+ 3894 : 69;
+ 3895 : D9;
+ 3896 : FD;
+ 3897 : 5D;
+ 3898 : 16;
+ 3899 : 01;
+ 389A : C9;
+ 389B : CB;
+ 389C : 39;
+ 389D : FD;
+ 389E : 69;
+ 389F : D9;
+ 38A0 : FD;
+ 38A1 : 5D;
+ 38A2 : 16;
+ 38A3 : 00;
+ 38A4 : C9;
+ 38A5 : 28;
+ 38A6 : 7D;
+ 38A7 : 1C;
+ 38A8 : 28;
+ 38A9 : 7B;
+ 38AA : 12;
+ 38AB : 1C;
+ 38AC : 28;
+ 38AD : BB;
+ 38AE : FD;
+ 38AF : 6F;
+ 38B0 : 86;
+ 38B1 : 1F;
+ 38B2 : FD;
+ 38B3 : 67;
+ 38B4 : FD;
+ 38B5 : 85;
+ 38B6 : 1F;
+ 38B7 : 12;
+ 38B8 : 1C;
+ 38B9 : 28;
+ 38BA : B8;
+ 38BB : FD;
+ 38BC : 84;
+ 38BD : 1F;
+ 38BE : 12;
+ 38BF : 1C;
+ 38C0 : 28;
+ 38C1 : BB;
+ 38C2 : FD;
+ 38C3 : 7C;
+ 38C4 : 12;
+ 38C5 : 1C;
+ 38C6 : 28;
+ 38C7 : BF;
+ 38C8 : 86;
+ 38C9 : 1F;
+ 38CA : 12;
+ 38CB : 1C;
+ 38CC : 28;
+ 38CD : C3;
+ 38CE : 86;
+ 38CF : 1F;
+ 38D0 : 12;
+ 38D1 : 1C;
+ 38D2 : 28;
+ 38D3 : C7;
+ 38D4 : 79;
+ 38D5 : 80;
+ 38D6 : 4F;
+ 38D7 : 7E;
+ 38D8 : 23;
+ 38D9 : DD;
+ 38DA : 2D;
+ 38DB : 12;
+ 38DC : D2;
+ 38DD : A5;
+ 38DE : 38;
+ 38DF : 28;
+ 38E0 : 4E;
+ 38E1 : 1C;
+ 38E2 : 28;
+ 38E3 : 4C;
+ 38E4 : 12;
+ 38E5 : 1D;
+ 38E6 : 1C;
+ 38E7 : 28;
+ 38E8 : 4F;
+ 38E9 : 1C;
+ 38EA : 28;
+ 38EB : 4D;
+ 38EC : 12;
+ 38ED : 1C;
+ 38EE : 28;
+ 38EF : 53;
+ 38F0 : FD;
+ 38F1 : 6F;
+ 38F2 : 86;
+ 38F3 : 1F;
+ 38F4 : FD;
+ 38F5 : 67;
+ 38F6 : FD;
+ 38F7 : 85;
+ 38F8 : 1F;
+ 38F9 : 12;
+ 38FA : 1C;
+ 38FB : 28;
+ 38FC : 50;
+ 38FD : FD;
+ 38FE : 84;
+ 38FF : 1F;
+ 3900 : 12;
+ 3901 : 1C;
+ 3902 : 28;
+ 3903 : 53;
+ 3904 : FD;
+ 3905 : 7C;
+ 3906 : 12;
+ 3907 : 1C;
+ 3908 : 28;
+ 3909 : 57;
+ 390A : 86;
+ 390B : 1F;
+ 390C : 12;
+ 390D : 1C;
+ 390E : 28;
+ 390F : 5B;
+ 3910 : 86;
+ 3911 : 1F;
+ 3912 : 12;
+ 3913 : 1C;
+ 3914 : 28;
+ 3915 : 5F;
+ 3916 : 79;
+ 3917 : 80;
+ 3918 : 4F;
+ 3919 : 7E;
+ 391A : 23;
+ 391B : DD;
+ 391C : 2D;
+ 391D : 12;
+ 391E : D2;
+ 391F : E7;
+ 3920 : 38;
+ 3921 : C2;
+ 3922 : A7;
+ 3923 : 38;
+ 3924 : 1C;
+ 3925 : CB;
+ 3926 : 39;
+ 3927 : FD;
+ 3928 : 69;
+ 3929 : D9;
+ 392A : FD;
+ 392B : 5D;
+ 392C : 16;
+ 392D : 06;
+ 392E : C9;
+ 392F : 1C;
+ 3930 : CB;
+ 3931 : 09;
+ 3932 : FD;
+ 3933 : 69;
+ 3934 : D9;
+ 3935 : FD;
+ 3936 : 5D;
+ 3937 : C9;
+ 3938 : 1C;
+ 3939 : CB;
+ 393A : 09;
+ 393B : FD;
+ 393C : 69;
+ 393D : D9;
+ 393E : FD;
+ 393F : 5D;
+ 3940 : 16;
+ 3941 : 06;
+ 3942 : C9;
+ 3943 : CB;
+ 3944 : 09;
+ 3945 : FD;
+ 3946 : 69;
+ 3947 : D9;
+ 3948 : FD;
+ 3949 : 5D;
+ 394A : 16;
+ 394B : 05;
+ 394C : C9;
+ 394D : CB;
+ 394E : 09;
+ 394F : FD;
+ 3950 : 69;
+ 3951 : D9;
+ 3952 : FD;
+ 3953 : 5D;
+ 3954 : 16;
+ 3955 : 04;
+ 3956 : C9;
+ 3957 : CB;
+ 3958 : 09;
+ 3959 : FD;
+ 395A : 69;
+ 395B : D9;
+ 395C : FD;
+ 395D : 5D;
+ 395E : 16;
+ 395F : 03;
+ 3960 : C9;
+ 3961 : CB;
+ 3962 : 09;
+ 3963 : FD;
+ 3964 : 69;
+ 3965 : D9;
+ 3966 : FD;
+ 3967 : 5D;
+ 3968 : 16;
+ 3969 : 02;
+ 396A : C9;
+ 396B : CB;
+ 396C : 09;
+ 396D : FD;
+ 396E : 69;
+ 396F : D9;
+ 3970 : FD;
+ 3971 : 5D;
+ 3972 : 16;
+ 3973 : 01;
+ 3974 : C9;
+ 3975 : CB;
+ 3976 : 09;
+ 3977 : FD;
+ 3978 : 69;
+ 3979 : D9;
+ 397A : FD;
+ 397B : 5D;
+ 397C : 16;
+ 397D : 00;
+ 397E : C9;
+ 397F : CB;
+ 3980 : 31;
+ 3981 : D2;
+ 3982 : 4C;
+ 3983 : 3A;
+ 3984 : C3;
+ 3985 : 09;
+ 3986 : 3A;
+ 3987 : 1C;
+ 3988 : CB;
+ 3989 : 09;
+ 398A : FD;
+ 398B : 69;
+ 398C : D9;
+ 398D : FD;
+ 398E : 5D;
+ 398F : C9;
+ 3990 : CB;
+ 3991 : 09;
+ 3992 : FD;
+ 3993 : 69;
+ 3994 : D9;
+ 3995 : FD;
+ 3996 : 5D;
+ 3997 : 16;
+ 3998 : 06;
+ 3999 : C9;
+ 399A : CB;
+ 399B : 09;
+ 399C : FD;
+ 399D : 69;
+ 399E : D9;
+ 399F : FD;
+ 39A0 : 5D;
+ 39A1 : 16;
+ 39A2 : 05;
+ 39A3 : C9;
+ 39A4 : CB;
+ 39A5 : 09;
+ 39A6 : FD;
+ 39A7 : 69;
+ 39A8 : D9;
+ 39A9 : FD;
+ 39AA : 5D;
+ 39AB : 16;
+ 39AC : 04;
+ 39AD : C9;
+ 39AE : CB;
+ 39AF : 09;
+ 39B0 : FD;
+ 39B1 : 69;
+ 39B2 : D9;
+ 39B3 : FD;
+ 39B4 : 5D;
+ 39B5 : 16;
+ 39B6 : 03;
+ 39B7 : C9;
+ 39B8 : CB;
+ 39B9 : 09;
+ 39BA : FD;
+ 39BB : 69;
+ 39BC : D9;
+ 39BD : FD;
+ 39BE : 5D;
+ 39BF : 16;
+ 39C0 : 02;
+ 39C1 : C9;
+ 39C2 : CB;
+ 39C3 : 09;
+ 39C4 : FD;
+ 39C5 : 69;
+ 39C6 : D9;
+ 39C7 : FD;
+ 39C8 : 5D;
+ 39C9 : 16;
+ 39CA : 01;
+ 39CB : C9;
+ 39CC : CB;
+ 39CD : 09;
+ 39CE : FD;
+ 39CF : 69;
+ 39D0 : D9;
+ 39D1 : FD;
+ 39D2 : 5D;
+ 39D3 : 16;
+ 39D4 : 00;
+ 39D5 : C9;
+ 39D6 : 28;
+ 39D7 : AF;
+ 39D8 : 1C;
+ 39D9 : 28;
+ 39DA : AD;
+ 39DB : 12;
+ 39DC : 1C;
+ 39DD : 28;
+ 39DE : B1;
+ 39DF : FD;
+ 39E0 : 6F;
+ 39E1 : 86;
+ 39E2 : 1F;
+ 39E3 : FD;
+ 39E4 : 67;
+ 39E5 : FD;
+ 39E6 : 85;
+ 39E7 : 1F;
+ 39E8 : 12;
+ 39E9 : 1C;
+ 39EA : 28;
+ 39EB : AE;
+ 39EC : FD;
+ 39ED : 84;
+ 39EE : 1F;
+ 39EF : 12;
+ 39F0 : 1C;
+ 39F1 : 28;
+ 39F2 : B1;
+ 39F3 : FD;
+ 39F4 : 7C;
+ 39F5 : 12;
+ 39F6 : 1C;
+ 39F7 : 28;
+ 39F8 : B5;
+ 39F9 : 12;
+ 39FA : 1C;
+ 39FB : 28;
+ 39FC : BB;
+ 39FD : 86;
+ 39FE : 1F;
+ 39FF : 12;
+ 3A00 : 1C;
+ 3A01 : 28;
+ 3A02 : BF;
+ 3A03 : 86;
+ 3A04 : 1F;
+ 3A05 : 12;
+ 3A06 : 1C;
+ 3A07 : 28;
+ 3A08 : C3;
+ 3A09 : 79;
+ 3A0A : 80;
+ 3A0B : 4F;
+ 3A0C : 7E;
+ 3A0D : 23;
+ 3A0E : DD;
+ 3A0F : 2D;
+ 3A10 : 12;
+ 3A11 : DA;
+ 3A12 : D6;
+ 3A13 : 39;
+ 3A14 : 28;
+ 3A15 : 6B;
+ 3A16 : C3;
+ 3A17 : 1F;
+ 3A18 : 3A;
+ 3A19 : 28;
+ 3A1A : 53;
+ 3A1B : 1C;
+ 3A1C : 28;
+ 3A1D : 51;
+ 3A1E : 12;
+ 3A1F : 1C;
+ 3A20 : 28;
+ 3A21 : 55;
+ 3A22 : FD;
+ 3A23 : 6F;
+ 3A24 : 86;
+ 3A25 : 1F;
+ 3A26 : FD;
+ 3A27 : 67;
+ 3A28 : FD;
+ 3A29 : 85;
+ 3A2A : 1F;
+ 3A2B : 12;
+ 3A2C : 1C;
+ 3A2D : 28;
+ 3A2E : 53;
+ 3A2F : FD;
+ 3A30 : 84;
+ 3A31 : 1F;
+ 3A32 : 12;
+ 3A33 : 1C;
+ 3A34 : 28;
+ 3A35 : 56;
+ 3A36 : FD;
+ 3A37 : 7C;
+ 3A38 : 12;
+ 3A39 : 1C;
+ 3A3A : 28;
+ 3A3B : 5A;
+ 3A3C : 12;
+ 3A3D : 1C;
+ 3A3E : 28;
+ 3A3F : 60;
+ 3A40 : 86;
+ 3A41 : 1F;
+ 3A42 : 12;
+ 3A43 : 1C;
+ 3A44 : 28;
+ 3A45 : 64;
+ 3A46 : 86;
+ 3A47 : 1F;
+ 3A48 : 12;
+ 3A49 : 1C;
+ 3A4A : 28;
+ 3A4B : 68;
+ 3A4C : 79;
+ 3A4D : 80;
+ 3A4E : 4F;
+ 3A4F : 7E;
+ 3A50 : 23;
+ 3A51 : DD;
+ 3A52 : 2D;
+ 3A53 : 12;
+ 3A54 : DA;
+ 3A55 : 19;
+ 3A56 : 3A;
+ 3A57 : 28;
+ 3A58 : 0C;
+ 3A59 : 1C;
+ 3A5A : C2;
+ 3A5B : DB;
+ 3A5C : 39;
+ 3A5D : CB;
+ 3A5E : 09;
+ 3A5F : FD;
+ 3A60 : 69;
+ 3A61 : D9;
+ 3A62 : FD;
+ 3A63 : 5D;
+ 3A64 : C9;
+ 3A65 : 1C;
+ 3A66 : CB;
+ 3A67 : 09;
+ 3A68 : FD;
+ 3A69 : 69;
+ 3A6A : D9;
+ 3A6B : FD;
+ 3A6C : 5D;
+ 3A6D : C9;
+ 3A6E : 1C;
+ 3A6F : CB;
+ 3A70 : 39;
+ 3A71 : FD;
+ 3A72 : 69;
+ 3A73 : D9;
+ 3A74 : FD;
+ 3A75 : 5D;
+ 3A76 : C9;
+ 3A77 : CB;
+ 3A78 : 39;
+ 3A79 : FD;
+ 3A7A : 69;
+ 3A7B : D9;
+ 3A7C : FD;
+ 3A7D : 5D;
+ 3A7E : 16;
+ 3A7F : 06;
+ 3A80 : C9;
+ 3A81 : 1C;
+ 3A82 : CB;
+ 3A83 : 39;
+ 3A84 : FD;
+ 3A85 : 69;
+ 3A86 : D9;
+ 3A87 : FD;
+ 3A88 : 5D;
+ 3A89 : 16;
+ 3A8A : 05;
+ 3A8B : C9;
+ 3A8C : CB;
+ 3A8D : 39;
+ 3A8E : FD;
+ 3A8F : 69;
+ 3A90 : D9;
+ 3A91 : FD;
+ 3A92 : 5D;
+ 3A93 : 16;
+ 3A94 : 04;
+ 3A95 : C9;
+ 3A96 : CB;
+ 3A97 : 39;
+ 3A98 : FD;
+ 3A99 : 69;
+ 3A9A : D9;
+ 3A9B : FD;
+ 3A9C : 5D;
+ 3A9D : 16;
+ 3A9E : 03;
+ 3A9F : C9;
+ 3AA0 : CB;
+ 3AA1 : 39;
+ 3AA2 : FD;
+ 3AA3 : 69;
+ 3AA4 : D9;
+ 3AA5 : FD;
+ 3AA6 : 5D;
+ 3AA7 : 16;
+ 3AA8 : 02;
+ 3AA9 : C9;
+ 3AAA : CB;
+ 3AAB : 39;
+ 3AAC : FD;
+ 3AAD : 69;
+ 3AAE : D9;
+ 3AAF : FD;
+ 3AB0 : 5D;
+ 3AB1 : 16;
+ 3AB2 : 01;
+ 3AB3 : C9;
+ 3AB4 : CB;
+ 3AB5 : 39;
+ 3AB6 : FD;
+ 3AB7 : 69;
+ 3AB8 : D9;
+ 3AB9 : FD;
+ 3ABA : 5D;
+ 3ABB : 16;
+ 3ABC : 00;
+ 3ABD : C9;
+ 3ABE : 51;
+ 3ABF : D5;
+ 3AC0 : 50;
+ 3AC1 : D9;
+ 3AC2 : C1;
+ 3AC3 : CB;
+ 3AC4 : 20;
+ 3AC5 : DA;
+ 3AC6 : 04;
+ 3AC7 : 3C;
+ 3AC8 : CB;
+ 3AC9 : 31;
+ 3ACA : DA;
+ 3ACB : 91;
+ 3ACC : 3B;
+ 3ACD : C3;
+ 3ACE : 4B;
+ 3ACF : 3B;
+ 3AD0 : CB;
+ 3AD1 : 39;
+ 3AD2 : FD;
+ 3AD3 : 69;
+ 3AD4 : D9;
+ 3AD5 : FD;
+ 3AD6 : 5D;
+ 3AD7 : 16;
+ 3AD8 : 06;
+ 3AD9 : C9;
+ 3ADA : CB;
+ 3ADB : 39;
+ 3ADC : FD;
+ 3ADD : 69;
+ 3ADE : D9;
+ 3ADF : FD;
+ 3AE0 : 5D;
+ 3AE1 : 16;
+ 3AE2 : 05;
+ 3AE3 : C9;
+ 3AE4 : CB;
+ 3AE5 : 39;
+ 3AE6 : FD;
+ 3AE7 : 69;
+ 3AE8 : D9;
+ 3AE9 : FD;
+ 3AEA : 5D;
+ 3AEB : 16;
+ 3AEC : 04;
+ 3AED : C9;
+ 3AEE : CB;
+ 3AEF : 39;
+ 3AF0 : FD;
+ 3AF1 : 69;
+ 3AF2 : D9;
+ 3AF3 : FD;
+ 3AF4 : 5D;
+ 3AF5 : 16;
+ 3AF6 : 03;
+ 3AF7 : C9;
+ 3AF8 : CB;
+ 3AF9 : 39;
+ 3AFA : FD;
+ 3AFB : 69;
+ 3AFC : D9;
+ 3AFD : FD;
+ 3AFE : 5D;
+ 3AFF : 16;
+ 3B00 : 02;
+ 3B01 : C9;
+ 3B02 : CB;
+ 3B03 : 39;
+ 3B04 : FD;
+ 3B05 : 69;
+ 3B06 : D9;
+ 3B07 : FD;
+ 3B08 : 5D;
+ 3B09 : 16;
+ 3B0A : 01;
+ 3B0B : C9;
+ 3B0C : CB;
+ 3B0D : 39;
+ 3B0E : FD;
+ 3B0F : 69;
+ 3B10 : D9;
+ 3B11 : FD;
+ 3B12 : 5D;
+ 3B13 : 16;
+ 3B14 : 00;
+ 3B15 : C9;
+ 3B16 : CA;
+ 3B17 : 9F;
+ 3B18 : 3B;
+ 3B19 : 1C;
+ 3B1A : CA;
+ 3B1B : A0;
+ 3B1C : 3B;
+ 3B1D : 12;
+ 3B1E : 1C;
+ 3B1F : 28;
+ 3B20 : AF;
+ 3B21 : FD;
+ 3B22 : 6F;
+ 3B23 : 86;
+ 3B24 : 1F;
+ 3B25 : FD;
+ 3B26 : 67;
+ 3B27 : FD;
+ 3B28 : 85;
+ 3B29 : 1F;
+ 3B2A : 12;
+ 3B2B : 1C;
+ 3B2C : 28;
+ 3B2D : AC;
+ 3B2E : FD;
+ 3B2F : 84;
+ 3B30 : 1F;
+ 3B31 : 12;
+ 3B32 : 1C;
+ 3B33 : 28;
+ 3B34 : AF;
+ 3B35 : FD;
+ 3B36 : 7C;
+ 3B37 : 12;
+ 3B38 : 1C;
+ 3B39 : 28;
+ 3B3A : B3;
+ 3B3B : 12;
+ 3B3C : 1C;
+ 3B3D : 28;
+ 3B3E : B9;
+ 3B3F : 86;
+ 3B40 : 1F;
+ 3B41 : 12;
+ 3B42 : 1C;
+ 3B43 : 28;
+ 3B44 : BD;
+ 3B45 : 86;
+ 3B46 : 1F;
+ 3B47 : 12;
+ 3B48 : 1C;
+ 3B49 : 28;
+ 3B4A : C1;
+ 3B4B : 79;
+ 3B4C : 80;
+ 3B4D : 4F;
+ 3B4E : 7E;
+ 3B4F : 23;
+ 3B50 : DD;
+ 3B51 : 2D;
+ 3B52 : 12;
+ 3B53 : D2;
+ 3B54 : 16;
+ 3B55 : 3B;
+ 3B56 : 28;
+ 3B57 : 52;
+ 3B58 : 1C;
+ 3B59 : 28;
+ 3B5A : 50;
+ 3B5B : 12;
+ 3B5C : 1D;
+ 3B5D : 1C;
+ 3B5E : 28;
+ 3B5F : 53;
+ 3B60 : 1C;
+ 3B61 : 28;
+ 3B62 : 51;
+ 3B63 : 12;
+ 3B64 : 1C;
+ 3B65 : 28;
+ 3B66 : 57;
+ 3B67 : FD;
+ 3B68 : 6F;
+ 3B69 : 86;
+ 3B6A : 1F;
+ 3B6B : FD;
+ 3B6C : 67;
+ 3B6D : FD;
+ 3B6E : 85;
+ 3B6F : 1F;
+ 3B70 : 12;
+ 3B71 : 1C;
+ 3B72 : 28;
+ 3B73 : 54;
+ 3B74 : FD;
+ 3B75 : 84;
+ 3B76 : 1F;
+ 3B77 : 12;
+ 3B78 : 1C;
+ 3B79 : 28;
+ 3B7A : 57;
+ 3B7B : FD;
+ 3B7C : 7C;
+ 3B7D : 12;
+ 3B7E : 1C;
+ 3B7F : 28;
+ 3B80 : 5B;
+ 3B81 : 12;
+ 3B82 : 1C;
+ 3B83 : 28;
+ 3B84 : 61;
+ 3B85 : 86;
+ 3B86 : 1F;
+ 3B87 : 12;
+ 3B88 : 1C;
+ 3B89 : 28;
+ 3B8A : 65;
+ 3B8B : 86;
+ 3B8C : 1F;
+ 3B8D : 12;
+ 3B8E : 1C;
+ 3B8F : 28;
+ 3B90 : 69;
+ 3B91 : 79;
+ 3B92 : 80;
+ 3B93 : 4F;
+ 3B94 : 7E;
+ 3B95 : 23;
+ 3B96 : DD;
+ 3B97 : 2D;
+ 3B98 : 12;
+ 3B99 : D2;
+ 3B9A : 5E;
+ 3B9B : 3B;
+ 3B9C : C2;
+ 3B9D : 19;
+ 3B9E : 3B;
+ 3B9F : 1C;
+ 3BA0 : CB;
+ 3BA1 : 39;
+ 3BA2 : FD;
+ 3BA3 : 69;
+ 3BA4 : D9;
+ 3BA5 : FD;
+ 3BA6 : 5D;
+ 3BA7 : 16;
+ 3BA8 : 07;
+ 3BA9 : C9;
+ 3BAA : 1C;
+ 3BAB : CB;
+ 3BAC : 09;
+ 3BAD : FD;
+ 3BAE : 69;
+ 3BAF : D9;
+ 3BB0 : FD;
+ 3BB1 : 5D;
+ 3BB2 : C9;
+ 3BB3 : 1C;
+ 3BB4 : CB;
+ 3BB5 : 09;
+ 3BB6 : FD;
+ 3BB7 : 69;
+ 3BB8 : D9;
+ 3BB9 : FD;
+ 3BBA : 5D;
+ 3BBB : 16;
+ 3BBC : 07;
+ 3BBD : C9;
+ 3BBE : CB;
+ 3BBF : 09;
+ 3BC0 : FD;
+ 3BC1 : 69;
+ 3BC2 : D9;
+ 3BC3 : FD;
+ 3BC4 : 5D;
+ 3BC5 : 16;
+ 3BC6 : 06;
+ 3BC7 : C9;
+ 3BC8 : CB;
+ 3BC9 : 09;
+ 3BCA : FD;
+ 3BCB : 69;
+ 3BCC : D9;
+ 3BCD : FD;
+ 3BCE : 5D;
+ 3BCF : 16;
+ 3BD0 : 05;
+ 3BD1 : C9;
+ 3BD2 : CB;
+ 3BD3 : 09;
+ 3BD4 : FD;
+ 3BD5 : 69;
+ 3BD6 : D9;
+ 3BD7 : FD;
+ 3BD8 : 5D;
+ 3BD9 : 16;
+ 3BDA : 04;
+ 3BDB : C9;
+ 3BDC : CB;
+ 3BDD : 09;
+ 3BDE : FD;
+ 3BDF : 69;
+ 3BE0 : D9;
+ 3BE1 : FD;
+ 3BE2 : 5D;
+ 3BE3 : 16;
+ 3BE4 : 03;
+ 3BE5 : C9;
+ 3BE6 : CB;
+ 3BE7 : 09;
+ 3BE8 : FD;
+ 3BE9 : 69;
+ 3BEA : D9;
+ 3BEB : FD;
+ 3BEC : 5D;
+ 3BED : 16;
+ 3BEE : 02;
+ 3BEF : C9;
+ 3BF0 : CB;
+ 3BF1 : 09;
+ 3BF2 : FD;
+ 3BF3 : 69;
+ 3BF4 : D9;
+ 3BF5 : FD;
+ 3BF6 : 5D;
+ 3BF7 : 16;
+ 3BF8 : 01;
+ 3BF9 : C9;
+ 3BFA : CB;
+ 3BFB : 09;
+ 3BFC : FD;
+ 3BFD : 69;
+ 3BFE : D9;
+ 3BFF : FD;
+ 3C00 : 5D;
+ 3C01 : 16;
+ 3C02 : 00;
+ 3C03 : C9;
+ 3C04 : CB;
+ 3C05 : 31;
+ 3C06 : D2;
+ 3C07 : E3;
+ 3C08 : 3C;
+ 3C09 : C3;
+ 3C0A : 9C;
+ 3C0B : 3C;
+ 3C0C : 1C;
+ 3C0D : CB;
+ 3C0E : 09;
+ 3C0F : FD;
+ 3C10 : 69;
+ 3C11 : D9;
+ 3C12 : FD;
+ 3C13 : 5D;
+ 3C14 : C9;
+ 3C15 : CB;
+ 3C16 : 09;
+ 3C17 : FD;
+ 3C18 : 69;
+ 3C19 : D9;
+ 3C1A : FD;
+ 3C1B : 5D;
+ 3C1C : 16;
+ 3C1D : 07;
+ 3C1E : C9;
+ 3C1F : CB;
+ 3C20 : 09;
+ 3C21 : FD;
+ 3C22 : 69;
+ 3C23 : D9;
+ 3C24 : FD;
+ 3C25 : 5D;
+ 3C26 : 16;
+ 3C27 : 06;
+ 3C28 : C9;
+ 3C29 : CB;
+ 3C2A : 09;
+ 3C2B : FD;
+ 3C2C : 69;
+ 3C2D : D9;
+ 3C2E : FD;
+ 3C2F : 5D;
+ 3C30 : 16;
+ 3C31 : 05;
+ 3C32 : C9;
+ 3C33 : CB;
+ 3C34 : 09;
+ 3C35 : FD;
+ 3C36 : 69;
+ 3C37 : D9;
+ 3C38 : FD;
+ 3C39 : 5D;
+ 3C3A : 16;
+ 3C3B : 04;
+ 3C3C : C9;
+ 3C3D : CB;
+ 3C3E : 09;
+ 3C3F : FD;
+ 3C40 : 69;
+ 3C41 : D9;
+ 3C42 : FD;
+ 3C43 : 5D;
+ 3C44 : 16;
+ 3C45 : 03;
+ 3C46 : C9;
+ 3C47 : CB;
+ 3C48 : 09;
+ 3C49 : FD;
+ 3C4A : 69;
+ 3C4B : D9;
+ 3C4C : FD;
+ 3C4D : 5D;
+ 3C4E : 16;
+ 3C4F : 02;
+ 3C50 : C9;
+ 3C51 : CB;
+ 3C52 : 09;
+ 3C53 : FD;
+ 3C54 : 69;
+ 3C55 : D9;
+ 3C56 : FD;
+ 3C57 : 5D;
+ 3C58 : 16;
+ 3C59 : 01;
+ 3C5A : C9;
+ 3C5B : CB;
+ 3C5C : 09;
+ 3C5D : FD;
+ 3C5E : 69;
+ 3C5F : D9;
+ 3C60 : FD;
+ 3C61 : 5D;
+ 3C62 : 16;
+ 3C63 : 00;
+ 3C64 : C9;
+ 3C65 : 28;
+ 3C66 : A5;
+ 3C67 : 1C;
+ 3C68 : 28;
+ 3C69 : A3;
+ 3C6A : 12;
+ 3C6B : 1C;
+ 3C6C : 28;
+ 3C6D : A7;
+ 3C6E : FD;
+ 3C6F : 6F;
+ 3C70 : 86;
+ 3C71 : 1F;
+ 3C72 : FD;
+ 3C73 : 67;
+ 3C74 : FD;
+ 3C75 : 85;
+ 3C76 : 1F;
+ 3C77 : 12;
+ 3C78 : 1C;
+ 3C79 : 28;
+ 3C7A : A4;
+ 3C7B : FD;
+ 3C7C : 84;
+ 3C7D : 1F;
+ 3C7E : 12;
+ 3C7F : 1C;
+ 3C80 : 28;
+ 3C81 : A7;
+ 3C82 : 12;
+ 3C83 : 1C;
+ 3C84 : 28;
+ 3C85 : AD;
+ 3C86 : FD;
+ 3C87 : 7C;
+ 3C88 : 12;
+ 3C89 : 1C;
+ 3C8A : 28;
+ 3C8B : B1;
+ 3C8C : 12;
+ 3C8D : 1C;
+ 3C8E : 28;
+ 3C8F : B7;
+ 3C90 : 86;
+ 3C91 : 1F;
+ 3C92 : 12;
+ 3C93 : 1C;
+ 3C94 : 28;
+ 3C95 : BB;
+ 3C96 : 86;
+ 3C97 : 1F;
+ 3C98 : 12;
+ 3C99 : 1C;
+ 3C9A : 28;
+ 3C9B : BF;
+ 3C9C : 79;
+ 3C9D : 80;
+ 3C9E : 4F;
+ 3C9F : 7E;
+ 3CA0 : 23;
+ 3CA1 : DD;
+ 3CA2 : 2D;
+ 3CA3 : 12;
+ 3CA4 : DA;
+ 3CA5 : 65;
+ 3CA6 : 3C;
+ 3CA7 : 28;
+ 3CA8 : 6F;
+ 3CA9 : C3;
+ 3CAA : B2;
+ 3CAB : 3C;
+ 3CAC : 28;
+ 3CAD : 57;
+ 3CAE : 1C;
+ 3CAF : 28;
+ 3CB0 : 55;
+ 3CB1 : 12;
+ 3CB2 : 1C;
+ 3CB3 : 28;
+ 3CB4 : 59;
+ 3CB5 : FD;
+ 3CB6 : 6F;
+ 3CB7 : 86;
+ 3CB8 : 1F;
+ 3CB9 : FD;
+ 3CBA : 67;
+ 3CBB : FD;
+ 3CBC : 85;
+ 3CBD : 1F;
+ 3CBE : 12;
+ 3CBF : 1C;
+ 3CC0 : 28;
+ 3CC1 : 57;
+ 3CC2 : FD;
+ 3CC3 : 84;
+ 3CC4 : 1F;
+ 3CC5 : 12;
+ 3CC6 : 1C;
+ 3CC7 : 28;
+ 3CC8 : 5A;
+ 3CC9 : 12;
+ 3CCA : 1C;
+ 3CCB : 28;
+ 3CCC : 60;
+ 3CCD : FD;
+ 3CCE : 7C;
+ 3CCF : 12;
+ 3CD0 : 1C;
+ 3CD1 : 28;
+ 3CD2 : 64;
+ 3CD3 : 12;
+ 3CD4 : 1C;
+ 3CD5 : 28;
+ 3CD6 : 6A;
+ 3CD7 : 86;
+ 3CD8 : 1F;
+ 3CD9 : 12;
+ 3CDA : 1C;
+ 3CDB : 28;
+ 3CDC : 6E;
+ 3CDD : 86;
+ 3CDE : 1F;
+ 3CDF : 12;
+ 3CE0 : 1C;
+ 3CE1 : 28;
+ 3CE2 : 72;
+ 3CE3 : 79;
+ 3CE4 : 80;
+ 3CE5 : 4F;
+ 3CE6 : 7E;
+ 3CE7 : 23;
+ 3CE8 : DD;
+ 3CE9 : 2D;
+ 3CEA : 12;
+ 3CEB : DA;
+ 3CEC : AC;
+ 3CED : 3C;
+ 3CEE : 28;
+ 3CEF : 0C;
+ 3CF0 : 1C;
+ 3CF1 : C2;
+ 3CF2 : 6A;
+ 3CF3 : 3C;
+ 3CF4 : CB;
+ 3CF5 : 09;
+ 3CF6 : FD;
+ 3CF7 : 69;
+ 3CF8 : D9;
+ 3CF9 : FD;
+ 3CFA : 5D;
+ 3CFB : C9;
+ 3CFC : 1C;
+ 3CFD : CB;
+ 3CFE : 09;
+ 3CFF : FD;
+ 3D00 : 69;
+ 3D01 : D9;
+ 3D02 : FD;
+ 3D03 : 5D;
+ 3D04 : C9;
+ 3D05 : 1C;
+ 3D06 : CB;
+ 3D07 : 39;
+ 3D08 : FD;
+ 3D09 : 69;
+ 3D0A : D9;
+ 3D0B : FD;
+ 3D0C : 5D;
+ 3D0D : C9;
+ 3D0E : CB;
+ 3D0F : 39;
+ 3D10 : FD;
+ 3D11 : 69;
+ 3D12 : D9;
+ 3D13 : FD;
+ 3D14 : 5D;
+ 3D15 : 16;
+ 3D16 : 07;
+ 3D17 : C9;
+ 3D18 : 1C;
+ 3D19 : CB;
+ 3D1A : 39;
+ 3D1B : FD;
+ 3D1C : 69;
+ 3D1D : D9;
+ 3D1E : FD;
+ 3D1F : 5D;
+ 3D20 : 16;
+ 3D21 : 06;
+ 3D22 : C9;
+ 3D23 : CB;
+ 3D24 : 39;
+ 3D25 : FD;
+ 3D26 : 69;
+ 3D27 : D9;
+ 3D28 : FD;
+ 3D29 : 5D;
+ 3D2A : 16;
+ 3D2B : 05;
+ 3D2C : C9;
+ 3D2D : CB;
+ 3D2E : 39;
+ 3D2F : FD;
+ 3D30 : 69;
+ 3D31 : D9;
+ 3D32 : FD;
+ 3D33 : 5D;
+ 3D34 : 16;
+ 3D35 : 04;
+ 3D36 : C9;
+ 3D37 : CB;
+ 3D38 : 39;
+ 3D39 : FD;
+ 3D3A : 69;
+ 3D3B : D9;
+ 3D3C : FD;
+ 3D3D : 5D;
+ 3D3E : 16;
+ 3D3F : 03;
+ 3D40 : C9;
+ 3D41 : CB;
+ 3D42 : 39;
+ 3D43 : FD;
+ 3D44 : 69;
+ 3D45 : D9;
+ 3D46 : FD;
+ 3D47 : 5D;
+ 3D48 : 16;
+ 3D49 : 02;
+ 3D4A : C9;
+ 3D4B : CB;
+ 3D4C : 39;
+ 3D4D : FD;
+ 3D4E : 69;
+ 3D4F : D9;
+ 3D50 : FD;
+ 3D51 : 5D;
+ 3D52 : 16;
+ 3D53 : 01;
+ 3D54 : C9;
+ 3D55 : CB;
+ 3D56 : 39;
+ 3D57 : FD;
+ 3D58 : 69;
+ 3D59 : D9;
+ 3D5A : FD;
+ 3D5B : 5D;
+ 3D5C : 16;
+ 3D5D : 00;
+ 3D5E : C9;
+ [3D5F..3DFF] : FF;
+ 3E00 : 00;
+ [3E01..3E02] : 01;
+ 3E03 : 02;
+ [3E04..3E05] : 03;
+ 3E06 : 04;
+ [3E07..3E08] : 05;
+ 3E09 : 06;
+ [3E0A..3E0B] : 07;
+ 3E0C : 08;
+ [3E0D..3E0E] : 09;
+ 3E0F : 0A;
+ [3E10..3E11] : 0B;
+ 3E12 : 0C;
+ [3E13..3E14] : 0D;
+ 3E15 : 0E;
+ [3E16..3E17] : 0F;
+ 3E18 : 10;
+ [3E19..3E1A] : 11;
+ 3E1B : 12;
+ [3E1C..3E1D] : 13;
+ 3E1E : 14;
+ [3E1F..3E20] : 15;
+ 3E21 : 16;
+ [3E22..3E23] : 17;
+ 3E24 : 18;
+ [3E25..3E26] : 19;
+ 3E27 : 1A;
+ [3E28..3E29] : 1B;
+ 3E2A : 1C;
+ [3E2B..3E2C] : 1D;
+ 3E2D : 1E;
+ [3E2E..3E2F] : 1F;
+ 3E30 : 20;
+ [3E31..3E32] : 21;
+ 3E33 : 22;
+ [3E34..3E35] : 23;
+ 3E36 : 24;
+ [3E37..3E38] : 25;
+ 3E39 : 26;
+ [3E3A..3E3B] : 27;
+ 3E3C : 28;
+ [3E3D..3E3E] : 29;
+ 3E3F : 2A;
+ [3E40..3E41] : 2B;
+ 3E42 : 2C;
+ [3E43..3E44] : 2D;
+ 3E45 : 2E;
+ [3E46..3E47] : 2F;
+ 3E48 : 30;
+ [3E49..3E4A] : 31;
+ 3E4B : 32;
+ [3E4C..3E4D] : 33;
+ 3E4E : 34;
+ [3E4F..3E50] : 35;
+ 3E51 : 36;
+ [3E52..3E53] : 37;
+ 3E54 : 38;
+ [3E55..3E56] : 39;
+ 3E57 : 3A;
+ [3E58..3E59] : 3B;
+ 3E5A : 3C;
+ [3E5B..3E5C] : 3D;
+ 3E5D : 3E;
+ [3E5E..3E5F] : 3F;
+ 3E60 : 40;
+ [3E61..3E62] : 41;
+ 3E63 : 42;
+ [3E64..3E65] : 43;
+ 3E66 : 44;
+ [3E67..3E68] : 45;
+ 3E69 : 46;
+ [3E6A..3E6B] : 47;
+ 3E6C : 48;
+ [3E6D..3E6E] : 49;
+ 3E6F : 4A;
+ [3E70..3E71] : 4B;
+ 3E72 : 4C;
+ [3E73..3E74] : 4D;
+ 3E75 : 4E;
+ [3E76..3E77] : 4F;
+ 3E78 : 50;
+ [3E79..3E7A] : 51;
+ 3E7B : 52;
+ [3E7C..3E7D] : 53;
+ 3E7E : 54;
+ [3E7F..3E80] : 55;
+ 3E81 : 56;
+ [3E82..3E83] : 57;
+ 3E84 : 58;
+ [3E85..3E86] : 59;
+ 3E87 : 5A;
+ [3E88..3E89] : 5B;
+ 3E8A : 5C;
+ [3E8B..3E8C] : 5D;
+ 3E8D : 5E;
+ [3E8E..3E8F] : 5F;
+ 3E90 : 60;
+ [3E91..3E92] : 61;
+ 3E93 : 62;
+ [3E94..3E95] : 63;
+ 3E96 : 64;
+ [3E97..3E98] : 65;
+ 3E99 : 66;
+ [3E9A..3E9B] : 67;
+ 3E9C : 68;
+ [3E9D..3E9E] : 69;
+ 3E9F : 6A;
+ [3EA0..3EA1] : 6B;
+ 3EA2 : 6C;
+ [3EA3..3EA4] : 6D;
+ 3EA5 : 6E;
+ [3EA6..3EA7] : 6F;
+ 3EA8 : 70;
+ [3EA9..3EAA] : 71;
+ 3EAB : 72;
+ [3EAC..3EAD] : 73;
+ 3EAE : 74;
+ [3EAF..3EB0] : 75;
+ 3EB1 : 76;
+ [3EB2..3EB3] : 77;
+ 3EB4 : 78;
+ [3EB5..3EB6] : 79;
+ 3EB7 : 7A;
+ [3EB8..3EB9] : 7B;
+ 3EBA : 7C;
+ [3EBB..3EBC] : 7D;
+ 3EBD : 7E;
+ [3EBE..3EBF] : 7F;
+ 3EC0 : 80;
+ [3EC1..3EC2] : 81;
+ 3EC3 : 82;
+ [3EC4..3EC5] : 83;
+ 3EC6 : 84;
+ [3EC7..3EC8] : 85;
+ 3EC9 : 86;
+ [3ECA..3ECB] : 87;
+ 3ECC : 88;
+ [3ECD..3ECE] : 89;
+ 3ECF : 8A;
+ [3ED0..3ED1] : 8B;
+ 3ED2 : 8C;
+ [3ED3..3ED4] : 8D;
+ 3ED5 : 8E;
+ [3ED6..3ED7] : 8F;
+ 3ED8 : 90;
+ [3ED9..3EDA] : 91;
+ 3EDB : 92;
+ [3EDC..3EDD] : 93;
+ 3EDE : 94;
+ [3EDF..3EE0] : 95;
+ 3EE1 : 96;
+ [3EE2..3EE3] : 97;
+ 3EE4 : 98;
+ [3EE5..3EE6] : 99;
+ 3EE7 : 9A;
+ [3EE8..3EE9] : 9B;
+ 3EEA : 9C;
+ [3EEB..3EEC] : 9D;
+ 3EED : 9E;
+ [3EEE..3EEF] : 9F;
+ 3EF0 : A0;
+ [3EF1..3EF2] : A1;
+ 3EF3 : A2;
+ [3EF4..3EF5] : A3;
+ 3EF6 : A4;
+ [3EF7..3EF8] : A5;
+ 3EF9 : A6;
+ [3EFA..3EFB] : A7;
+ 3EFC : A8;
+ [3EFD..3EFE] : A9;
+ 3EFF : AA;
+ 3F00 : 55;
+ 3F01 : 56;
+ [3F02..3F03] : 57;
+ 3F04 : 58;
+ [3F05..3F06] : 59;
+ 3F07 : 5A;
+ [3F08..3F09] : 5B;
+ 3F0A : 5C;
+ [3F0B..3F0C] : 5D;
+ 3F0D : 5E;
+ [3F0E..3F0F] : 5F;
+ 3F10 : 60;
+ [3F11..3F12] : 61;
+ 3F13 : 62;
+ [3F14..3F15] : 63;
+ 3F16 : 64;
+ [3F17..3F18] : 65;
+ 3F19 : 66;
+ [3F1A..3F1B] : 67;
+ 3F1C : 68;
+ [3F1D..3F1E] : 69;
+ 3F1F : 6A;
+ [3F20..3F21] : 6B;
+ 3F22 : 6C;
+ [3F23..3F24] : 6D;
+ 3F25 : 6E;
+ [3F26..3F27] : 6F;
+ 3F28 : 70;
+ [3F29..3F2A] : 71;
+ 3F2B : 72;
+ [3F2C..3F2D] : 73;
+ 3F2E : 74;
+ [3F2F..3F30] : 75;
+ 3F31 : 76;
+ [3F32..3F33] : 77;
+ 3F34 : 78;
+ [3F35..3F36] : 79;
+ 3F37 : 7A;
+ [3F38..3F39] : 7B;
+ 3F3A : 7C;
+ [3F3B..3F3C] : 7D;
+ 3F3D : 7E;
+ [3F3E..3F3F] : 7F;
+ 3F40 : 80;
+ [3F41..3F42] : 81;
+ 3F43 : 82;
+ [3F44..3F45] : 83;
+ 3F46 : 84;
+ [3F47..3F48] : 85;
+ 3F49 : 86;
+ [3F4A..3F4B] : 87;
+ 3F4C : 88;
+ [3F4D..3F4E] : 89;
+ 3F4F : 8A;
+ [3F50..3F51] : 8B;
+ 3F52 : 8C;
+ [3F53..3F54] : 8D;
+ 3F55 : 8E;
+ [3F56..3F57] : 8F;
+ 3F58 : 90;
+ [3F59..3F5A] : 91;
+ 3F5B : 92;
+ [3F5C..3F5D] : 93;
+ 3F5E : 94;
+ [3F5F..3F60] : 95;
+ 3F61 : 96;
+ [3F62..3F63] : 97;
+ 3F64 : 98;
+ [3F65..3F66] : 99;
+ 3F67 : 9A;
+ [3F68..3F69] : 9B;
+ 3F6A : 9C;
+ [3F6B..3F6C] : 9D;
+ 3F6D : 9E;
+ [3F6E..3F6F] : 9F;
+ 3F70 : A0;
+ [3F71..3F72] : A1;
+ 3F73 : A2;
+ [3F74..3F75] : A3;
+ 3F76 : A4;
+ [3F77..3F78] : A5;
+ 3F79 : A6;
+ [3F7A..3F7B] : A7;
+ 3F7C : A8;
+ [3F7D..3F7E] : A9;
+ 3F7F : AA;
+ [3F80..3F81] : AB;
+ 3F82 : AC;
+ [3F83..3F84] : AD;
+ 3F85 : AE;
+ [3F86..3F87] : AF;
+ 3F88 : B0;
+ [3F89..3F8A] : B1;
+ 3F8B : B2;
+ [3F8C..3F8D] : B3;
+ 3F8E : B4;
+ [3F8F..3F90] : B5;
+ 3F91 : B6;
+ [3F92..3F93] : B7;
+ 3F94 : B8;
+ [3F95..3F96] : B9;
+ 3F97 : BA;
+ [3F98..3F99] : BB;
+ 3F9A : BC;
+ [3F9B..3F9C] : BD;
+ 3F9D : BE;
+ [3F9E..3F9F] : BF;
+ 3FA0 : C0;
+ [3FA1..3FA2] : C1;
+ 3FA3 : C2;
+ [3FA4..3FA5] : C3;
+ 3FA6 : C4;
+ [3FA7..3FA8] : C5;
+ 3FA9 : C6;
+ [3FAA..3FAB] : C7;
+ 3FAC : C8;
+ [3FAD..3FAE] : C9;
+ 3FAF : CA;
+ [3FB0..3FB1] : CB;
+ 3FB2 : CC;
+ [3FB3..3FB4] : CD;
+ 3FB5 : CE;
+ [3FB6..3FB7] : CF;
+ 3FB8 : D0;
+ [3FB9..3FBA] : D1;
+ 3FBB : D2;
+ [3FBC..3FBD] : D3;
+ 3FBE : D4;
+ [3FBF..3FC0] : D5;
+ 3FC1 : D6;
+ [3FC2..3FC3] : D7;
+ 3FC4 : D8;
+ [3FC5..3FC6] : D9;
+ 3FC7 : DA;
+ [3FC8..3FC9] : DB;
+ 3FCA : DC;
+ [3FCB..3FCC] : DD;
+ 3FCD : DE;
+ [3FCE..3FCF] : DF;
+ 3FD0 : E0;
+ [3FD1..3FD2] : E1;
+ 3FD3 : E2;
+ [3FD4..3FD5] : E3;
+ 3FD6 : E4;
+ [3FD7..3FD8] : E5;
+ 3FD9 : E6;
+ [3FDA..3FDB] : E7;
+ 3FDC : E8;
+ [3FDD..3FDE] : E9;
+ 3FDF : EA;
+ [3FE0..3FE1] : EB;
+ 3FE2 : EC;
+ [3FE3..3FE4] : ED;
+ 3FE5 : EE;
+ [3FE6..3FE7] : EF;
+ 3FE8 : F0;
+ [3FE9..3FEA] : F1;
+ 3FEB : F2;
+ [3FEC..3FED] : F3;
+ 3FEE : F4;
+ [3FEF..3FF0] : F5;
+ 3FF1 : F6;
+ [3FF2..3FF3] : F7;
+ 3FF4 : F8;
+ [3FF5..3FF6] : F9;
+ 3FF7 : FA;
+ [3FF8..3FF9] : FB;
+ 3FFA : FC;
+ [3FFB..3FFC] : FD;
+ 3FFD : FE;
+ [3FFE..3FFF] : FF;
+ 4000 : F3;
+ 4001 : CD;
+ 4002 : DC;
+ 4003 : 1C;
+ 4004 : 08;
+ 4005 : 3A;
+ 4006 : 80;
+ 4007 : 40;
+ 4008 : 31;
+ 4009 : 00;
+ 400A : 80;
+ 400B : 21;
+ [400C..400D] : 80;
+ 400E : 06;
+ 400F : 00;
+ [4010..401F] : E5;
+ 4020 : 10;
+ 4021 : EE;
+ 4022 : 21;
+ [4023..4024] : 00;
+ 4025 : 06;
+ 4026 : FE;
+ [4027..4036] : E5;
+ 4037 : 10;
+ 4038 : EE;
+ 4039 : 31;
+ 403A : 00;
+ 403B : 44;
+ 403C : 32;
+ 403D : 80;
+ 403E : 40;
+ 403F : 08;
+ 4040 : CD;
+ 4041 : E8;
+ 4042 : 1C;
+ 4043 : 3E;
+ 4044 : 00;
+ 4045 : 32;
+ 4046 : AB;
+ 4047 : 40;
+ 4048 : AF;
+ 4049 : 32;
+ 404A : 3F;
+ 404B : 40;
+ 404C : 21;
+ 404D : 00;
+ 404E : 60;
+ 404F : 7E;
+ 4050 : 24;
+ 4051 : 7E;
+ 4052 : 24;
+ 4053 : 7E;
+ 4054 : 24;
+ 4055 : 7E;
+ 4056 : 3E;
+ 4057 : 3F;
+ 4058 : D3;
+ 4059 : 06;
+ 405A : D3;
+ 405B : 07;
+ 405C : D3;
+ 405D : 08;
+ 405E : D3;
+ 405F : 09;
+ 4060 : 21;
+ 4061 : 40;
+ 4062 : 41;
+ 4063 : 11;
+ [4064..4065] : 41;
+ 4066 : 01;
+ 4067 : 07;
+ 4068 : 00;
+ 4069 : 36;
+ 406A : BF;
+ 406B : ED;
+ 406C : B0;
+ 406D : 3E;
+ 406E : 17;
+ 406F : ED;
+ 4070 : 47;
+ 4071 : 21;
+ 4072 : A2;
+ 4073 : 13;
+ 4074 : 11;
+ [4075..4076] : 40;
+ 4077 : 01;
+ 4078 : 17;
+ 4079 : 00;
+ 407A : ED;
+ 407B : B0;
+ 407C : EB;
+ 407D : 36;
+ 407E : C3;
+ 407F : 2C;
+ 4080 : 36;
+ 4081 : A2;
+ 4082 : 2C;
+ 4083 : 36;
+ 4084 : 13;
+ 4085 : 21;
+ 4086 : 00;
+ 4087 : 41;
+ 4088 : 22;
+ 4089 : 8C;
+ 408A : 40;
+ 408B : 22;
+ 408C : 8E;
+ 408D : 40;
+ 408E : 11;
+ 408F : 01;
+ 4090 : 41;
+ 4091 : 01;
+ 4092 : 1F;
+ 4093 : 00;
+ 4094 : 70;
+ 4095 : ED;
+ 4096 : B0;
+ 4097 : 21;
+ 4098 : 20;
+ 4099 : 41;
+ 409A : 11;
+ 409B : 21;
+ 409C : 41;
+ 409D : 01;
+ 409E : 1F;
+ 409F : 00;
+ 40A0 : 36;
+ 40A1 : 3F;
+ 40A2 : ED;
+ 40A3 : B0;
+ 40A4 : 21;
+ 40A5 : 48;
+ 40A6 : 41;
+ 40A7 : 11;
+ 40A8 : 49;
+ 40A9 : 41;
+ 40AA : 01;
+ 40AB : 07;
+ 40AC : 00;
+ 40AD : 36;
+ 40AE : 3F;
+ 40AF : ED;
+ 40B0 : B0;
+ 40B1 : 3E;
+ 40B2 : 0F;
+ 40B3 : 32;
+ 40B4 : 9F;
+ 40B5 : 40;
+ 40B6 : 32;
+ 40B7 : A3;
+ 40B8 : 40;
+ 40B9 : 3E;
+ 40BA : 40;
+ 40BB : 32;
+ 40BC : A4;
+ 40BD : 40;
+ 40BE : 32;
+ 40BF : A6;
+ 40C0 : 40;
+ 40C1 : 32;
+ 40C2 : A5;
+ 40C3 : 40;
+ 40C4 : 3E;
+ 40C5 : C3;
+ 40C6 : 32;
+ 40C7 : 51;
+ 40C8 : 41;
+ 40C9 : AF;
+ 40CA : 32;
+ 40CB : 9E;
+ 40CC : 40;
+ 40CD : 3A;
+ 40CE : 80;
+ 40CF : 40;
+ 40D0 : CB;
+ 40D1 : 3F;
+ 40D2 : 47;
+ 40D3 : 21;
+ 40D4 : 00;
+ 40D5 : 80;
+ 40D6 : CB;
+ 40D7 : 1C;
+ 40D8 : 78;
+ 40D9 : 22;
+ 40DA : FD;
+ 40DB : 40;
+ 40DC : 32;
+ 40DD : FF;
+ 40DE : 40;
+ 40DF : 22;
+ 40E0 : FA;
+ 40E1 : 40;
+ 40E2 : 32;
+ 40E3 : FC;
+ 40E4 : 40;
+ 40E5 : 22;
+ 40E6 : F7;
+ 40E7 : 40;
+ 40E8 : 32;
+ 40E9 : F9;
+ 40EA : 40;
+ 40EB : 22;
+ 40EC : F4;
+ 40ED : 40;
+ 40EE : 32;
+ 40EF : F6;
+ 40F0 : 40;
+ 40F1 : 22;
+ 40F2 : F1;
+ 40F3 : 40;
+ 40F4 : 32;
+ 40F5 : F3;
+ 40F6 : 40;
+ 40F7 : 22;
+ 40F8 : EE;
+ 40F9 : 40;
+ 40FA : 32;
+ 40FB : F0;
+ 40FC : 40;
+ 40FD : 22;
+ 40FE : EB;
+ 40FF : 40;
+ 4100 : 32;
+ 4101 : ED;
+ 4102 : 40;
+ 4103 : 22;
+ 4104 : E8;
+ 4105 : 40;
+ 4106 : 32;
+ 4107 : EA;
+ 4108 : 40;
+ 4109 : 22;
+ 410A : E5;
+ 410B : 40;
+ 410C : 32;
+ 410D : E7;
+ 410E : 40;
+ 410F : 22;
+ 4110 : E2;
+ 4111 : 40;
+ 4112 : 32;
+ 4113 : E4;
+ 4114 : 40;
+ 4115 : 22;
+ 4116 : DF;
+ 4117 : 40;
+ 4118 : 32;
+ 4119 : E1;
+ 411A : 40;
+ 411B : FD;
+ 411C : 21;
+ 411D : 00;
+ 411E : 44;
+ 411F : FD;
+ 4120 : 22;
+ 4121 : 9C;
+ 4122 : 40;
+ [4123..4124] : 01;
+ 4125 : 08;
+ 4126 : 11;
+ 4127 : 40;
+ 4128 : 00;
+ 4129 : FD;
+ 412A : 36;
+ 412B : 00;
+ 412C : 40;
+ 412D : FD;
+ 412E : 71;
+ 412F : 25;
+ 4130 : FD;
+ 4131 : 71;
+ 4132 : 26;
+ 4133 : 3E;
+ 4134 : 08;
+ 4135 : 90;
+ 4136 : FD;
+ 4137 : 77;
+ 4138 : 27;
+ 4139 : E6;
+ 413A : 02;
+ 413B : 28;
+ 413C : 04;
+ 413D : FD;
+ 413E : CB;
+ 413F : 00;
+ 4140 : EE;
+ 4141 : FD;
+ 4142 : 36;
+ 4143 : 01;
+ 4144 : 00;
+ 4145 : FD;
+ 4146 : 36;
+ 4147 : 2E;
+ 4148 : 01;
+ 4149 : FD;
+ 414A : 36;
+ 414B : 30;
+ 414C : 11;
+ 414D : FD;
+ 414E : 36;
+ 414F : 32;
+ 4150 : 11;
+ 4151 : FD;
+ [4152..4153] : 36;
+ 4154 : 01;
+ 4155 : FD;
+ 4156 : 36;
+ 4157 : 2F;
+ 4158 : 7F;
+ 4159 : FD;
+ 415A : 36;
+ 415B : 3F;
+ 415C : 80;
+ 415D : FD;
+ 415E : 36;
+ 415F : 18;
+ 4160 : 20;
+ 4161 : FD;
+ 4162 : 36;
+ 4163 : 1B;
+ 4164 : 40;
+ 4165 : CB;
+ 4166 : 01;
+ 4167 : FD;
+ 4168 : 19;
+ 4169 : 10;
+ 416A : BE;
+ 416B : FD;
+ 416C : 21;
+ 416D : 00;
+ 416E : 46;
+ 416F : 06;
+ 4170 : 08;
+ 4171 : FD;
+ 4172 : 36;
+ [4173..4174] : 00;
+ 4175 : FD;
+ 4176 : 36;
+ 4177 : 01;
+ 4178 : 00;
+ 4179 : FD;
+ 417A : 36;
+ 417B : 2E;
+ 417C : 01;
+ 417D : FD;
+ 417E : 36;
+ 417F : 30;
+ 4180 : 11;
+ 4181 : FD;
+ 4182 : 36;
+ 4183 : 32;
+ 4184 : 11;
+ 4185 : FD;
+ [4186..4187] : 36;
+ 4188 : 01;
+ 4189 : FD;
+ 418A : 36;
+ 418B : 2F;
+ 418C : 7F;
+ 418D : FD;
+ 418E : 36;
+ 418F : 3F;
+ 4190 : 80;
+ 4191 : FD;
+ 4192 : 36;
+ 4193 : 18;
+ 4194 : 20;
+ 4195 : FD;
+ 4196 : 36;
+ 4197 : 1B;
+ 4198 : 40;
+ 4199 : FD;
+ 419A : 19;
+ 419B : 10;
+ 419C : D4;
+ 419D : FD;
+ 419E : 21;
+ 419F : 00;
+ 41A0 : 46;
+ 41A1 : FD;
+ 41A2 : 36;
+ [41A3..41A4] : 00;
+ 41A5 : FD;
+ 41A6 : 36;
+ 41A7 : 25;
+ 41A8 : 01;
+ 41A9 : FD;
+ 41AA : 36;
+ 41AB : 26;
+ 41AC : 01;
+ 41AD : FD;
+ 41AE : 36;
+ 41AF : 27;
+ 41B0 : 00;
+ 41B1 : FD;
+ 41B2 : 19;
+ 41B3 : FD;
+ 41B4 : 36;
+ 41B5 : 00;
+ 41B6 : 20;
+ 41B7 : FD;
+ 41B8 : 36;
+ 41B9 : 25;
+ 41BA : 04;
+ 41BB : FD;
+ 41BC : 36;
+ 41BD : 26;
+ 41BE : 04;
+ 41BF : FD;
+ 41C0 : 36;
+ 41C1 : 27;
+ 41C2 : 02;
+ 41C3 : FD;
+ 41C4 : 19;
+ 41C5 : FD;
+ 41C6 : 36;
+ 41C7 : 00;
+ 41C8 : 20;
+ 41C9 : FD;
+ 41CA : 36;
+ 41CB : 25;
+ 41CC : 08;
+ 41CD : FD;
+ 41CE : 36;
+ 41CF : 26;
+ 41D0 : 08;
+ 41D1 : FD;
+ 41D2 : 36;
+ 41D3 : 27;
+ 41D4 : 03;
+ 41D5 : FD;
+ 41D6 : 19;
+ 41D7 : FD;
+ 41D8 : 36;
+ [41D9..41DA] : 00;
+ 41DB : FD;
+ 41DC : 36;
+ 41DD : 25;
+ 41DE : 02;
+ 41DF : FD;
+ 41E0 : 36;
+ 41E1 : 26;
+ 41E2 : 02;
+ 41E3 : FD;
+ 41E4 : 36;
+ 41E5 : 27;
+ 41E6 : 01;
+ 41E7 : 21;
+ 41E8 : EE;
+ 41E9 : 02;
+ 41EA : 22;
+ 41EB : 53;
+ 41EC : 41;
+ 41ED : 22;
+ 41EE : 55;
+ 41EF : 41;
+ 41F0 : 22;
+ 41F1 : BD;
+ 41F2 : 40;
+ 41F3 : 22;
+ 41F4 : BF;
+ 41F5 : 40;
+ 41F6 : DD;
+ 41F7 : 26;
+ 41F8 : 80;
+ 41F9 : 11;
+ [41FA..41FB] : 00;
+ 41FC : DB;
+ 41FD : 02;
+ 41FE : C3;
+ 41FF : 6B;
+ 4200 : 02;
+ 4201 : 2A;
+ 4202 : 60;
+ 4203 : EC;
+ 4204 : FD;
+ 4205 : 75;
+ 4206 : 12;
+ 4207 : FD;
+ 4208 : 74;
+ 4209 : 13;
+ 420A : 2A;
+ 420B : 60;
+ 420C : E0;
+ 420D : FD;
+ 420E : 75;
+ 420F : 10;
+ 4210 : FD;
+ 4211 : 74;
+ 4212 : 11;
+ 4213 : FD;
+ 4214 : 36;
+ 4215 : 28;
+ 4216 : 30;
+ 4217 : FD;
+ 4218 : 36;
+ 4219 : 01;
+ 421A : 00;
+ 421B : FD;
+ 421C : 36;
+ 421D : 14;
+ 421E : 7F;
+ 421F : FD;
+ 4220 : 36;
+ 4221 : 0E;
+ 4222 : 00;
+ 4223 : FD;
+ 4224 : 36;
+ 4225 : 0F;
+ 4226 : 00;
+ 4227 : FD;
+ 4228 : 36;
+ 4229 : 29;
+ 422A : 00;
+ 422B : FD;
+ 422C : 36;
+ 422D : 2A;
+ 422E : 00;
+ 422F : FD;
+ 4230 : 36;
+ 4231 : 2B;
+ 4232 : 00;
+ 4233 : FD;
+ 4234 : 36;
+ 4235 : 2C;
+ 4236 : 00;
+ 4237 : FD;
+ 4238 : 36;
+ 4239 : 31;
+ 423A : 00;
+ 423B : FD;
+ 423C : 36;
+ 423D : 33;
+ 423E : 00;
+ 423F : FD;
+ 4240 : 36;
+ 4241 : 34;
+ 4242 : 00;
+ 4243 : FD;
+ 4244 : 36;
+ 4245 : 35;
+ 4246 : 00;
+ 4247 : 78;
+ 4248 : 01;
+ 4249 : 40;
+ 424A : 00;
+ 424B : FD;
+ 424C : 09;
+ 424D : 47;
+ 424E : 10;
+ 424F : B1;
+ 4250 : C9;
+ 4251 : DB;
+ 4252 : 04;
+ 4253 : E6;
+ 4254 : 81;
+ 4255 : 28;
+ 4256 : FA;
+ 4257 : DB;
+ 4258 : 02;
+ 4259 : F8;
+ 425A : C3;
+ 425B : 6B;
+ 425C : 02;
+ 425D : DB;
+ 425E : 04;
+ 425F : B7;
+ 4260 : F0;
+ 4261 : 0F;
+ 4262 : D2;
+ 4263 : 5D;
+ 4264 : C2;
+ 4265 : C3;
+ 4266 : 6B;
+ 4267 : 02;
+ 4268 : 21;
+ 4269 : 6B;
+ 426A : C2;
+ 426B : DB;
+ 426C : 04;
+ 426D : E6;
+ 426E : 81;
+ 426F : 28;
+ 4270 : FA;
+ 4271 : 0F;
+ 4272 : 38;
+ 4273 : 03;
+ 4274 : DB;
+ 4275 : 02;
+ 4276 : E9;
+ 4277 : DB;
+ 4278 : 01;
+ 4279 : FE;
+ 427A : E0;
+ 427B : D2;
+ 427C : 6B;
+ 427D : 02;
+ 427E : FE;
+ 427F : D0;
+ 4280 : DA;
+ 4281 : 6B;
+ 4282 : 02;
+ 4283 : 28;
+ 4284 : 0C;
+ 4285 : FE;
+ 4286 : D1;
+ 4287 : 28;
+ 4288 : 05;
+ 4289 : AF;
+ 428A : D3;
+ 428B : 03;
+ 428C : DB;
+ 428D : 02;
+ 428E : D3;
+ 428F : 05;
+ 4290 : E9;
+ 4291 : 3A;
+ 4292 : B2;
+ 4293 : 40;
+ 4294 : 18;
+ 4295 : F4;
+ 4296 : 3E;
+ 4297 : 10;
+ 4298 : 18;
+ 4299 : 04;
+ 429A : 3E;
+ 429B : 11;
+ 429C : 18;
+ 429D : 00;
+ 429E : 32;
+ 429F : B2;
+ 42A0 : 40;
+ 42A1 : C3;
+ 42A2 : 6B;
+ 42A3 : 02;
+ 42A4 : ED;
+ 42A5 : 5B;
+ 42A6 : D0;
+ 42A7 : 40;
+ 42A8 : 3A;
+ 42A9 : D2;
+ 42AA : 40;
+ 42AB : 4F;
+ 42AC : 2A;
+ 42AD : FD;
+ 42AE : 40;
+ 42AF : 3A;
+ 42B0 : FF;
+ 42B1 : 40;
+ 42B2 : B7;
+ 42B3 : ED;
+ 42B4 : 52;
+ 42B5 : 99;
+ 42B6 : 4F;
+ 42B7 : 7D;
+ 42B8 : D3;
+ 42B9 : 03;
+ 42BA : D3;
+ 42BB : 05;
+ 42BC : CD;
+ 42BD : 5D;
+ 42BE : C2;
+ 42BF : 7C;
+ 42C0 : D3;
+ 42C1 : 03;
+ 42C2 : CD;
+ 42C3 : 5D;
+ 42C4 : C2;
+ 42C5 : 79;
+ 42C6 : D3;
+ 42C7 : 03;
+ 42C8 : C9;
+ 42C9 : ED;
+ 42CA : 5B;
+ 42CB : D9;
+ 42CC : 40;
+ 42CD : 3A;
+ 42CE : DB;
+ 42CF : 40;
+ 42D0 : 4F;
+ 42D1 : 2A;
+ 42D2 : E2;
+ 42D3 : 40;
+ 42D4 : 3A;
+ 42D5 : E4;
+ 42D6 : 40;
+ 42D7 : B7;
+ 42D8 : ED;
+ 42D9 : 52;
+ 42DA : 99;
+ 42DB : 4F;
+ 42DC : 7D;
+ 42DD : D3;
+ 42DE : 03;
+ 42DF : D3;
+ 42E0 : 05;
+ 42E1 : AF;
+ 42E2 : 32;
+ 42E3 : B2;
+ 42E4 : 40;
+ 42E5 : CD;
+ 42E6 : 5D;
+ 42E7 : C2;
+ 42E8 : 7C;
+ 42E9 : D3;
+ 42EA : 03;
+ 42EB : CD;
+ 42EC : 5D;
+ 42ED : C2;
+ 42EE : 79;
+ 42EF : D3;
+ 42F0 : 03;
+ 42F1 : C9;
+ 42F2 : DB;
+ 42F3 : 02;
+ 42F4 : 5F;
+ 42F5 : 16;
+ 42F6 : 40;
+ 42F7 : 1A;
+ 42F8 : D3;
+ 42F9 : 03;
+ 42FA : D3;
+ 42FB : 05;
+ 42FC : C9;
+ 42FD : 3A;
+ 42FE : 80;
+ 42FF : 40;
+ 4300 : D3;
+ 4301 : 03;
+ 4302 : D3;
+ 4303 : 05;
+ 4304 : C9;
+ 4305 : 3A;
+ 4306 : A4;
+ 4307 : 40;
+ 4308 : D3;
+ 4309 : 03;
+ 430A : DB;
+ 430B : 02;
+ 430C : D3;
+ 430D : 05;
+ 430E : FE;
+ 430F : 40;
+ 4310 : 38;
+ 4311 : 02;
+ 4312 : 3E;
+ 4313 : 40;
+ 4314 : 32;
+ 4315 : A4;
+ 4316 : 40;
+ 4317 : FD;
+ 4318 : 21;
+ 4319 : 00;
+ 431A : 46;
+ 431B : 06;
+ 431C : 08;
+ 431D : 11;
+ 431E : 40;
+ 431F : 00;
+ 4320 : FD;
+ 4321 : CB;
+ 4322 : 00;
+ 4323 : C6;
+ 4324 : FD;
+ 4325 : 19;
+ 4326 : 10;
+ 4327 : F8;
+ 4328 : C9;
+ 4329 : 3A;
+ 432A : A5;
+ 432B : 40;
+ 432C : D3;
+ 432D : 03;
+ 432E : DB;
+ 432F : 02;
+ 4330 : D3;
+ 4331 : 05;
+ 4332 : FE;
+ 4333 : 40;
+ 4334 : 38;
+ 4335 : 02;
+ 4336 : 3E;
+ 4337 : 40;
+ 4338 : 32;
+ 4339 : A5;
+ 433A : 40;
+ 433B : FD;
+ 433C : 21;
+ 433D : 00;
+ 433E : 44;
+ 433F : 06;
+ 4340 : 08;
+ 4341 : 11;
+ 4342 : 40;
+ 4343 : 00;
+ 4344 : FD;
+ 4345 : CB;
+ 4346 : 00;
+ 4347 : C6;
+ 4348 : FD;
+ 4349 : 19;
+ 434A : 10;
+ 434B : F8;
+ 434C : C9;
+ 434D : 3A;
+ 434E : 96;
+ 434F : 40;
+ 4350 : D3;
+ 4351 : 03;
+ 4352 : DB;
+ 4353 : 02;
+ 4354 : D3;
+ 4355 : 05;
+ 4356 : B7;
+ 4357 : 28;
+ 4358 : 0C;
+ 4359 : 47;
+ 435A : 3A;
+ 435B : 90;
+ 435C : 40;
+ 435D : B8;
+ 435E : 38;
+ 435F : 0C;
+ 4360 : 78;
+ 4361 : 32;
+ 4362 : 96;
+ 4363 : 40;
+ 4364 : C9;
+ 4365 : 3A;
+ 4366 : 90;
+ 4367 : 40;
+ 4368 : 32;
+ 4369 : 96;
+ 436A : 40;
+ 436B : C9;
+ 436C : AF;
+ 436D : 32;
+ 436E : 96;
+ 436F : 40;
+ 4370 : C9;
+ 4371 : 3A;
+ 4372 : 97;
+ 4373 : 40;
+ 4374 : D3;
+ 4375 : 03;
+ 4376 : DB;
+ 4377 : 02;
+ 4378 : D3;
+ 4379 : 05;
+ 437A : B7;
+ 437B : 28;
+ 437C : 0C;
+ 437D : 47;
+ 437E : 3A;
+ 437F : 91;
+ 4380 : 40;
+ 4381 : B8;
+ 4382 : 38;
+ 4383 : 0C;
+ 4384 : 78;
+ 4385 : 32;
+ 4386 : 97;
+ 4387 : 40;
+ 4388 : C9;
+ 4389 : 3A;
+ 438A : 91;
+ 438B : 40;
+ 438C : 32;
+ 438D : 97;
+ 438E : 40;
+ 438F : C9;
+ 4390 : AF;
+ 4391 : 32;
+ 4392 : 97;
+ 4393 : 40;
+ 4394 : C9;
+ 4395 : 3A;
+ 4396 : 98;
+ 4397 : 40;
+ 4398 : D3;
+ 4399 : 03;
+ 439A : DB;
+ 439B : 02;
+ 439C : D3;
+ 439D : 05;
+ 439E : B7;
+ 439F : 28;
+ 43A0 : 0C;
+ 43A1 : 47;
+ 43A2 : 3A;
+ 43A3 : 92;
+ 43A4 : 40;
+ 43A5 : B8;
+ 43A6 : 38;
+ 43A7 : 0C;
+ 43A8 : 78;
+ 43A9 : 32;
+ 43AA : 98;
+ 43AB : 40;
+ 43AC : C9;
+ 43AD : 3A;
+ 43AE : 92;
+ 43AF : 40;
+ 43B0 : 32;
+ 43B1 : 98;
+ 43B2 : 40;
+ 43B3 : C9;
+ 43B4 : AF;
+ 43B5 : 32;
+ 43B6 : 98;
+ 43B7 : 40;
+ 43B8 : C9;
+ 43B9 : DB;
+ 43BA : 02;
+ 43BB : D3;
+ 43BC : 05;
+ 43BD : 5F;
+ 43BE : CD;
+ 43BF : 51;
+ 43C0 : C2;
+ 43C1 : 57;
+ 43C2 : B3;
+ 43C3 : 28;
+ 43C4 : 0C;
+ 43C5 : 2A;
+ 43C6 : 93;
+ 43C7 : 40;
+ 43C8 : ED;
+ 43C9 : 52;
+ 43CA : 38;
+ 43CB : 0C;
+ 43CC : ED;
+ 43CD : 53;
+ 43CE : 99;
+ 43CF : 40;
+ 43D0 : C9;
+ 43D1 : 2A;
+ 43D2 : 93;
+ 43D3 : 40;
+ 43D4 : 22;
+ 43D5 : 99;
+ 43D6 : 40;
+ 43D7 : C9;
+ 43D8 : 21;
+ [43D9..43DA] : 00;
+ 43DB : 22;
+ 43DC : 99;
+ 43DD : 40;
+ 43DE : C9;
+ 43DF : 3A;
+ 43E0 : 90;
+ 43E1 : 40;
+ 43E2 : B7;
+ 43E3 : C2;
+ 43E4 : 00;
+ 43E5 : C0;
+ 43E6 : 3C;
+ 43E7 : 32;
+ 43E8 : 90;
+ 43E9 : 40;
+ 43EA : 32;
+ 43EB : 96;
+ 43EC : 40;
+ 43ED : D3;
+ 43EE : 03;
+ 43EF : DB;
+ 43F0 : 02;
+ 43F1 : D3;
+ 43F2 : 05;
+ 43F3 : 0E;
+ 43F4 : 00;
+ 43F5 : CD;
+ 43F6 : 09;
+ 43F7 : 0C;
+ 43F8 : 3E;
+ 43F9 : 00;
+ 43FA : 32;
+ 43FB : B7;
+ 43FC : 40;
+ 43FD : CD;
+ 43FE : BF;
+ 43FF : 1A;
+ 4400 : C9;
+ 4401 : DB;
+ 4402 : 02;
+ 4403 : 4F;
+ 4404 : 3A;
+ 4405 : 96;
+ 4406 : 40;
+ 4407 : 47;
+ 4408 : C3;
+ 4409 : 22;
+ 440A : C4;
+ 440B : DB;
+ 440C : 02;
+ 440D : B7;
+ 440E : 20;
+ 440F : 07;
+ 4410 : 3A;
+ 4411 : 96;
+ 4412 : 40;
+ 4413 : B7;
+ 4414 : CA;
+ 4415 : 9C;
+ 4416 : C4;
+ 4417 : 47;
+ 4418 : 3A;
+ 4419 : 90;
+ 441A : 40;
+ 441B : B8;
+ 441C : DA;
+ 441D : 9C;
+ 441E : C4;
+ 441F : 78;
+ 4420 : 0E;
+ 4421 : 00;
+ 4422 : D3;
+ 4423 : 03;
+ 4424 : D3;
+ 4425 : 05;
+ 4426 : 3A;
+ 4427 : 86;
+ 4428 : 40;
+ 4429 : F5;
+ 442A : 3E;
+ 442B : FF;
+ 442C : 32;
+ 442D : 86;
+ 442E : 40;
+ 442F : 78;
+ 4430 : 32;
+ 4431 : 9E;
+ 4432 : 40;
+ 4433 : 32;
+ 4434 : 96;
+ 4435 : 40;
+ 4436 : 3E;
+ 4437 : 03;
+ 4438 : 32;
+ 4439 : 51;
+ 443A : 41;
+ 443B : 3E;
+ 443C : 06;
+ 443D : 32;
+ 443E : 58;
+ 443F : 41;
+ 4440 : 79;
+ 4441 : 32;
+ 4442 : 5B;
+ 4443 : 41;
+ 4444 : AF;
+ 4445 : 32;
+ 4446 : 52;
+ 4447 : 41;
+ 4448 : 32;
+ 4449 : 59;
+ 444A : 41;
+ 444B : 32;
+ 444C : 5A;
+ 444D : 41;
+ 444E : 32;
+ 444F : 5F;
+ 4450 : 41;
+ 4451 : 32;
+ 4452 : 5E;
+ 4453 : 41;
+ 4454 : 32;
+ 4455 : 60;
+ 4456 : 41;
+ 4457 : 32;
+ 4458 : 61;
+ 4459 : 41;
+ 445A : 32;
+ 445B : 62;
+ 445C : 41;
+ 445D : 3C;
+ 445E : 32;
+ 445F : 50;
+ 4460 : 41;
+ 4461 : 3E;
+ 4462 : 40;
+ 4463 : 32;
+ 4464 : 65;
+ 4465 : 41;
+ 4466 : 3D;
+ 4467 : 32;
+ 4468 : 64;
+ 4469 : 41;
+ 446A : 3E;
+ 446B : 7D;
+ 446C : CD;
+ 446D : EE;
+ 446E : D2;
+ 446F : FD;
+ 4470 : 21;
+ 4471 : 00;
+ 4472 : 46;
+ 4473 : 06;
+ 4474 : 08;
+ 4475 : 11;
+ 4476 : 40;
+ 4477 : 00;
+ 4478 : FD;
+ 4479 : CB;
+ 447A : 00;
+ 447B : BE;
+ 447C : FD;
+ 447D : CB;
+ 447E : 00;
+ 447F : C6;
+ 4480 : FD;
+ 4481 : 36;
+ 4482 : 19;
+ 4483 : 40;
+ 4484 : FD;
+ 4485 : 36;
+ 4486 : 1A;
+ 4487 : 40;
+ 4488 : FD;
+ 4489 : 19;
+ 448A : 10;
+ 448B : EC;
+ 448C : CD;
+ 448D : 27;
+ 448E : 0F;
+ 448F : CD;
+ 4490 : 7A;
+ 4491 : 10;
+ 4492 : 3E;
+ 4493 : FF;
+ 4494 : 32;
+ 4495 : 84;
+ 4496 : 40;
+ 4497 : F1;
+ 4498 : 32;
+ 4499 : 86;
+ 449A : 40;
+ 449B : C9;
+ 449C : AF;
+ 449D : 32;
+ 449E : 96;
+ 449F : 40;
+ 44A0 : D3;
+ 44A1 : 03;
+ 44A2 : D3;
+ 44A3 : 05;
+ 44A4 : C9;
+ 44A5 : 3A;
+ 44A6 : 9E;
+ 44A7 : 40;
+ 44A8 : D3;
+ 44A9 : 03;
+ 44AA : DB;
+ 44AB : 02;
+ 44AC : D3;
+ 44AD : 05;
+ 44AE : 21;
+ 44AF : 51;
+ 44B0 : 41;
+ 44B1 : CB;
+ 44B2 : FE;
+ 44B3 : C9;
+ 44B4 : 3A;
+ 44B5 : 9E;
+ 44B6 : 40;
+ 44B7 : D3;
+ 44B8 : 03;
+ 44B9 : DB;
+ 44BA : 02;
+ 44BB : D3;
+ 44BC : 05;
+ 44BD : 3A;
+ 44BE : 9E;
+ 44BF : 40;
+ 44C0 : B7;
+ 44C1 : C8;
+ 44C2 : 21;
+ 44C3 : 51;
+ 44C4 : 41;
+ 44C5 : CB;
+ 44C6 : 76;
+ 44C7 : C0;
+ 44C8 : 3E;
+ 44C9 : FF;
+ 44CA : 32;
+ 44CB : 84;
+ 44CC : 40;
+ 44CD : CB;
+ 44CE : BE;
+ 44CF : 32;
+ 44D0 : 84;
+ 44D1 : 40;
+ 44D2 : C9;
+ 44D3 : 3A;
+ 44D4 : A7;
+ 44D5 : 40;
+ 44D6 : D3;
+ 44D7 : 03;
+ 44D8 : DB;
+ 44D9 : 02;
+ 44DA : D3;
+ 44DB : 05;
+ 44DC : 32;
+ 44DD : A7;
+ 44DE : 40;
+ 44DF : C9;
+ 44E0 : 3A;
+ 44E1 : 65;
+ 44E2 : 41;
+ 44E3 : D3;
+ 44E4 : 03;
+ 44E5 : DB;
+ 44E6 : 02;
+ 44E7 : D3;
+ 44E8 : 05;
+ 44E9 : FE;
+ 44EA : 40;
+ 44EB : 38;
+ 44EC : 02;
+ 44ED : 3E;
+ 44EE : 40;
+ 44EF : 32;
+ 44F0 : 65;
+ 44F1 : 41;
+ 44F2 : FD;
+ 44F3 : 21;
+ 44F4 : 00;
+ 44F5 : 46;
+ 44F6 : 06;
+ 44F7 : 08;
+ 44F8 : 11;
+ 44F9 : 40;
+ 44FA : 00;
+ 44FB : FD;
+ 44FC : CB;
+ 44FD : 00;
+ 44FE : C6;
+ 44FF : FD;
+ 4500 : 19;
+ 4501 : 10;
+ 4502 : F8;
+ 4503 : C9;
+ 4504 : 3E;
+ 4505 : FF;
+ 4506 : D3;
+ 4507 : 03;
+ 4508 : D3;
+ 4509 : 05;
+ 450A : C9;
+ 450B : D3;
+ 450C : 05;
+ 450D : 21;
+ 450E : 51;
+ 450F : 41;
+ 4510 : CB;
+ 4511 : FE;
+ 4512 : 21;
+ [4513..4514] : 00;
+ 4515 : AF;
+ 4516 : 22;
+ 4517 : 98;
+ 4518 : 41;
+ 4519 : 32;
+ 451A : 9A;
+ 451B : 41;
+ 451C : 22;
+ 451D : D9;
+ 451E : 40;
+ 451F : 32;
+ 4520 : DB;
+ 4521 : 40;
+ 4522 : 32;
+ 4523 : 96;
+ 4524 : 40;
+ 4525 : 32;
+ 4526 : 90;
+ 4527 : 40;
+ 4528 : 32;
+ 4529 : 9E;
+ 452A : 40;
+ 452B : C9;
+ 452C : DB;
+ 452D : 02;
+ 452E : FE;
+ 452F : 01;
+ 4530 : 28;
+ 4531 : 0E;
+ 4532 : DD;
+ 4533 : 2E;
+ 4534 : 80;
+ 4535 : B7;
+ 4536 : 28;
+ 4537 : 0B;
+ 4538 : AF;
+ 4539 : D3;
+ 453A : 03;
+ 453B : DB;
+ 453C : 02;
+ 453D : D3;
+ 453E : 05;
+ 453F : C9;
+ 4540 : DD;
+ 4541 : 2E;
+ 4542 : 00;
+ 4543 : 3A;
+ 4544 : 92;
+ 4545 : 40;
+ 4546 : FE;
+ 4547 : 3C;
+ 4548 : D2;
+ 4549 : DA;
+ 454A : C5;
+ 454B : 3C;
+ 454C : D3;
+ 454D : 03;
+ 454E : F5;
+ 454F : DB;
+ 4550 : 02;
+ 4551 : D3;
+ 4552 : 05;
+ 4553 : F1;
+ 4554 : 32;
+ 4555 : 92;
+ 4556 : 40;
+ 4557 : 32;
+ 4558 : 98;
+ 4559 : 40;
+ 455A : CD;
+ 455B : E5;
+ 455C : C5;
+ 455D : E5;
+ 455E : FD;
+ 455F : E1;
+ 4560 : 5D;
+ 4561 : 54;
+ 4562 : 13;
+ 4563 : 01;
+ 4564 : 3F;
+ 4565 : 00;
+ 4566 : 70;
+ 4567 : ED;
+ 4568 : B0;
+ 4569 : 2A;
+ 456A : 98;
+ 456B : 41;
+ 456C : 3A;
+ 456D : 9A;
+ 456E : 41;
+ 456F : FD;
+ 4570 : 75;
+ 4571 : 08;
+ 4572 : FD;
+ 4573 : 74;
+ 4574 : 09;
+ 4575 : FD;
+ 4576 : 77;
+ 4577 : 0A;
+ 4578 : DD;
+ 4579 : 4D;
+ 457A : CD;
+ 457B : 09;
+ 457C : 0C;
+ 457D : 3A;
+ 457E : 98;
+ 457F : 41;
+ 4580 : FD;
+ 4581 : 96;
+ 4582 : 08;
+ 4583 : FD;
+ 4584 : 77;
+ 4585 : 0B;
+ 4586 : FD;
+ 4587 : 77;
+ 4588 : 11;
+ 4589 : 3A;
+ 458A : 99;
+ 458B : 41;
+ 458C : FD;
+ 458D : 9E;
+ 458E : 09;
+ 458F : FD;
+ 4590 : 77;
+ 4591 : 0C;
+ 4592 : FD;
+ 4593 : 77;
+ 4594 : 12;
+ 4595 : 3A;
+ 4596 : 9A;
+ 4597 : 41;
+ 4598 : FD;
+ 4599 : 9E;
+ 459A : 0A;
+ 459B : FD;
+ 459C : 77;
+ 459D : 0D;
+ 459E : FD;
+ 459F : 77;
+ 45A0 : 13;
+ 45A1 : FD;
+ 45A2 : 36;
+ 45A3 : 10;
+ 45A4 : FF;
+ 45A5 : FD;
+ 45A6 : 36;
+ 45A7 : 14;
+ 45A8 : 40;
+ 45A9 : FD;
+ 45AA : 36;
+ 45AB : 17;
+ 45AC : 80;
+ 45AD : FD;
+ 45AE : 36;
+ 45AF : 18;
+ 45B0 : 0F;
+ 45B1 : FD;
+ 45B2 : 36;
+ 45B3 : 19;
+ 45B4 : 0F;
+ 45B5 : FD;
+ 45B6 : 36;
+ 45B7 : 1A;
+ 45B8 : 80;
+ 45B9 : FD;
+ 45BA : 36;
+ 45BB : 1B;
+ 45BC : FF;
+ 45BD : FD;
+ 45BE : 36;
+ 45BF : 1C;
+ 45C0 : FF;
+ 45C1 : FD;
+ 45C2 : 36;
+ 45C3 : 1F;
+ 45C4 : 3C;
+ 45C5 : 1E;
+ 45C6 : 3C;
+ 45C7 : CD;
+ 45C8 : C0;
+ 45C9 : C9;
+ 45CA : FD;
+ 45CB : 75;
+ 45CC : 36;
+ 45CD : FD;
+ 45CE : 74;
+ 45CF : 37;
+ 45D0 : CD;
+ 45D1 : C5;
+ 45D2 : C9;
+ 45D3 : FD;
+ 45D4 : 75;
+ 45D5 : 38;
+ 45D6 : FD;
+ 45D7 : 74;
+ 45D8 : 39;
+ 45D9 : C9;
+ 45DA : AF;
+ 45DB : D3;
+ 45DC : 03;
+ 45DD : 32;
+ 45DE : 98;
+ 45DF : 40;
+ 45E0 : DB;
+ 45E1 : 02;
+ 45E2 : D3;
+ 45E3 : 05;
+ 45E4 : C9;
+ 45E5 : 3D;
+ 45E6 : FE;
+ 45E7 : 20;
+ 45E8 : 38;
+ 45E9 : 1B;
+ 45EA : D6;
+ 45EB : 20;
+ 45EC : 26;
+ 45ED : 00;
+ [45EE..45F0] : 87;
+ 45F1 : CB;
+ 45F2 : 14;
+ 45F3 : 87;
+ 45F4 : CB;
+ 45F5 : 14;
+ 45F6 : 87;
+ 45F7 : CB;
+ 45F8 : 14;
+ 45F9 : 87;
+ 45FA : CB;
+ 45FB : 14;
+ 45FC : 6F;
+ 45FD : 7C;
+ 45FE : C6;
+ 45FF : 59;
+ 4600 : 67;
+ 4601 : E5;
+ 4602 : FD;
+ 4603 : E1;
+ 4604 : C9;
+ 4605 : 26;
+ 4606 : 00;
+ [4607..4609] : 87;
+ 460A : CB;
+ 460B : 14;
+ 460C : 87;
+ 460D : CB;
+ 460E : 14;
+ 460F : 87;
+ 4610 : CB;
+ 4611 : 14;
+ 4612 : 87;
+ 4613 : CB;
+ 4614 : 14;
+ 4615 : 6F;
+ 4616 : 7C;
+ 4617 : C6;
+ 4618 : 48;
+ 4619 : 67;
+ 461A : E5;
+ 461B : FD;
+ 461C : E1;
+ 461D : C9;
+ 461E : DB;
+ 461F : 02;
+ 4620 : B7;
+ 4621 : 20;
+ 4622 : 03;
+ 4623 : 3A;
+ 4624 : 98;
+ 4625 : 40;
+ 4626 : 32;
+ 4627 : 98;
+ 4628 : 40;
+ 4629 : 47;
+ 462A : 3A;
+ 462B : 92;
+ 462C : 40;
+ 462D : B8;
+ 462E : DA;
+ 462F : 50;
+ 4630 : C6;
+ 4631 : AF;
+ 4632 : D3;
+ 4633 : 03;
+ 4634 : D3;
+ 4635 : 05;
+ 4636 : 3A;
+ 4637 : 98;
+ 4638 : 40;
+ 4639 : CD;
+ 463A : E5;
+ 463B : C5;
+ 463C : 3A;
+ 463D : 86;
+ 463E : 40;
+ 463F : F5;
+ 4640 : 3E;
+ 4641 : FF;
+ 4642 : 32;
+ 4643 : 86;
+ 4644 : 40;
+ 4645 : E5;
+ 4646 : FD;
+ 4647 : E1;
+ 4648 : CD;
+ 4649 : FA;
+ 464A : C9;
+ 464B : F1;
+ 464C : 32;
+ 464D : 86;
+ 464E : 40;
+ 464F : C9;
+ 4650 : 3E;
+ 4651 : FF;
+ 4652 : D3;
+ 4653 : 03;
+ 4654 : D3;
+ 4655 : 05;
+ 4656 : C9;
+ 4657 : 3A;
+ 4658 : A8;
+ 4659 : 40;
+ 465A : D3;
+ 465B : 03;
+ 465C : DB;
+ 465D : 02;
+ 465E : D3;
+ 465F : 05;
+ 4660 : 32;
+ 4661 : A8;
+ 4662 : 40;
+ 4663 : C9;
+ 4664 : 3A;
+ 4665 : A6;
+ 4666 : 40;
+ 4667 : D3;
+ 4668 : 03;
+ 4669 : DB;
+ 466A : 02;
+ 466B : D3;
+ 466C : 05;
+ 466D : FE;
+ 466E : 40;
+ 466F : 38;
+ 4670 : 02;
+ 4671 : 3E;
+ 4672 : 40;
+ 4673 : 32;
+ 4674 : A6;
+ 4675 : 40;
+ 4676 : FD;
+ 4677 : 21;
+ 4678 : 00;
+ 4679 : 44;
+ 467A : 06;
+ 467B : 08;
+ 467C : 11;
+ 467D : 40;
+ 467E : 00;
+ 467F : FD;
+ 4680 : CB;
+ 4681 : 00;
+ 4682 : C6;
+ 4683 : FD;
+ 4684 : 19;
+ 4685 : 10;
+ 4686 : F8;
+ 4687 : C9;
+ 4688 : DB;
+ 4689 : 02;
+ 468A : D3;
+ 468B : 05;
+ 468C : 5F;
+ 468D : 3A;
+ 468E : 98;
+ 468F : 40;
+ 4690 : B7;
+ 4691 : C8;
+ 4692 : CD;
+ 4693 : E5;
+ 4694 : C5;
+ 4695 : 7B;
+ 4696 : FE;
+ 4697 : 60;
+ 4698 : 38;
+ 4699 : 02;
+ 469A : 1E;
+ 469B : 5F;
+ 469C : FD;
+ 469D : 73;
+ 469E : 1F;
+ 469F : CD;
+ 46A0 : C0;
+ 46A1 : C9;
+ 46A2 : FD;
+ 46A3 : 75;
+ 46A4 : 36;
+ 46A5 : FD;
+ 46A6 : 74;
+ 46A7 : 37;
+ 46A8 : CD;
+ 46A9 : C5;
+ 46AA : C9;
+ 46AB : FD;
+ 46AC : 75;
+ 46AD : 38;
+ 46AE : FD;
+ 46AF : 74;
+ 46B0 : 39;
+ 46B1 : C9;
+ 46B2 : DB;
+ 46B3 : 02;
+ 46B4 : D3;
+ 46B5 : 05;
+ 46B6 : 5F;
+ 46B7 : 3A;
+ 46B8 : 98;
+ 46B9 : 40;
+ 46BA : B7;
+ 46BB : C8;
+ 46BC : CD;
+ 46BD : E5;
+ 46BE : C5;
+ 46BF : 7B;
+ 46C0 : FE;
+ 46C1 : 41;
+ 46C2 : 38;
+ 46C3 : 02;
+ 46C4 : 1E;
+ 46C5 : 40;
+ 46C6 : FD;
+ 46C7 : 73;
+ 46C8 : 14;
+ 46C9 : C9;
+ 46CA : 3A;
+ 46CB : 98;
+ 46CC : 40;
+ 46CD : CD;
+ 46CE : E5;
+ 46CF : C5;
+ 46D0 : E5;
+ 46D1 : FD;
+ 46D2 : E1;
+ 46D3 : FD;
+ 46D4 : 7E;
+ 46D5 : 15;
+ 46D6 : D3;
+ 46D7 : 03;
+ 46D8 : DB;
+ 46D9 : 02;
+ 46DA : D3;
+ 46DB : 05;
+ 46DC : FD;
+ 46DD : 77;
+ 46DE : 15;
+ 46DF : C9;
+ 46E0 : 3A;
+ 46E1 : 98;
+ 46E2 : 40;
+ 46E3 : CD;
+ 46E4 : E5;
+ 46E5 : C5;
+ 46E6 : E5;
+ 46E7 : FD;
+ 46E8 : E1;
+ 46E9 : FD;
+ 46EA : 7E;
+ 46EB : 1A;
+ 46EC : D3;
+ 46ED : 03;
+ 46EE : DB;
+ 46EF : 02;
+ 46F0 : D3;
+ 46F1 : 05;
+ 46F2 : FD;
+ 46F3 : 77;
+ 46F4 : 1A;
+ 46F5 : C9;
+ 46F6 : 3A;
+ 46F7 : 98;
+ 46F8 : 40;
+ 46F9 : CD;
+ 46FA : E5;
+ 46FB : C5;
+ 46FC : E5;
+ 46FD : FD;
+ 46FE : E1;
+ 46FF : FD;
+ 4700 : 7E;
+ 4701 : 18;
+ 4702 : D3;
+ 4703 : 03;
+ 4704 : DB;
+ 4705 : 02;
+ 4706 : D3;
+ 4707 : 05;
+ 4708 : FD;
+ 4709 : 77;
+ 470A : 18;
+ 470B : C9;
+ 470C : 3A;
+ 470D : 98;
+ 470E : 40;
+ 470F : CD;
+ 4710 : E5;
+ 4711 : C5;
+ 4712 : E5;
+ 4713 : FD;
+ 4714 : E1;
+ 4715 : FD;
+ 4716 : 7E;
+ 4717 : 19;
+ 4718 : D3;
+ 4719 : 03;
+ 471A : DB;
+ 471B : 02;
+ 471C : D3;
+ 471D : 05;
+ 471E : FD;
+ 471F : 77;
+ 4720 : 19;
+ 4721 : C9;
+ 4722 : 3A;
+ 4723 : 98;
+ 4724 : 40;
+ 4725 : CD;
+ 4726 : E5;
+ 4727 : C5;
+ 4728 : E5;
+ 4729 : FD;
+ 472A : E1;
+ 472B : DB;
+ 472C : 02;
+ 472D : D3;
+ 472E : 05;
+ 472F : FD;
+ 4730 : 77;
+ 4731 : 0E;
+ 4732 : CD;
+ 4733 : 51;
+ 4734 : C2;
+ 4735 : FD;
+ 4736 : 77;
+ 4737 : 0F;
+ 4738 : CD;
+ 4739 : 51;
+ 473A : C2;
+ 473B : FD;
+ 473C : 77;
+ 473D : 10;
+ 473E : C9;
+ 473F : 3A;
+ 4740 : 98;
+ 4741 : 40;
+ 4742 : CD;
+ 4743 : E5;
+ 4744 : C5;
+ 4745 : E5;
+ 4746 : FD;
+ 4747 : E1;
+ 4748 : DB;
+ 4749 : 02;
+ 474A : D3;
+ 474B : 05;
+ 474C : FD;
+ 474D : 77;
+ 474E : 11;
+ 474F : CD;
+ 4750 : 51;
+ 4751 : C2;
+ 4752 : FD;
+ 4753 : 77;
+ 4754 : 12;
+ 4755 : CD;
+ 4756 : 51;
+ 4757 : C2;
+ 4758 : FD;
+ 4759 : 77;
+ 475A : 13;
+ 475B : C9;
+ 475C : 06;
+ 475D : 00;
+ 475E : D3;
+ 475F : 03;
+ 4760 : C3;
+ 4761 : 66;
+ 4762 : C7;
+ 4763 : DB;
+ 4764 : 02;
+ 4765 : 47;
+ 4766 : DB;
+ 4767 : 01;
+ 4768 : D3;
+ 4769 : 05;
+ 476A : E6;
+ 476B : 07;
+ 476C : 5F;
+ 476D : CD;
+ 476E : 51;
+ 476F : C2;
+ 4770 : 6F;
+ 4771 : 7B;
+ 4772 : FE;
+ 4773 : 04;
+ 4774 : D4;
+ 4775 : 51;
+ 4776 : C2;
+ 4777 : 67;
+ 4778 : 7B;
+ 4779 : FE;
+ 477A : 07;
+ 477B : CC;
+ 477C : 51;
+ 477D : C2;
+ 477E : 57;
+ 477F : 78;
+ 4780 : B7;
+ 4781 : 20;
+ 4782 : 07;
+ 4783 : 3A;
+ 4784 : B8;
+ 4785 : 40;
+ 4786 : B7;
+ 4787 : CA;
+ 4788 : 96;
+ 4789 : C2;
+ 478A : 47;
+ 478B : 0E;
+ 478C : 01;
+ 478D : FD;
+ 478E : 21;
+ 478F : 00;
+ 4790 : 44;
+ 4791 : 78;
+ 4792 : A1;
+ 4793 : 20;
+ 4794 : 11;
+ 4795 : CB;
+ 4796 : 01;
+ 4797 : FD;
+ 4798 : 7D;
+ 4799 : C6;
+ 479A : 40;
+ 479B : FD;
+ 479C : 6F;
+ 479D : FD;
+ 479E : 7C;
+ 479F : CE;
+ 47A0 : 00;
+ 47A1 : FD;
+ 47A2 : 67;
+ 47A3 : C3;
+ 47A4 : 91;
+ 47A5 : C7;
+ 47A6 : 7B;
+ 47A7 : B7;
+ 47A8 : CA;
+ 47A9 : C4;
+ 47AA : C7;
+ 47AB : FE;
+ 47AC : 02;
+ 47AD : CA;
+ 47AE : 26;
+ 47AF : C8;
+ 47B0 : FE;
+ 47B1 : 04;
+ 47B2 : CA;
+ 47B3 : 32;
+ 47B4 : C8;
+ 47B5 : FE;
+ 47B6 : 05;
+ 47B7 : CA;
+ 47B8 : 5E;
+ 47B9 : C8;
+ 47BA : FE;
+ 47BB : 06;
+ 47BC : CA;
+ 47BD : 8A;
+ 47BE : C8;
+ 47BF : FE;
+ 47C0 : 07;
+ 47C1 : CA;
+ 47C2 : 8A;
+ 47C3 : C8;
+ 47C4 : FD;
+ 47C5 : CB;
+ 47C6 : 00;
+ 47C7 : FE;
+ 47C8 : 7D;
+ 47C9 : E6;
+ 47CA : 7F;
+ 47CB : FE;
+ 47CC : 60;
+ 47CD : D2;
+ 47CE : C4;
+ 47CF : C7;
+ 47D0 : FD;
+ 47D1 : 7E;
+ 47D2 : 2A;
+ 47D3 : B7;
+ 47D4 : CA;
+ 47D5 : C4;
+ 47D6 : C7;
+ 47D7 : D5;
+ 47D8 : C5;
+ 47D9 : E5;
+ 47DA : 5D;
+ 47DB : CB;
+ 47DC : BB;
+ 47DD : CD;
+ 47DE : C5;
+ 47DF : C9;
+ 47E0 : FD;
+ 47E1 : 75;
+ 47E2 : 10;
+ 47E3 : FD;
+ 47E4 : 74;
+ 47E5 : 11;
+ 47E6 : CD;
+ 47E7 : C0;
+ 47E8 : C9;
+ 47E9 : FD;
+ 47EA : 75;
+ 47EB : 12;
+ 47EC : FD;
+ 47ED : 74;
+ 47EE : 13;
+ 47EF : FD;
+ 47F0 : CB;
+ 47F1 : 00;
+ 47F2 : 7E;
+ 47F3 : 20;
+ 47F4 : 09;
+ 47F5 : FD;
+ 47F6 : 7E;
+ 47F7 : 28;
+ 47F8 : BB;
+ 47F9 : 28;
+ 47FA : 0B;
+ 47FB : FD;
+ 47FC : 73;
+ 47FD : 28;
+ 47FE : FD;
+ 47FF : 36;
+ 4800 : 0E;
+ 4801 : 00;
+ 4802 : FD;
+ 4803 : 36;
+ 4804 : 0F;
+ 4805 : 00;
+ 4806 : E1;
+ 4807 : E5;
+ 4808 : FD;
+ 4809 : CB;
+ 480A : 00;
+ 480B : 7E;
+ 480C : 20;
+ 480D : 02;
+ 480E : CB;
+ 480F : 7D;
+ 4810 : E1;
+ 4811 : C1;
+ 4812 : D1;
+ 4813 : C3;
+ 4814 : C4;
+ 4815 : C7;
+ 4816 : 7D;
+ 4817 : FE;
+ 4818 : 40;
+ 4819 : 38;
+ 481A : 02;
+ 481B : 2E;
+ 481C : 40;
+ 481D : FD;
+ 481E : 77;
+ 481F : 19;
+ 4820 : FD;
+ 4821 : 77;
+ 4822 : 1A;
+ 4823 : C3;
+ 4824 : C4;
+ 4825 : C7;
+ 4826 : FD;
+ 4827 : 75;
+ 4828 : 15;
+ 4829 : C3;
+ 482A : C4;
+ 482B : C7;
+ 482C : FD;
+ 482D : 75;
+ 482E : 17;
+ 482F : C3;
+ 4830 : C4;
+ 4831 : C7;
+ 4832 : 7C;
+ 4833 : B7;
+ 4834 : 20;
+ 4835 : 05;
+ 4836 : B5;
+ 4837 : 20;
+ 4838 : 02;
+ 4839 : 2E;
+ 483A : 01;
+ 483B : 7C;
+ 483C : FE;
+ 483D : 20;
+ 483E : 38;
+ 483F : 03;
+ 4840 : 21;
+ 4841 : FF;
+ 4842 : 1F;
+ 4843 : FD;
+ 4844 : 7E;
+ 4845 : 00;
+ 4846 : FD;
+ 4847 : CB;
+ 4848 : 00;
+ 4849 : FE;
+ 484A : FD;
+ 484B : 75;
+ 484C : 12;
+ 484D : FD;
+ 484E : 74;
+ 484F : 13;
+ 4850 : FD;
+ 4851 : 36;
+ 4852 : 0E;
+ 4853 : 00;
+ 4854 : FD;
+ 4855 : 36;
+ 4856 : 0F;
+ 4857 : 00;
+ 4858 : FD;
+ 4859 : 77;
+ 485A : 00;
+ 485B : C3;
+ 485C : C4;
+ 485D : C7;
+ 485E : 7C;
+ 485F : B7;
+ 4860 : 20;
+ 4861 : 05;
+ 4862 : B5;
+ 4863 : 20;
+ 4864 : 02;
+ 4865 : 2E;
+ 4866 : 01;
+ 4867 : 7C;
+ 4868 : FE;
+ 4869 : 80;
+ 486A : 38;
+ 486B : 03;
+ 486C : 21;
+ 486D : FF;
+ 486E : 7F;
+ 486F : FD;
+ 4870 : 7E;
+ 4871 : 00;
+ 4872 : FD;
+ 4873 : CB;
+ 4874 : 00;
+ 4875 : FE;
+ 4876 : FD;
+ 4877 : 75;
+ 4878 : 10;
+ 4879 : FD;
+ 487A : 74;
+ 487B : 11;
+ 487C : FD;
+ 487D : 36;
+ 487E : 0E;
+ 487F : 00;
+ 4880 : FD;
+ 4881 : 36;
+ 4882 : 0F;
+ 4883 : 00;
+ 4884 : FD;
+ 4885 : 77;
+ 4886 : 00;
+ 4887 : C3;
+ 4888 : C4;
+ 4889 : C7;
+ 488A : 3A;
+ 488B : 5B;
+ 488C : 41;
+ 488D : D3;
+ 488E : 03;
+ 488F : D3;
+ 4890 : 05;
+ 4891 : C9;
+ 4892 : 3A;
+ 4893 : 5A;
+ 4894 : 41;
+ 4895 : D3;
+ 4896 : 03;
+ 4897 : D3;
+ 4898 : 05;
+ 4899 : C9;
+ 489A : 3A;
+ 489B : 5B;
+ 489C : 41;
+ [489D..489E] : 0F;
+ 489F : E6;
+ 48A0 : C0;
+ 48A1 : 47;
+ 48A2 : 3A;
+ 48A3 : 5A;
+ 48A4 : 41;
+ 48A5 : E6;
+ 48A6 : 3F;
+ 48A7 : B0;
+ 48A8 : D3;
+ 48A9 : 03;
+ 48AA : D3;
+ 48AB : 05;
+ 48AC : C9;
+ 48AD : 21;
+ 48AE : 1A;
+ 48AF : 46;
+ 48B0 : C3;
+ 48B1 : B6;
+ 48B2 : C8;
+ 48B3 : 21;
+ 48B4 : 14;
+ 48B5 : 46;
+ 48B6 : 11;
+ 48B7 : 40;
+ 48B8 : 00;
+ 48B9 : 06;
+ 48BA : 04;
+ 48BB : 7E;
+ 48BC : D3;
+ 48BD : 03;
+ 48BE : D3;
+ 48BF : 05;
+ 48C0 : C3;
+ 48C1 : C6;
+ 48C2 : C8;
+ 48C3 : 7E;
+ 48C4 : D3;
+ 48C5 : 03;
+ 48C6 : CB;
+ 48C7 : FE;
+ 48C8 : CD;
+ 48C9 : 5D;
+ 48CA : C2;
+ 48CB : 19;
+ 48CC : 10;
+ 48CD : F5;
+ 48CE : C9;
+ 48CF : DB;
+ 48D0 : 02;
+ 48D1 : D3;
+ 48D2 : 05;
+ 48D3 : CD;
+ 48D4 : EE;
+ 48D5 : D2;
+ 48D6 : C9;
+ 48D7 : 3A;
+ 48D8 : 58;
+ 48D9 : 41;
+ 48DA : D3;
+ 48DB : 03;
+ 48DC : D3;
+ 48DD : 05;
+ 48DE : C9;
+ 48DF : 3A;
+ 48E0 : 57;
+ 48E1 : 41;
+ 48E2 : D3;
+ 48E3 : 03;
+ 48E4 : D3;
+ 48E5 : 05;
+ 48E6 : C9;
+ 48E7 : 3E;
+ 48E8 : FF;
+ 48E9 : 32;
+ 48EA : 87;
+ 48EB : 40;
+ 48EC : CD;
+ 48ED : ED;
+ 48EE : CD;
+ 48EF : AF;
+ 48F0 : 32;
+ 48F1 : 87;
+ 48F2 : 40;
+ 48F3 : D3;
+ 48F4 : 05;
+ 48F5 : C9;
+ 48F6 : DB;
+ 48F7 : 02;
+ 48F8 : D3;
+ 48F9 : 05;
+ 48FA : 4F;
+ 48FB : 2F;
+ 48FC : 47;
+ 48FD : 3A;
+ 48FE : A0;
+ 48FF : 40;
+ 4900 : A0;
+ 4901 : 32;
+ 4902 : A0;
+ 4903 : 40;
+ 4904 : FD;
+ 4905 : 21;
+ 4906 : 00;
+ 4907 : 44;
+ 4908 : 11;
+ 4909 : 40;
+ 490A : 00;
+ 490B : CB;
+ 490C : 21;
+ 490D : 30;
+ 490E : 04;
+ 490F : FD;
+ 4910 : CB;
+ 4911 : 00;
+ 4912 : BE;
+ 4913 : FD;
+ 4914 : 19;
+ 4915 : CB;
+ 4916 : 21;
+ 4917 : 38;
+ 4918 : F6;
+ 4919 : C2;
+ 491A : 13;
+ [491B..491C] : C9;
+ 491D : DB;
+ 491E : 02;
+ 491F : B7;
+ 4920 : 20;
+ 4921 : 03;
+ 4922 : 3A;
+ 4923 : 98;
+ 4924 : 40;
+ 4925 : 32;
+ 4926 : 98;
+ 4927 : 40;
+ 4928 : 4F;
+ 4929 : 3A;
+ 492A : 92;
+ 492B : 40;
+ 492C : B9;
+ 492D : DA;
+ 492E : 50;
+ 492F : C6;
+ 4930 : DB;
+ 4931 : 01;
+ 4932 : D3;
+ 4933 : 05;
+ 4934 : 47;
+ 4935 : CB;
+ 4936 : 58;
+ 4937 : C4;
+ 4938 : 51;
+ 4939 : C2;
+ 493A : 5F;
+ 493B : CB;
+ 493C : 60;
+ 493D : C4;
+ 493E : 51;
+ 493F : C2;
+ 4940 : 57;
+ 4941 : 79;
+ 4942 : CD;
+ 4943 : E5;
+ 4944 : C5;
+ 4945 : D5;
+ 4946 : C5;
+ 4947 : CD;
+ 4948 : 6F;
+ 4949 : C9;
+ 494A : C1;
+ 494B : D1;
+ 494C : E5;
+ 494D : FD;
+ 494E : E1;
+ 494F : CB;
+ 4950 : 60;
+ 4951 : 28;
+ 4952 : 06;
+ 4953 : FD;
+ 4954 : 72;
+ 4955 : 19;
+ 4956 : FD;
+ 4957 : 72;
+ 4958 : 1A;
+ 4959 : CB;
+ 495A : 58;
+ 495B : C8;
+ 495C : CD;
+ 495D : C5;
+ 495E : C9;
+ 495F : FD;
+ 4960 : 75;
+ 4961 : 10;
+ 4962 : FD;
+ 4963 : 74;
+ 4964 : 11;
+ 4965 : CD;
+ 4966 : C0;
+ 4967 : C9;
+ 4968 : FD;
+ 4969 : 75;
+ 496A : 12;
+ 496B : FD;
+ 496C : 74;
+ 496D : 13;
+ 496E : C9;
+ 496F : FD;
+ 4970 : E5;
+ 4971 : FD;
+ 4972 : 21;
+ 4973 : 00;
+ 4974 : 44;
+ 4975 : 11;
+ 4976 : 40;
+ 4977 : 00;
+ 4978 : 78;
+ 4979 : E6;
+ 497A : 07;
+ 497B : CA;
+ 497C : BA;
+ 497D : CA;
+ 497E : FD;
+ 497F : 19;
+ 4980 : 3D;
+ 4981 : C3;
+ 4982 : 7B;
+ 4983 : C9;
+ 4984 : DB;
+ 4985 : 02;
+ 4986 : 4F;
+ 4987 : DB;
+ 4988 : 01;
+ 4989 : D3;
+ 498A : 05;
+ 498B : 47;
+ 498C : FD;
+ 498D : 21;
+ 498E : 00;
+ 498F : 44;
+ 4990 : 11;
+ 4991 : 40;
+ 4992 : 00;
+ 4993 : E6;
+ 4994 : 07;
+ 4995 : 28;
+ 4996 : 06;
+ 4997 : FD;
+ 4998 : 19;
+ 4999 : 3D;
+ 499A : C2;
+ 499B : 95;
+ 499C : C9;
+ 499D : CB;
+ 499E : 58;
+ 499F : 20;
+ 49A0 : 14;
+ 49A1 : 59;
+ 49A2 : CD;
+ 49A3 : C0;
+ 49A4 : C9;
+ 49A5 : FD;
+ 49A6 : 75;
+ 49A7 : 12;
+ 49A8 : FD;
+ 49A9 : 74;
+ 49AA : 13;
+ 49AB : CD;
+ 49AC : C5;
+ 49AD : C9;
+ 49AE : FD;
+ 49AF : 75;
+ 49B0 : 10;
+ 49B1 : FD;
+ 49B2 : 74;
+ 49B3 : 11;
+ 49B4 : C9;
+ 49B5 : FD;
+ 49B6 : 71;
+ 49B7 : 19;
+ 49B8 : FD;
+ 49B9 : 71;
+ 49BA : 1A;
+ 49BB : FD;
+ 49BC : CB;
+ 49BD : 00;
+ 49BE : C6;
+ 49BF : C9;
+ 49C0 : 21;
+ 49C1 : 00;
+ 49C2 : EC;
+ 49C3 : 18;
+ 49C4 : 03;
+ 49C5 : 21;
+ 49C6 : 00;
+ 49C7 : E0;
+ 49C8 : FD;
+ 49C9 : 7E;
+ 49CA : 15;
+ 49CB : 1F;
+ 49CC : E6;
+ 49CD : 0F;
+ 49CE : 28;
+ 49CF : 13;
+ 49D0 : 4F;
+ 49D1 : 87;
+ 49D2 : 81;
+ [49D3..49D5] : 87;
+ 49D6 : 06;
+ 49D7 : 00;
+ 49D8 : CB;
+ 49D9 : 10;
+ 49DA : 87;
+ 49DB : CB;
+ 49DC : 10;
+ 49DD : 87;
+ 49DE : CB;
+ 49DF : 10;
+ 49E0 : 4F;
+ [49E1..49E2] : 09;
+ 49E3 : 16;
+ 49E4 : 00;
+ 49E5 : 7B;
+ 49E6 : FE;
+ 49E7 : 60;
+ 49E8 : 38;
+ 49E9 : 02;
+ 49EA : 1E;
+ 49EB : 5F;
+ 49EC : CB;
+ 49ED : 23;
+ 49EE : 19;
+ 49EF : 5E;
+ 49F0 : 23;
+ 49F1 : 56;
+ 49F2 : EB;
+ 49F3 : 5F;
+ 49F4 : FD;
+ 49F5 : CB;
+ 49F6 : 15;
+ 49F7 : 46;
+ 49F8 : C8;
+ 49F9 : C9;
+ 49FA : 0E;
+ 49FB : 00;
+ 49FC : 3A;
+ 49FD : 51;
+ 49FE : 41;
+ 49FF : B7;
+ 4A00 : FA;
+ 4A01 : 27;
+ 4A02 : CA;
+ 4A03 : 3A;
+ 4A04 : 9E;
+ 4A05 : 40;
+ 4A06 : B7;
+ 4A07 : 28;
+ 4A08 : 1E;
+ 4A09 : FD;
+ 4A0A : 21;
+ 4A0B : 00;
+ 4A0C : 46;
+ 4A0D : 11;
+ 4A0E : 40;
+ 4A0F : 00;
+ 4A10 : 06;
+ 4A11 : 04;
+ 4A12 : FD;
+ 4A13 : CB;
+ 4A14 : 00;
+ 4A15 : 7E;
+ 4A16 : 28;
+ 4A17 : 0B;
+ 4A18 : FD;
+ 4A19 : 7E;
+ 4A1A : 1A;
+ 4A1B : B7;
+ 4A1C : 28;
+ 4A1D : 05;
+ 4A1E : 79;
+ 4A1F : FD;
+ 4A20 : B6;
+ 4A21 : 25;
+ 4A22 : 4F;
+ 4A23 : FD;
+ 4A24 : 19;
+ 4A25 : 10;
+ 4A26 : EB;
+ 4A27 : E5;
+ 4A28 : FD;
+ 4A29 : E1;
+ 4A2A : 21;
+ 4A2B : 9F;
+ 4A2C : 40;
+ 4A2D : 7E;
+ 4A2E : B7;
+ 4A2F : 37;
+ 4A30 : C8;
+ 4A31 : 3A;
+ 4A32 : A0;
+ 4A33 : 40;
+ 4A34 : B1;
+ 4A35 : 2F;
+ 4A36 : A6;
+ 4A37 : 4F;
+ 4A38 : FD;
+ 4A39 : A6;
+ 4A3A : 18;
+ 4A3B : 20;
+ 4A3C : 67;
+ 4A3D : FD;
+ 4A3E : 7E;
+ 4A3F : 1A;
+ 4A40 : FE;
+ 4A41 : 40;
+ 4A42 : 30;
+ 4A43 : 09;
+ 4A44 : 79;
+ 4A45 : FD;
+ 4A46 : A6;
+ 4A47 : 19;
+ 4A48 : 20;
+ 4A49 : 5A;
+ 4A4A : C3;
+ 4A4B : 61;
+ 4A4C : CA;
+ 4A4D : 3A;
+ 4A4E : A0;
+ 4A4F : 40;
+ 4A50 : 2F;
+ 4A51 : A6;
+ 4A52 : FD;
+ 4A53 : A6;
+ 4A54 : 18;
+ 4A55 : 20;
+ 4A56 : 4D;
+ 4A57 : 3A;
+ 4A58 : A0;
+ 4A59 : 40;
+ 4A5A : 2F;
+ 4A5B : A6;
+ 4A5C : FD;
+ 4A5D : A6;
+ 4A5E : 19;
+ 4A5F : 20;
+ 4A60 : 43;
+ 4A61 : 3A;
+ 4A62 : A0;
+ 4A63 : 40;
+ 4A64 : 47;
+ 4A65 : 3A;
+ 4A66 : 9F;
+ 4A67 : 40;
+ 4A68 : A0;
+ 4A69 : 47;
+ 4A6A : FD;
+ 4A6B : E5;
+ 4A6C : FD;
+ 4A6D : 21;
+ 4A6E : 00;
+ 4A6F : 44;
+ 4A70 : 6F;
+ 4A71 : 26;
+ 4A72 : FF;
+ 4A73 : 11;
+ 4A74 : 40;
+ 4A75 : 00;
+ 4A76 : CB;
+ 4A77 : 38;
+ 4A78 : DA;
+ 4A79 : 81;
+ 4A7A : CA;
+ 4A7B : C2;
+ 4A7C : 8B;
+ 4A7D : CA;
+ 4A7E : C3;
+ 4A7F : 95;
+ 4A80 : CA;
+ 4A81 : FD;
+ 4A82 : 7E;
+ 4A83 : 39;
+ 4A84 : BC;
+ 4A85 : 30;
+ 4A86 : 04;
+ 4A87 : 67;
+ 4A88 : FD;
+ 4A89 : 6E;
+ 4A8A : 25;
+ 4A8B : FD;
+ 4A8C : 19;
+ 4A8D : CB;
+ 4A8E : 38;
+ 4A8F : DA;
+ 4A90 : 81;
+ 4A91 : CA;
+ 4A92 : C2;
+ 4A93 : 8B;
+ 4A94 : CA;
+ 4A95 : FD;
+ 4A96 : E1;
+ 4A97 : 7D;
+ 4A98 : B7;
+ 4A99 : 37;
+ 4A9A : C8;
+ 4A9B : 7C;
+ 4A9C : FD;
+ 4A9D : BE;
+ 4A9E : 1A;
+ 4A9F : 7D;
+ 4AA0 : 38;
+ 4AA1 : 02;
+ 4AA2 : 37;
+ 4AA3 : C9;
+ 4AA4 : 47;
+ 4AA5 : FD;
+ 4AA6 : E5;
+ 4AA7 : FD;
+ 4AA8 : 21;
+ 4AA9 : 00;
+ 4AAA : 44;
+ 4AAB : 11;
+ 4AAC : 40;
+ 4AAD : 00;
+ 4AAE : CB;
+ 4AAF : 38;
+ 4AB0 : DA;
+ 4AB1 : BA;
+ 4AB2 : CA;
+ 4AB3 : FD;
+ 4AB4 : 19;
+ 4AB5 : CB;
+ 4AB6 : 38;
+ 4AB7 : D2;
+ 4AB8 : B3;
+ 4AB9 : CA;
+ 4ABA : 3A;
+ 4ABB : A0;
+ 4ABC : 40;
+ 4ABD : FD;
+ 4ABE : B6;
+ 4ABF : 25;
+ 4AC0 : 32;
+ 4AC1 : A0;
+ 4AC2 : 40;
+ 4AC3 : FD;
+ 4AC4 : E3;
+ 4AC5 : FD;
+ 4AC6 : 5E;
+ 4AC7 : 08;
+ 4AC8 : FD;
+ 4AC9 : 56;
+ 4ACA : 09;
+ 4ACB : FD;
+ 4ACC : 7E;
+ 4ACD : 0A;
+ 4ACE : CB;
+ 4ACF : 32;
+ 4AD0 : 17;
+ 4AD1 : CB;
+ 4AD2 : 0A;
+ 4AD3 : FD;
+ 4AD4 : E3;
+ 4AD5 : FD;
+ 4AD6 : 77;
+ 4AD7 : 04;
+ 4AD8 : FD;
+ 4AD9 : 73;
+ 4ADA : 02;
+ 4ADB : FD;
+ 4ADC : 72;
+ 4ADD : 03;
+ 4ADE : FD;
+ 4ADF : E3;
+ 4AE0 : FD;
+ 4AE1 : 7E;
+ 4AE2 : 08;
+ 4AE3 : FD;
+ 4AE4 : 86;
+ 4AE5 : 0B;
+ 4AE6 : 5F;
+ 4AE7 : FD;
+ 4AE8 : 7E;
+ 4AE9 : 09;
+ 4AEA : FD;
+ 4AEB : 8E;
+ 4AEC : 0C;
+ 4AED : 57;
+ 4AEE : FD;
+ 4AEF : 7E;
+ 4AF0 : 0A;
+ 4AF1 : FD;
+ 4AF2 : 8E;
+ 4AF3 : 0D;
+ 4AF4 : CB;
+ 4AF5 : 32;
+ 4AF6 : 17;
+ 4AF7 : CB;
+ 4AF8 : 0A;
+ 4AF9 : FD;
+ 4AFA : E3;
+ 4AFB : FD;
+ 4AFC : 77;
+ 4AFD : 07;
+ 4AFE : FD;
+ 4AFF : 73;
+ 4B00 : 05;
+ 4B01 : FD;
+ 4B02 : 72;
+ 4B03 : 06;
+ 4B04 : FD;
+ 4B05 : 36;
+ 4B06 : 0A;
+ 4B07 : FF;
+ 4B08 : FD;
+ 4B09 : E3;
+ 4B0A : FD;
+ 4B0B : 7E;
+ 4B0C : 10;
+ 4B0D : 3C;
+ 4B0E : 28;
+ 4B0F : 4C;
+ 4B10 : FD;
+ 4B11 : 7E;
+ 4B12 : 08;
+ 4B13 : FD;
+ 4B14 : 86;
+ 4B15 : 0E;
+ 4B16 : 5F;
+ 4B17 : FD;
+ 4B18 : 7E;
+ 4B19 : 09;
+ 4B1A : FD;
+ 4B1B : 8E;
+ 4B1C : 0F;
+ 4B1D : 57;
+ 4B1E : FD;
+ 4B1F : 7E;
+ 4B20 : 0A;
+ 4B21 : FD;
+ 4B22 : 8E;
+ 4B23 : 10;
+ 4B24 : CB;
+ 4B25 : 32;
+ 4B26 : 17;
+ 4B27 : CB;
+ 4B28 : 0A;
+ 4B29 : FD;
+ 4B2A : E3;
+ 4B2B : FD;
+ 4B2C : 77;
+ 4B2D : 0A;
+ 4B2E : FD;
+ 4B2F : 73;
+ 4B30 : 08;
+ 4B31 : FD;
+ 4B32 : 72;
+ 4B33 : 09;
+ 4B34 : FD;
+ 4B35 : E3;
+ 4B36 : FD;
+ 4B37 : 7E;
+ 4B38 : 08;
+ 4B39 : FD;
+ 4B3A : 86;
+ 4B3B : 11;
+ 4B3C : 5F;
+ 4B3D : FD;
+ 4B3E : 7E;
+ 4B3F : 09;
+ 4B40 : FD;
+ 4B41 : 8E;
+ 4B42 : 12;
+ 4B43 : 57;
+ 4B44 : FD;
+ 4B45 : 7E;
+ 4B46 : 0A;
+ 4B47 : FD;
+ 4B48 : 8E;
+ 4B49 : 13;
+ 4B4A : CB;
+ 4B4B : 32;
+ 4B4C : 17;
+ 4B4D : CB;
+ 4B4E : 0A;
+ 4B4F : FD;
+ 4B50 : E3;
+ 4B51 : FD;
+ 4B52 : 77;
+ 4B53 : 0D;
+ 4B54 : FD;
+ 4B55 : 73;
+ 4B56 : 0B;
+ 4B57 : FD;
+ 4B58 : 72;
+ 4B59 : 0C;
+ 4B5A : FD;
+ 4B5B : E3;
+ 4B5C : FD;
+ 4B5D : 5E;
+ 4B5E : 14;
+ 4B5F : FD;
+ 4B60 : 56;
+ 4B61 : 15;
+ 4B62 : FD;
+ 4B63 : 46;
+ 4B64 : 1F;
+ 4B65 : FD;
+ 4B66 : 4E;
+ 4B67 : 17;
+ 4B68 : FD;
+ 4B69 : 6E;
+ 4B6A : 16;
+ 4B6B : FD;
+ 4B6C : 66;
+ 4B6D : 06;
+ 4B6E : FD;
+ 4B6F : E3;
+ 4B70 : FD;
+ 4B71 : 73;
+ 4B72 : 19;
+ 4B73 : FD;
+ 4B74 : 73;
+ 4B75 : 1A;
+ 4B76 : FD;
+ 4B77 : 72;
+ 4B78 : 15;
+ 4B79 : FD;
+ 4B7A : 70;
+ 4B7B : 28;
+ 4B7C : FD;
+ 4B7D : 71;
+ 4B7E : 17;
+ 4B7F : FD;
+ 4B80 : 75;
+ 4B81 : 16;
+ 4B82 : FD;
+ 4B83 : 74;
+ 4B84 : 3A;
+ 4B85 : FD;
+ 4B86 : E3;
+ 4B87 : FD;
+ 4B88 : 5E;
+ 4B89 : 36;
+ 4B8A : FD;
+ 4B8B : 56;
+ 4B8C : 37;
+ 4B8D : FD;
+ 4B8E : 6E;
+ 4B8F : 38;
+ 4B90 : FD;
+ 4B91 : 66;
+ 4B92 : 39;
+ 4B93 : FD;
+ 4B94 : 4E;
+ 4B95 : 1A;
+ 4B96 : FD;
+ 4B97 : E3;
+ 4B98 : CB;
+ 4B99 : 3A;
+ 4B9A : CB;
+ 4B9B : 1B;
+ 4B9C : CB;
+ 4B9D : 3A;
+ 4B9E : CB;
+ 4B9F : 1B;
+ 4BA0 : FD;
+ 4BA1 : 73;
+ 4BA2 : 12;
+ 4BA3 : FD;
+ 4BA4 : 72;
+ 4BA5 : 13;
+ 4BA6 : FD;
+ 4BA7 : 75;
+ 4BA8 : 10;
+ 4BA9 : FD;
+ 4BAA : 74;
+ 4BAB : 11;
+ 4BAC : FD;
+ 4BAD : 71;
+ 4BAE : 39;
+ 4BAF : FD;
+ 4BB0 : 36;
+ 4BB1 : 22;
+ 4BB2 : FF;
+ 4BB3 : FD;
+ 4BB4 : 36;
+ 4BB5 : 21;
+ 4BB6 : FF;
+ 4BB7 : FD;
+ 4BB8 : 36;
+ 4BB9 : 1E;
+ 4BBA : FF;
+ 4BBB : FD;
+ 4BBC : 36;
+ 4BBD : 1D;
+ 4BBE : FF;
+ 4BBF : FD;
+ 4BC0 : 36;
+ 4BC1 : 18;
+ 4BC2 : 20;
+ 4BC3 : FD;
+ 4BC4 : 36;
+ 4BC5 : 1B;
+ 4BC6 : 40;
+ 4BC7 : FD;
+ 4BC8 : 36;
+ 4BC9 : 0E;
+ 4BCA : 00;
+ 4BCB : FD;
+ 4BCC : 36;
+ 4BCD : 0F;
+ 4BCE : 00;
+ 4BCF : FD;
+ 4BD0 : 36;
+ 4BD1 : 19;
+ 4BD2 : 40;
+ 4BD3 : FD;
+ 4BD4 : 36;
+ 4BD5 : 17;
+ 4BD6 : 80;
+ 4BD7 : FD;
+ 4BD8 : CB;
+ 4BD9 : 00;
+ 4BDA : FE;
+ 4BDB : FD;
+ 4BDC : CB;
+ 4BDD : 00;
+ 4BDE : C6;
+ 4BDF : FD;
+ 4BE0 : E5;
+ 4BE1 : E1;
+ 4BE2 : FD;
+ 4BE3 : E1;
+ 4BE4 : 3E;
+ 4BE5 : FF;
+ 4BE6 : 32;
+ 4BE7 : 84;
+ 4BE8 : 40;
+ 4BE9 : C9;
+ 4BEA : AF;
+ 4BEB : 32;
+ 4BEC : 82;
+ 4BED : 40;
+ 4BEE : E5;
+ 4BEF : 78;
+ 4BF0 : D9;
+ 4BF1 : D1;
+ 4BF2 : E5;
+ 4BF3 : C5;
+ 4BF4 : 4F;
+ 4BF5 : B7;
+ 4BF6 : ED;
+ 4BF7 : 52;
+ 4BF8 : 78;
+ 4BF9 : 99;
+ 4BFA : EB;
+ 4BFB : C1;
+ 4BFC : E1;
+ 4BFD : 4F;
+ 4BFE : B3;
+ 4BFF : B2;
+ 4C00 : C8;
+ 4C01 : D9;
+ 4C02 : EB;
+ 4C03 : ED;
+ 4C04 : 52;
+ 4C05 : 79;
+ 4C06 : 98;
+ 4C07 : DD;
+ 4C08 : 6F;
+ 4C09 : B5;
+ 4C0A : B4;
+ 4C0B : D9;
+ 4C0C : C8;
+ 4C0D : D5;
+ 4C0E : C5;
+ 4C0F : CB;
+ 4C10 : 79;
+ 4C11 : 78;
+ 4C12 : D9;
+ 4C13 : 20;
+ 4C14 : 16;
+ 4C15 : B9;
+ 4C16 : DA;
+ 4C17 : CD;
+ 4C18 : CC;
+ 4C19 : 20;
+ 4C1A : 10;
+ 4C1B : D9;
+ 4C1C : 7C;
+ 4C1D : D9;
+ 4C1E : BA;
+ 4C1F : DA;
+ 4C20 : CD;
+ 4C21 : CC;
+ 4C22 : 20;
+ 4C23 : 07;
+ 4C24 : D9;
+ 4C25 : 7D;
+ 4C26 : D9;
+ 4C27 : BB;
+ 4C28 : DA;
+ 4C29 : CD;
+ 4C2A : CC;
+ 4C2B : CB;
+ 4C2C : 32;
+ 4C2D : CB;
+ 4C2E : 10;
+ 4C2F : CB;
+ 4C30 : 0A;
+ 4C31 : D5;
+ 4C32 : D9;
+ 4C33 : EB;
+ 4C34 : E1;
+ 4C35 : CB;
+ 4C36 : 32;
+ 4C37 : CB;
+ 4C38 : 10;
+ 4C39 : CB;
+ 4C3A : 0A;
+ 4C3B : 78;
+ 4C3C : 01;
+ [4C3D..4C3E] : 00;
+ 4C3F : D9;
+ 4C40 : 4F;
+ 4C41 : D9;
+ 4C42 : 7C;
+ 4C43 : BA;
+ 4C44 : 38;
+ 4C45 : 0D;
+ 4C46 : 20;
+ 4C47 : 04;
+ 4C48 : 7D;
+ 4C49 : BB;
+ 4C4A : 38;
+ 4C4B : 07;
+ 4C4C : 79;
+ 4C4D : 95;
+ 4C4E : 4F;
+ 4C4F : 78;
+ 4C50 : 9C;
+ 4C51 : 18;
+ 4C52 : 05;
+ 4C53 : 79;
+ 4C54 : 93;
+ 4C55 : 4F;
+ 4C56 : 78;
+ 4C57 : 9A;
+ 4C58 : 47;
+ 4C59 : DD;
+ 4C5A : 7D;
+ 4C5B : B7;
+ 4C5C : 20;
+ 4C5D : 10;
+ 4C5E : 78;
+ 4C5F : D9;
+ 4C60 : BC;
+ 4C61 : 38;
+ 4C62 : 0C;
+ 4C63 : 20;
+ 4C64 : 06;
+ 4C65 : D9;
+ 4C66 : 79;
+ 4C67 : D9;
+ 4C68 : BD;
+ 4C69 : 38;
+ 4C6A : 04;
+ 4C6B : E5;
+ 4C6C : D9;
+ 4C6D : C1;
+ 4C6E : D9;
+ 4C6F : 16;
+ 4C70 : 40;
+ 4C71 : 78;
+ 4C72 : B9;
+ 4C73 : 20;
+ 4C74 : 10;
+ 4C75 : 58;
+ 4C76 : 1A;
+ 4C77 : 32;
+ 4C78 : 83;
+ 4C79 : 40;
+ 4C7A : D9;
+ 4C7B : C5;
+ 4C7C : 79;
+ 4C7D : CD;
+ 4C7E : 0A;
+ 4C7F : 09;
+ 4C80 : EA;
+ 4C81 : 7C;
+ 4C82 : CC;
+ 4C83 : 18;
+ 4C84 : 27;
+ 4C85 : D9;
+ [4C86..4C87] : C5;
+ 4C88 : D5;
+ 4C89 : D9;
+ 4C8A : 58;
+ 4C8B : 1A;
+ 4C8C : 32;
+ 4C8D : 83;
+ 4C8E : 40;
+ 4C8F : D9;
+ 4C90 : 11;
+ 4C91 : 00;
+ 4C92 : 58;
+ 4C93 : 79;
+ 4C94 : CD;
+ 4C95 : 0A;
+ 4C96 : 09;
+ 4C97 : D1;
+ 4C98 : C1;
+ 4C99 : E5;
+ 4C9A : D9;
+ 4C9B : 59;
+ 4C9C : 1A;
+ 4C9D : 32;
+ 4C9E : 83;
+ 4C9F : 40;
+ 4CA0 : D9;
+ 4CA1 : 21;
+ 4CA2 : 00;
+ 4CA3 : 58;
+ 4CA4 : 79;
+ 4CA5 : CD;
+ 4CA6 : 0A;
+ 4CA7 : 09;
+ 4CA8 : E1;
+ 4CA9 : EA;
+ 4CAA : 87;
+ 4CAB : CC;
+ 4CAC : CB;
+ 4CAD : 7C;
+ 4CAE : 20;
+ 4CAF : 07;
+ 4CB0 : CB;
+ 4CB1 : FC;
+ 4CB2 : D9;
+ 4CB3 : 04;
+ 4CB4 : C3;
+ 4CB5 : BB;
+ 4CB6 : CC;
+ 4CB7 : CB;
+ 4CB8 : FA;
+ 4CB9 : D9;
+ 4CBA : 0C;
+ 4CBB : D1;
+ 4CBC : B7;
+ 4CBD : ED;
+ 4CBE : 52;
+ 4CBF : DD;
+ 4CC0 : 7D;
+ 4CC1 : DE;
+ 4CC2 : 00;
+ 4CC3 : DD;
+ 4CC4 : 6F;
+ 4CC5 : B5;
+ 4CC6 : B4;
+ 4CC7 : C2;
+ 4CC8 : 41;
+ 4CC9 : CC;
+ 4CCA : C1;
+ 4CCB : D1;
+ 4CCC : C9;
+ 4CCD : 7D;
+ 4CCE : B4;
+ 4CCF : 20;
+ 4CD0 : 02;
+ 4CD1 : DD;
+ 4CD2 : 2D;
+ 4CD3 : 2B;
+ 4CD4 : EB;
+ 4CD5 : 19;
+ 4CD6 : 78;
+ 4CD7 : DD;
+ 4CD8 : 8D;
+ 4CD9 : CB;
+ 4CDA : 34;
+ 4CDB : 17;
+ 4CDC : CB;
+ 4CDD : 0C;
+ 4CDE : 47;
+ 4CDF : E5;
+ 4CE0 : D5;
+ 4CE1 : 13;
+ 4CE2 : 7B;
+ 4CE3 : B2;
+ 4CE4 : DD;
+ 4CE5 : 7D;
+ 4CE6 : 20;
+ 4CE7 : 02;
+ 4CE8 : DD;
+ 4CE9 : 2C;
+ 4CEA : EB;
+ 4CEB : D9;
+ 4CEC : D1;
+ 4CED : 19;
+ 4CEE : 88;
+ 4CEF : CB;
+ 4CF0 : 34;
+ 4CF1 : 17;
+ 4CF2 : CB;
+ 4CF3 : 0C;
+ 4CF4 : EB;
+ 4CF5 : E1;
+ 4CF6 : D9;
+ 4CF7 : 4F;
+ 4CF8 : D9;
+ 4CF9 : 7C;
+ 4CFA : BA;
+ 4CFB : 38;
+ 4CFC : 0A;
+ 4CFD : 20;
+ 4CFE : 04;
+ 4CFF : 7D;
+ 4D00 : BB;
+ 4D01 : 38;
+ 4D02 : 04;
+ 4D03 : 4B;
+ 4D04 : 42;
+ 4D05 : 18;
+ 4D06 : 02;
+ 4D07 : 4D;
+ 4D08 : 44;
+ 4D09 : CB;
+ 4D0A : B8;
+ 4D0B : 03;
+ 4D0C : DD;
+ 4D0D : 7D;
+ 4D0E : B7;
+ 4D0F : 20;
+ 4D10 : 10;
+ 4D11 : 78;
+ 4D12 : D9;
+ 4D13 : BC;
+ 4D14 : 38;
+ 4D15 : 0C;
+ 4D16 : 20;
+ 4D17 : 06;
+ 4D18 : D9;
+ 4D19 : 79;
+ 4D1A : D9;
+ 4D1B : BD;
+ 4D1C : 38;
+ 4D1D : 04;
+ 4D1E : E5;
+ 4D1F : D9;
+ 4D20 : C1;
+ 4D21 : D9;
+ 4D22 : 16;
+ 4D23 : 40;
+ 4D24 : 78;
+ 4D25 : B9;
+ 4D26 : 20;
+ 4D27 : 10;
+ 4D28 : 58;
+ 4D29 : 1A;
+ 4D2A : 32;
+ 4D2B : 83;
+ 4D2C : 40;
+ 4D2D : D9;
+ 4D2E : C5;
+ 4D2F : 79;
+ 4D30 : CD;
+ 4D31 : 2D;
+ 4D32 : 09;
+ 4D33 : EA;
+ 4D34 : 2F;
+ 4D35 : CD;
+ 4D36 : 18;
+ 4D37 : 27;
+ 4D38 : D9;
+ [4D39..4D3A] : C5;
+ 4D3B : D5;
+ 4D3C : D9;
+ 4D3D : 58;
+ 4D3E : 1A;
+ 4D3F : 32;
+ 4D40 : 83;
+ 4D41 : 40;
+ 4D42 : D9;
+ 4D43 : 11;
+ 4D44 : FF;
+ 4D45 : 58;
+ 4D46 : 79;
+ 4D47 : CD;
+ 4D48 : 2D;
+ 4D49 : 09;
+ 4D4A : D1;
+ 4D4B : C1;
+ 4D4C : E5;
+ 4D4D : D9;
+ 4D4E : 59;
+ 4D4F : 1A;
+ 4D50 : 32;
+ 4D51 : 83;
+ 4D52 : 40;
+ 4D53 : D9;
+ 4D54 : 21;
+ 4D55 : FF;
+ 4D56 : 58;
+ 4D57 : 79;
+ 4D58 : CD;
+ 4D59 : 2D;
+ 4D5A : 09;
+ 4D5B : E1;
+ 4D5C : EA;
+ 4D5D : 3A;
+ 4D5E : CD;
+ 4D5F : CB;
+ 4D60 : 7C;
+ 4D61 : 20;
+ 4D62 : 07;
+ 4D63 : CB;
+ 4D64 : FC;
+ 4D65 : D9;
+ 4D66 : 05;
+ 4D67 : C3;
+ 4D68 : 6E;
+ 4D69 : CD;
+ 4D6A : CB;
+ 4D6B : FA;
+ 4D6C : D9;
+ 4D6D : 0D;
+ 4D6E : D1;
+ 4D6F : B7;
+ 4D70 : ED;
+ 4D71 : 52;
+ 4D72 : DD;
+ 4D73 : 7D;
+ 4D74 : DE;
+ 4D75 : 00;
+ 4D76 : DD;
+ 4D77 : 6F;
+ 4D78 : B5;
+ 4D79 : B4;
+ 4D7A : C2;
+ 4D7B : F8;
+ 4D7C : CC;
+ 4D7D : C1;
+ 4D7E : D1;
+ 4D7F : C9;
+ 4D80 : CB;
+ 4D81 : 34;
+ 4D82 : 17;
+ 4D83 : CB;
+ 4D84 : 0C;
+ 4D85 : DD;
+ 4D86 : 6F;
+ 4D87 : E5;
+ 4D88 : 6F;
+ 4D89 : 26;
+ 4D8A : 40;
+ 4D8B : 7E;
+ 4D8C : E1;
+ 4D8D : 32;
+ 4D8E : 83;
+ 4D8F : 40;
+ 4D90 : 09;
+ 4D91 : 30;
+ 4D92 : 02;
+ 4D93 : 20;
+ 4D94 : 0A;
+ 4D95 : ED;
+ 4D96 : 42;
+ 4D97 : 79;
+ 4D98 : CD;
+ 4D99 : 0A;
+ 4D9A : 09;
+ 4D9B : EA;
+ 4D9C : 97;
+ 4D9D : CD;
+ 4D9E : C9;
+ 4D9F : AF;
+ 4DA0 : ED;
+ 4DA1 : 42;
+ 4DA2 : 7D;
+ 4DA3 : ED;
+ 4DA4 : 44;
+ 4DA5 : CD;
+ 4DA6 : 0A;
+ 4DA7 : 09;
+ 4DA8 : CB;
+ 4DA9 : 7C;
+ 4DAA : C2;
+ 4DAB : A2;
+ 4DAC : CD;
+ 4DAD : CB;
+ 4DAE : FC;
+ 4DAF : DD;
+ 4DB0 : 7D;
+ 4DB1 : 3C;
+ 4DB2 : C3;
+ 4DB3 : 85;
+ 4DB4 : CD;
+ 4DB5 : CB;
+ 4DB6 : 32;
+ 4DB7 : 17;
+ 4DB8 : CB;
+ 4DB9 : 0A;
+ 4DBA : DD;
+ 4DBB : 6F;
+ 4DBC : E5;
+ 4DBD : 6F;
+ 4DBE : 26;
+ 4DBF : 40;
+ 4DC0 : 7E;
+ 4DC1 : E1;
+ 4DC2 : 32;
+ 4DC3 : 83;
+ 4DC4 : 40;
+ 4DC5 : EB;
+ 4DC6 : 09;
+ 4DC7 : 30;
+ 4DC8 : 02;
+ 4DC9 : 20;
+ 4DCA : 0B;
+ 4DCB : ED;
+ 4DCC : 42;
+ 4DCD : EB;
+ 4DCE : 79;
+ 4DCF : CD;
+ 4DD0 : 0A;
+ 4DD1 : 09;
+ 4DD2 : EA;
+ 4DD3 : CE;
+ 4DD4 : CD;
+ 4DD5 : C9;
+ 4DD6 : AF;
+ 4DD7 : ED;
+ 4DD8 : 42;
+ 4DD9 : EB;
+ 4DDA : 7B;
+ 4DDB : ED;
+ 4DDC : 44;
+ 4DDD : CD;
+ 4DDE : 0A;
+ 4DDF : 09;
+ 4DE0 : CB;
+ 4DE1 : 7A;
+ 4DE2 : C2;
+ 4DE3 : DA;
+ 4DE4 : CD;
+ 4DE5 : CB;
+ 4DE6 : FA;
+ 4DE7 : DD;
+ 4DE8 : 7D;
+ 4DE9 : 3C;
+ 4DEA : C3;
+ 4DEB : BA;
+ 4DEC : CD;
+ 4DED : 2A;
+ 4DEE : 8C;
+ 4DEF : 40;
+ 4DF0 : 26;
+ 4DF1 : 41;
+ 4DF2 : 7D;
+ 4DF3 : E6;
+ 4DF4 : 1C;
+ 4DF5 : 6F;
+ 4DF6 : 22;
+ 4DF7 : 8C;
+ 4DF8 : 40;
+ 4DF9 : 7E;
+ 4DFA : B7;
+ 4DFB : C2;
+ 4DFC : E0;
+ 4DFD : CE;
+ 4DFE : 3A;
+ 4DFF : 00;
+ 4E00 : 44;
+ 4E01 : 07;
+ 4E02 : CB;
+ 4E03 : 19;
+ 4E04 : 3A;
+ 4E05 : 40;
+ 4E06 : 44;
+ 4E07 : 07;
+ 4E08 : CB;
+ 4E09 : 19;
+ 4E0A : 3A;
+ 4E0B : 80;
+ 4E0C : 44;
+ 4E0D : 07;
+ 4E0E : CB;
+ 4E0F : 19;
+ 4E10 : 3A;
+ 4E11 : C0;
+ 4E12 : 44;
+ 4E13 : 07;
+ 4E14 : CB;
+ 4E15 : 19;
+ 4E16 : 3A;
+ 4E17 : 00;
+ 4E18 : 45;
+ 4E19 : 07;
+ 4E1A : CB;
+ 4E1B : 19;
+ 4E1C : 3A;
+ 4E1D : 40;
+ 4E1E : 45;
+ 4E1F : 07;
+ 4E20 : CB;
+ 4E21 : 19;
+ 4E22 : 3A;
+ 4E23 : 80;
+ 4E24 : 45;
+ 4E25 : 07;
+ 4E26 : CB;
+ 4E27 : 19;
+ 4E28 : 3A;
+ 4E29 : C0;
+ 4E2A : 45;
+ 4E2B : 07;
+ 4E2C : CB;
+ 4E2D : 19;
+ 4E2E : 3A;
+ 4E2F : 9F;
+ 4E30 : 40;
+ 4E31 : A1;
+ 4E32 : 4F;
+ 4E33 : 32;
+ 4E34 : A0;
+ 4E35 : 40;
+ 4E36 : 20;
+ 4E37 : 15;
+ 4E38 : 3A;
+ 4E39 : 51;
+ 4E3A : 41;
+ 4E3B : CB;
+ 4E3C : 77;
+ 4E3D : C0;
+ 4E3E : B7;
+ 4E3F : FA;
+ 4E40 : 48;
+ 4E41 : CE;
+ 4E42 : 3A;
+ 4E43 : 9E;
+ 4E44 : 40;
+ 4E45 : B7;
+ 4E46 : 20;
+ 4E47 : 05;
+ 4E48 : AF;
+ 4E49 : 32;
+ 4E4A : 84;
+ 4E4B : 40;
+ 4E4C : C9;
+ 4E4D : 3A;
+ 4E4E : A9;
+ 4E4F : 40;
+ 4E50 : B7;
+ 4E51 : 20;
+ 4E52 : 1F;
+ 4E53 : 3A;
+ 4E54 : 9E;
+ 4E55 : 40;
+ 4E56 : B7;
+ 4E57 : 28;
+ 4E58 : 19;
+ 4E59 : 3E;
+ 4E5A : 01;
+ 4E5B : 32;
+ 4E5C : B3;
+ 4E5D : 40;
+ 4E5E : 3A;
+ 4E5F : 56;
+ 4E60 : 41;
+ 4E61 : FE;
+ 4E62 : 02;
+ 4E63 : 30;
+ 4E64 : 28;
+ 4E65 : B7;
+ 4E66 : 3A;
+ 4E67 : 55;
+ 4E68 : 41;
+ 4E69 : 28;
+ 4E6A : 1D;
+ 4E6B : D6;
+ 4E6C : 80;
+ 4E6D : 30;
+ 4E6E : 1E;
+ 4E6F : C3;
+ 4E70 : 88;
+ 4E71 : CE;
+ 4E72 : 3E;
+ 4E73 : 01;
+ 4E74 : 32;
+ 4E75 : B3;
+ 4E76 : 40;
+ 4E77 : 3A;
+ 4E78 : BE;
+ 4E79 : 40;
+ 4E7A : FE;
+ 4E7B : 02;
+ 4E7C : 30;
+ 4E7D : 0F;
+ 4E7E : B7;
+ 4E7F : 3A;
+ 4E80 : BD;
+ 4E81 : 40;
+ 4E82 : 28;
+ 4E83 : 04;
+ 4E84 : D6;
+ 4E85 : 80;
+ 4E86 : 30;
+ 4E87 : 05;
+ 4E88 : ED;
+ 4E89 : 44;
+ 4E8A : 32;
+ 4E8B : B3;
+ 4E8C : 40;
+ 4E8D : AF;
+ 4E8E : 32;
+ 4E8F : B4;
+ 4E90 : 40;
+ 4E91 : B1;
+ 4E92 : 28;
+ 4E93 : 16;
+ 4E94 : FD;
+ 4E95 : 21;
+ 4E96 : 00;
+ 4E97 : 44;
+ 4E98 : CB;
+ 4E99 : 39;
+ 4E9A : C5;
+ 4E9B : DC;
+ 4E9C : 57;
+ 4E9D : 15;
+ 4E9E : 01;
+ 4E9F : 40;
+ 4EA0 : 00;
+ 4EA1 : FD;
+ 4EA2 : 09;
+ 4EA3 : C1;
+ 4EA4 : CB;
+ 4EA5 : 39;
+ 4EA6 : 38;
+ 4EA7 : F2;
+ 4EA8 : 20;
+ 4EA9 : F0;
+ 4EAA : CD;
+ 4EAB : 64;
+ 4EAC : 0F;
+ 4EAD : AF;
+ 4EAE : 32;
+ 4EAF : 81;
+ 4EB0 : 40;
+ 4EB1 : D3;
+ 4EB2 : 00;
+ 4EB3 : C9;
+ 4EB4 : 3A;
+ 4EB5 : B3;
+ 4EB6 : 40;
+ 4EB7 : 5F;
+ 4EB8 : 16;
+ 4EB9 : 00;
+ 4EBA : 2A;
+ 4EBB : BD;
+ 4EBC : 40;
+ 4EBD : B7;
+ 4EBE : ED;
+ 4EBF : 52;
+ 4EC0 : 28;
+ 4EC1 : 08;
+ 4EC2 : 38;
+ 4EC3 : 06;
+ 4EC4 : 22;
+ 4EC5 : BD;
+ 4EC6 : 40;
+ 4EC7 : C3;
+ 4EC8 : CA;
+ 4EC9 : CE;
+ 4ECA : 3A;
+ 4ECB : A9;
+ 4ECC : 40;
+ 4ECD : B7;
+ 4ECE : 20;
+ 4ECF : FE;
+ 4ED0 : 3A;
+ 4ED1 : 9E;
+ 4ED2 : 40;
+ 4ED3 : B7;
+ 4ED4 : 28;
+ 4ED5 : FE;
+ 4ED6 : 2A;
+ 4ED7 : 55;
+ 4ED8 : 41;
+ 4ED9 : ED;
+ 4EDA : 52;
+ 4EDB : 22;
+ 4EDC : 55;
+ 4EDD : 41;
+ 4EDE : 20;
+ 4EDF : 00;
+ 4EE0 : 3A;
+ 4EE1 : 85;
+ 4EE2 : 40;
+ 4EE3 : B7;
+ 4EE4 : C0;
+ 4EE5 : F3;
+ 4EE6 : AF;
+ 4EE7 : 32;
+ 4EE8 : AA;
+ 4EE9 : 40;
+ 4EEA : CD;
+ 4EEB : CC;
+ 4EEC : 14;
+ 4EED : C9;
+ 4EEE : 21;
+ 4EEF : 40;
+ 4EF0 : D9;
+ 4EF1 : C3;
+ 4EF2 : F7;
+ 4EF3 : CE;
+ 4EF4 : 21;
+ 4EF5 : 00;
+ 4EF6 : D9;
+ 4EF7 : FD;
+ 4EF8 : 7E;
+ 4EF9 : 2B;
+ 4EFA : E6;
+ 4EFB : 1F;
+ 4EFC : 87;
+ 4EFD : 85;
+ 4EFE : 6F;
+ 4EFF : 7E;
+ 4F00 : 2C;
+ 4F01 : 66;
+ 4F02 : 6F;
+ 4F03 : FD;
+ 4F04 : 7E;
+ 4F05 : 2C;
+ 4F06 : E9;
+ 4F07 : 21;
+ 4F08 : A0;
+ 4F09 : D9;
+ 4F0A : C3;
+ 4F0B : 10;
+ 4F0C : CF;
+ 4F0D : 21;
+ 4F0E : 80;
+ 4F0F : D9;
+ [4F10..4F13] : 0F;
+ 4F14 : E6;
+ 4F15 : 0F;
+ 4F16 : 87;
+ 4F17 : 85;
+ 4F18 : 6F;
+ 4F19 : 7E;
+ 4F1A : 2C;
+ 4F1B : 66;
+ 4F1C : 6F;
+ 4F1D : FD;
+ 4F1E : 7E;
+ 4F1F : 2C;
+ 4F20 : E6;
+ 4F21 : 0F;
+ 4F22 : E9;
+ 4F23 : C9;
+ 4F24 : FD;
+ 4F25 : 6E;
+ 4F26 : 12;
+ 4F27 : FD;
+ 4F28 : 66;
+ 4F29 : 13;
+ 4F2A : CD;
+ 4F2B : 34;
+ 4F2C : CF;
+ 4F2D : FD;
+ 4F2E : 75;
+ 4F2F : 10;
+ 4F30 : FD;
+ 4F31 : 74;
+ 4F32 : 11;
+ 4F33 : C9;
+ 4F34 : 7C;
+ 4F35 : FE;
+ 4F36 : 04;
+ 4F37 : 30;
+ 4F38 : 10;
+ 4F39 : AF;
+ 4F3A : 32;
+ 4F3B : 81;
+ 4F3C : 40;
+ 4F3D : D3;
+ 4F3E : 00;
+ 4F3F : 29;
+ 4F40 : 7C;
+ 4F41 : C6;
+ 4F42 : F8;
+ 4F43 : 67;
+ 4F44 : 7E;
+ 4F45 : 23;
+ 4F46 : 66;
+ 4F47 : 6F;
+ 4F48 : C9;
+ 4F49 : E5;
+ 4F4A : 5D;
+ 4F4B : 54;
+ [4F4C..4F4D] : 29;
+ 4F4E : 19;
+ 4F4F : AF;
+ 4F50 : 4F;
+ 4F51 : CB;
+ 4F52 : 3A;
+ 4F53 : CB;
+ 4F54 : 1B;
+ 4F55 : CB;
+ 4F56 : 19;
+ 4F57 : 81;
+ 4F58 : ED;
+ 4F59 : 5A;
+ 4F5A : CB;
+ 4F5B : 3A;
+ 4F5C : CB;
+ 4F5D : 1B;
+ 4F5E : CB;
+ 4F5F : 19;
+ 4F60 : CB;
+ 4F61 : 3A;
+ 4F62 : CB;
+ 4F63 : 1B;
+ 4F64 : CB;
+ 4F65 : 19;
+ 4F66 : 81;
+ 4F67 : ED;
+ 4F68 : 5A;
+ 4F69 : CB;
+ 4F6A : 3A;
+ 4F6B : CB;
+ 4F6C : 1B;
+ 4F6D : CB;
+ 4F6E : 19;
+ 4F6F : CB;
+ 4F70 : 3A;
+ 4F71 : CB;
+ 4F72 : 1B;
+ 4F73 : CB;
+ 4F74 : 19;
+ 4F75 : CB;
+ 4F76 : 3A;
+ 4F77 : CB;
+ 4F78 : 1B;
+ 4F79 : CB;
+ 4F7A : 19;
+ 4F7B : CB;
+ 4F7C : 3A;
+ 4F7D : CB;
+ 4F7E : 1B;
+ 4F7F : CB;
+ 4F80 : 19;
+ 4F81 : CB;
+ 4F82 : 3A;
+ 4F83 : CB;
+ 4F84 : 1B;
+ 4F85 : CB;
+ 4F86 : 19;
+ 4F87 : 81;
+ 4F88 : ED;
+ 4F89 : 5A;
+ 4F8A : CB;
+ 4F8B : 3B;
+ 4F8C : CB;
+ 4F8D : 19;
+ 4F8E : 81;
+ 4F8F : ED;
+ 4F90 : 5A;
+ 4F91 : CB;
+ 4F92 : 3B;
+ 4F93 : CB;
+ 4F94 : 19;
+ 4F95 : 81;
+ 4F96 : ED;
+ 4F97 : 5A;
+ 4F98 : CB;
+ 4F99 : 3B;
+ 4F9A : CB;
+ 4F9B : 19;
+ 4F9C : CB;
+ 4F9D : 3B;
+ 4F9E : CB;
+ 4F9F : 19;
+ 4FA0 : 81;
+ 4FA1 : ED;
+ 4FA2 : 5A;
+ 4FA3 : CB;
+ 4FA4 : 3C;
+ 4FA5 : CB;
+ 4FA6 : 1D;
+ 4FA7 : CB;
+ 4FA8 : 3C;
+ 4FA9 : CB;
+ 4FAA : 1D;
+ 4FAB : CB;
+ 4FAC : 3C;
+ 4FAD : CB;
+ 4FAE : 1D;
+ 4FAF : 30;
+ 4FB0 : 01;
+ 4FB1 : 23;
+ 4FB2 : D1;
+ [4FB3..4FB4] : 19;
+ 4FB5 : C9;
+ 4FB6 : 3C;
+ 4FB7 : CB;
+ 4FB8 : 1D;
+ 4FB9 : 30;
+ 4FBA : 01;
+ 4FBB : 23;
+ 4FBC : D1;
+ [4FBD..4FBE] : 19;
+ 4FBF : C9;
+ 4FC0 : 00;
+ 4FC1 : 01;
+ 4FC2 : 02;
+ 4FC3 : 00;
+ 4FC4 : 01;
+ 4FC5 : 02;
+ 4FC6 : 00;
+ 4FC7 : 01;
+ 4FC8 : 02;
+ 4FC9 : 00;
+ 4FCA : 01;
+ 4FCB : 02;
+ 4FCC : 00;
+ 4FCD : 01;
+ 4FCE : 02;
+ 4FCF : 00;
+ 4FD0 : 01;
+ 4FD1 : 02;
+ 4FD2 : 00;
+ 4FD3 : 01;
+ 4FD4 : 02;
+ 4FD5 : 00;
+ 4FD6 : 01;
+ 4FD7 : 02;
+ 4FD8 : 00;
+ 4FD9 : 01;
+ 4FDA : 02;
+ 4FDB : 00;
+ 4FDC : 01;
+ 4FDD : 02;
+ 4FDE : 00;
+ 4FDF : 01;
+ 4FE0 : 02;
+ 4FE1 : 00;
+ 4FE2 : 01;
+ 4FE3 : 02;
+ 4FE4 : 00;
+ 4FE5 : 01;
+ 4FE6 : 02;
+ 4FE7 : 00;
+ 4FE8 : 01;
+ 4FE9 : 02;
+ 4FEA : B7;
+ 4FEB : CA;
+ 4FEC : 24;
+ 4FED : CF;
+ 4FEE : 47;
+ 4FEF : 3A;
+ 4FF0 : 59;
+ 4FF1 : 41;
+ 4FF2 : 21;
+ 4FF3 : C0;
+ 4FF4 : CF;
+ 4FF5 : 85;
+ 4FF6 : 6F;
+ 4FF7 : 7C;
+ 4FF8 : CE;
+ 4FF9 : 00;
+ 4FFA : 67;
+ 4FFB : 7E;
+ 4FFC : B7;
+ 4FFD : CA;
+ 4FFE : 24;
+ 4FFF : CF;
+ 5000 : F5;
+ 5001 : C5;
+ 5002 : CD;
+ 5003 : 0E;
+ 5004 : DA;
+ 5005 : C1;
+ 5006 : F1;
+ 5007 : 3D;
+ 5008 : 78;
+ 5009 : 20;
+ 500A : 04;
+ [500B..500E] : 0F;
+ 500F : E6;
+ 5010 : 0F;
+ 5011 : 83;
+ 5012 : 5F;
+ 5013 : FE;
+ 5014 : 60;
+ 5015 : D0;
+ 5016 : CD;
+ 5017 : C5;
+ 5018 : C9;
+ 5019 : FD;
+ 501A : 75;
+ 501B : 10;
+ 501C : FD;
+ 501D : 74;
+ 501E : 11;
+ 501F : C9;
+ 5020 : 5F;
+ 5021 : 16;
+ 5022 : 00;
+ 5023 : FD;
+ 5024 : 6E;
+ 5025 : 12;
+ 5026 : FD;
+ 5027 : 66;
+ 5028 : 13;
+ 5029 : B7;
+ 502A : ED;
+ 502B : 52;
+ 502C : 30;
+ 502D : 03;
+ 502E : 21;
+ [502F..5030] : 00;
+ 5031 : E5;
+ 5032 : 21;
+ 5033 : 71;
+ 5034 : 00;
+ 5035 : D1;
+ 5036 : B7;
+ 5037 : ED;
+ 5038 : 52;
+ 5039 : 38;
+ 503A : 02;
+ 503B : 19;
+ 503C : EB;
+ 503D : FD;
+ 503E : CB;
+ 503F : 01;
+ 5040 : FE;
+ 5041 : FD;
+ 5042 : 73;
+ 5043 : 12;
+ 5044 : FD;
+ 5045 : 72;
+ 5046 : 13;
+ 5047 : D5;
+ 5048 : EB;
+ 5049 : CD;
+ 504A : 34;
+ 504B : CF;
+ 504C : FD;
+ 504D : 75;
+ 504E : 10;
+ 504F : FD;
+ 5050 : 74;
+ 5051 : 11;
+ 5052 : D1;
+ 5053 : CD;
+ 5054 : 0E;
+ 5055 : DA;
+ 5056 : FD;
+ 5057 : 77;
+ 5058 : 14;
+ 5059 : D0;
+ 505A : FD;
+ 505B : 77;
+ 505C : 28;
+ 505D : FD;
+ 505E : CB;
+ 505F : 01;
+ 5060 : BE;
+ 5061 : C9;
+ 5062 : 5F;
+ 5063 : 16;
+ 5064 : 00;
+ 5065 : FD;
+ 5066 : 6E;
+ 5067 : 12;
+ 5068 : FD;
+ 5069 : 66;
+ 506A : 13;
+ 506B : 19;
+ 506C : 30;
+ 506D : 03;
+ 506E : 21;
+ [506F..5070] : FF;
+ 5071 : E5;
+ 5072 : 21;
+ 5073 : 58;
+ 5074 : 03;
+ 5075 : D1;
+ 5076 : B7;
+ 5077 : ED;
+ 5078 : 52;
+ 5079 : 30;
+ 507A : 02;
+ 507B : 19;
+ 507C : EB;
+ 507D : FD;
+ 507E : CB;
+ 507F : 01;
+ 5080 : FE;
+ 5081 : FD;
+ 5082 : 73;
+ 5083 : 12;
+ 5084 : FD;
+ 5085 : 72;
+ 5086 : 13;
+ 5087 : D5;
+ 5088 : EB;
+ 5089 : CD;
+ 508A : 34;
+ 508B : CF;
+ 508C : FD;
+ 508D : 75;
+ 508E : 10;
+ 508F : FD;
+ 5090 : 74;
+ 5091 : 11;
+ 5092 : D1;
+ 5093 : CD;
+ 5094 : 0E;
+ 5095 : DA;
+ 5096 : FD;
+ 5097 : 77;
+ 5098 : 14;
+ 5099 : D0;
+ 509A : FD;
+ 509B : 77;
+ 509C : 28;
+ 509D : FD;
+ 509E : CB;
+ 509F : 01;
+ 50A0 : BE;
+ 50A1 : C9;
+ 50A2 : B7;
+ 50A3 : 28;
+ 50A4 : 03;
+ 50A5 : FD;
+ 50A6 : 77;
+ 50A7 : 2E;
+ 50A8 : FD;
+ 50A9 : 7E;
+ 50AA : 2F;
+ 50AB : FE;
+ 50AC : 60;
+ 50AD : D0;
+ 50AE : 5F;
+ 50AF : CD;
+ 50B0 : C0;
+ 50B1 : C9;
+ 50B2 : EB;
+ 50B3 : FD;
+ 50B4 : 6E;
+ 50B5 : 12;
+ 50B6 : FD;
+ 50B7 : 66;
+ 50B8 : 13;
+ 50B9 : B7;
+ 50BA : ED;
+ 50BB : 52;
+ 50BC : 28;
+ 50BD : 3A;
+ 50BE : 19;
+ 50BF : FD;
+ 50C0 : 4E;
+ 50C1 : 2E;
+ 50C2 : 06;
+ 50C3 : 00;
+ 50C4 : 38;
+ 50C5 : 2B;
+ 50C6 : ED;
+ 50C7 : 42;
+ 50C8 : 38;
+ 50C9 : 2E;
+ 50CA : ED;
+ 50CB : 52;
+ 50CC : 38;
+ 50CD : 2A;
+ 50CE : 19;
+ 50CF : FD;
+ 50D0 : 75;
+ 50D1 : 12;
+ 50D2 : FD;
+ 50D3 : 74;
+ 50D4 : 13;
+ 50D5 : FD;
+ 50D6 : CB;
+ 50D7 : 01;
+ 50D8 : 56;
+ 50D9 : CC;
+ 50DA : 34;
+ 50DB : CF;
+ 50DC : FD;
+ 50DD : CB;
+ 50DE : 01;
+ 50DF : 56;
+ 50E0 : 28;
+ 50E1 : 08;
+ 50E2 : EB;
+ 50E3 : CD;
+ 50E4 : 0E;
+ 50E5 : DA;
+ 50E6 : 5F;
+ 50E7 : CD;
+ 50E8 : C5;
+ 50E9 : C9;
+ 50EA : FD;
+ 50EB : 75;
+ 50EC : 10;
+ 50ED : FD;
+ 50EE : 74;
+ 50EF : 11;
+ 50F0 : C9;
+ 50F1 : 09;
+ 50F2 : 38;
+ 50F3 : 04;
+ 50F4 : ED;
+ 50F5 : 52;
+ 50F6 : 38;
+ 50F7 : D6;
+ 50F8 : FD;
+ 50F9 : 5E;
+ 50FA : 2F;
+ 50FB : FD;
+ 50FC : 73;
+ 50FD : 28;
+ 50FE : FD;
+ 50FF : 73;
+ 5100 : 14;
+ 5101 : CD;
+ 5102 : C0;
+ 5103 : C9;
+ 5104 : FD;
+ 5105 : 75;
+ 5106 : 12;
+ 5107 : FD;
+ 5108 : 74;
+ 5109 : 13;
+ 510A : CD;
+ 510B : C5;
+ 510C : C9;
+ 510D : FD;
+ 510E : 75;
+ 510F : 10;
+ 5110 : FD;
+ 5111 : 74;
+ 5112 : 11;
+ 5113 : FD;
+ 5114 : CB;
+ 5115 : 01;
+ 5116 : BE;
+ 5117 : FD;
+ 5118 : 36;
+ 5119 : 2B;
+ 511A : 00;
+ 511B : FD;
+ 511C : 36;
+ 511D : 2C;
+ 511E : 00;
+ 511F : FD;
+ 5120 : 36;
+ 5121 : 2F;
+ 5122 : 7F;
+ [5123..5124] : C9;
+ 5125 : D5;
+ 5126 : C5;
+ 5127 : B7;
+ 5128 : 28;
+ 5129 : 1A;
+ 512A : 6F;
+ 512B : FD;
+ 512C : 66;
+ 512D : 30;
+ 512E : E6;
+ 512F : 0F;
+ 5130 : 28;
+ 5131 : 05;
+ 5132 : AC;
+ 5133 : E6;
+ 5134 : 0F;
+ 5135 : AC;
+ 5136 : 67;
+ 5137 : 7D;
+ 5138 : E6;
+ 5139 : F0;
+ 513A : 28;
+ 513B : 05;
+ 513C : AC;
+ 513D : E6;
+ 513E : F0;
+ 513F : AC;
+ 5140 : 67;
+ 5141 : FD;
+ 5142 : 74;
+ 5143 : 30;
+ 5144 : FD;
+ 5145 : 56;
+ 5146 : 31;
+ 5147 : 7A;
+ 5148 : E6;
+ 5149 : 03;
+ 514A : 28;
+ 514B : 26;
+ 514C : FE;
+ 514D : 03;
+ 514E : 20;
+ 514F : 0A;
+ 5150 : ED;
+ 5151 : 5F;
+ 5152 : E6;
+ 5153 : 03;
+ 5154 : 28;
+ 5155 : 1C;
+ 5156 : FE;
+ 5157 : 03;
+ 5158 : 28;
+ 5159 : 18;
+ 515A : 3D;
+ 515B : 28;
+ 515C : 05;
+ 515D : 1E;
+ 515E : FF;
+ 515F : C3;
+ 5160 : 7D;
+ 5161 : D1;
+ 5162 : 7A;
+ 5163 : E6;
+ 5164 : 7C;
+ 5165 : 07;
+ 5166 : 5F;
+ 5167 : CB;
+ 5168 : 7A;
+ 5169 : 20;
+ 516A : 12;
+ 516B : 3E;
+ 516C : F8;
+ 516D : 93;
+ 516E : 5F;
+ 516F : C3;
+ 5170 : 7D;
+ 5171 : D1;
+ 5172 : 7A;
+ [5173..5174] : 0F;
+ 5175 : E6;
+ 5176 : 1F;
+ 5177 : 21;
+ 5178 : 00;
+ 5179 : D7;
+ 517A : 85;
+ 517B : 6F;
+ 517C : 5E;
+ 517D : FD;
+ 517E : 7E;
+ 517F : 30;
+ 5180 : E6;
+ 5181 : 0F;
+ 5182 : 28;
+ 5183 : 1E;
+ 5184 : 47;
+ 5185 : 21;
+ [5186..5187] : 00;
+ 5188 : 54;
+ 5189 : 19;
+ 518A : 10;
+ 518B : FD;
+ 518C : 06;
+ 518D : 07;
+ 518E : 7D;
+ 518F : CB;
+ 5190 : 3C;
+ 5191 : 1F;
+ 5192 : 10;
+ 5193 : FB;
+ 5194 : 8A;
+ 5195 : 6F;
+ 5196 : 26;
+ 5197 : 00;
+ 5198 : FD;
+ 5199 : CB;
+ 519A : 31;
+ 519B : 7E;
+ 519C : 28;
+ 519D : 04;
+ 519E : 25;
+ 519F : 2F;
+ 51A0 : 6F;
+ 51A1 : 23;
+ 51A2 : FD;
+ 51A3 : 5E;
+ 51A4 : 12;
+ 51A5 : FD;
+ 51A6 : 56;
+ 51A7 : 13;
+ 51A8 : 19;
+ 51A9 : CD;
+ 51AA : 2A;
+ 51AB : CF;
+ 51AC : FD;
+ 51AD : 7E;
+ 51AE : 30;
+ 51AF : E6;
+ 51B0 : F0;
+ [51B1..51B2] : 0F;
+ 51B3 : FD;
+ 51B4 : 86;
+ 51B5 : 31;
+ 51B6 : FD;
+ 51B7 : 77;
+ 51B8 : 31;
+ 51B9 : C1;
+ 51BA : D1;
+ 51BB : C9;
+ 51BC : CD;
+ 51BD : 7C;
+ 51BE : D2;
+ 51BF : C3;
+ 51C0 : A8;
+ 51C1 : D0;
+ 51C2 : CD;
+ 51C3 : 7C;
+ 51C4 : D2;
+ 51C5 : D5;
+ 51C6 : C5;
+ 51C7 : C3;
+ 51C8 : 44;
+ 51C9 : D1;
+ 51CA : D5;
+ 51CB : C5;
+ 51CC : B7;
+ 51CD : 28;
+ 51CE : 1A;
+ 51CF : 6F;
+ 51D0 : FD;
+ 51D1 : 66;
+ 51D2 : 32;
+ 51D3 : E6;
+ 51D4 : 0F;
+ 51D5 : 28;
+ 51D6 : 05;
+ 51D7 : AC;
+ 51D8 : E6;
+ 51D9 : 0F;
+ 51DA : AC;
+ 51DB : 67;
+ 51DC : 7D;
+ 51DD : E6;
+ 51DE : F0;
+ 51DF : 28;
+ 51E0 : 05;
+ 51E1 : AC;
+ 51E2 : E6;
+ 51E3 : F0;
+ 51E4 : AC;
+ 51E5 : 67;
+ 51E6 : FD;
+ 51E7 : 74;
+ 51E8 : 32;
+ 51E9 : FD;
+ 51EA : 56;
+ 51EB : 33;
+ 51EC : 7A;
+ 51ED : E6;
+ 51EE : 03;
+ 51EF : 28;
+ 51F0 : 26;
+ 51F1 : FE;
+ 51F2 : 03;
+ 51F3 : 20;
+ 51F4 : 0A;
+ 51F5 : ED;
+ 51F6 : 5F;
+ 51F7 : E6;
+ 51F8 : 03;
+ 51F9 : 28;
+ 51FA : 1C;
+ 51FB : FE;
+ 51FC : 03;
+ 51FD : 28;
+ 51FE : 18;
+ 51FF : 3D;
+ 5200 : 28;
+ 5201 : 05;
+ 5202 : 1E;
+ 5203 : FF;
+ 5204 : C3;
+ 5205 : 22;
+ 5206 : D2;
+ 5207 : 7A;
+ 5208 : E6;
+ 5209 : 7C;
+ 520A : 07;
+ 520B : 5F;
+ 520C : CB;
+ 520D : 7A;
+ 520E : 20;
+ 520F : 12;
+ 5210 : 3E;
+ 5211 : F8;
+ 5212 : 93;
+ 5213 : 5F;
+ 5214 : C3;
+ 5215 : 22;
+ 5216 : D2;
+ 5217 : 7A;
+ [5218..5219] : 0F;
+ 521A : E6;
+ 521B : 1F;
+ 521C : 21;
+ 521D : 00;
+ 521E : D7;
+ 521F : 85;
+ 5220 : 6F;
+ 5221 : 5E;
+ 5222 : FD;
+ 5223 : 7E;
+ 5224 : 32;
+ 5225 : E6;
+ 5226 : 0F;
+ 5227 : 28;
+ 5228 : 22;
+ 5229 : 47;
+ 522A : 21;
+ [522B..522C] : 00;
+ 522D : 54;
+ 522E : 19;
+ 522F : 10;
+ 5230 : FD;
+ [5231..5232] : 06;
+ 5233 : 7D;
+ 5234 : CB;
+ 5235 : 3C;
+ 5236 : 1F;
+ 5237 : 10;
+ 5238 : FB;
+ 5239 : 8A;
+ 523A : FD;
+ 523B : CB;
+ 523C : 33;
+ 523D : 7E;
+ 523E : 28;
+ 523F : 0B;
+ 5240 : 6F;
+ 5241 : FD;
+ 5242 : 7E;
+ 5243 : 19;
+ 5244 : 95;
+ 5245 : 30;
+ 5246 : 0D;
+ 5247 : AF;
+ 5248 : C3;
+ 5249 : 54;
+ 524A : D2;
+ 524B : FD;
+ 524C : 86;
+ 524D : 19;
+ 524E : FE;
+ 524F : 40;
+ 5250 : 38;
+ 5251 : 02;
+ 5252 : 3E;
+ 5253 : 40;
+ 5254 : FD;
+ 5255 : BE;
+ 5256 : 1A;
+ 5257 : FD;
+ 5258 : 77;
+ 5259 : 1A;
+ 525A : 28;
+ 525B : 04;
+ 525C : FD;
+ 525D : CB;
+ 525E : 00;
+ 525F : C6;
+ 5260 : FD;
+ 5261 : 7E;
+ 5262 : 32;
+ 5263 : E6;
+ 5264 : F0;
+ [5265..5266] : 0F;
+ 5267 : FD;
+ 5268 : 86;
+ 5269 : 33;
+ 526A : FD;
+ 526B : 77;
+ 526C : 33;
+ 526D : C1;
+ 526E : D1;
+ 526F : C9;
+ 5270 : B7;
+ 5271 : C9;
+ 5272 : 28;
+ 5273 : 03;
+ 5274 : FD;
+ 5275 : 77;
+ 5276 : 36;
+ 5277 : FD;
+ 5278 : 66;
+ 5279 : 36;
+ 527A : 2E;
+ 527B : 00;
+ 527C : B7;
+ 527D : C8;
+ 527E : 6F;
+ 527F : FD;
+ 5280 : 7E;
+ 5281 : 19;
+ 5282 : 67;
+ 5283 : 7D;
+ 5284 : E6;
+ 5285 : F0;
+ 5286 : 28;
+ 5287 : 0E;
+ [5288..528B] : 0F;
+ 528C : 84;
+ 528D : FE;
+ 528E : 40;
+ 528F : 38;
+ 5290 : 11;
+ 5291 : 3E;
+ 5292 : 40;
+ 5293 : C3;
+ 5294 : A2;
+ 5295 : D2;
+ 5296 : 7C;
+ 5297 : 95;
+ 5298 : 30;
+ 5299 : 08;
+ 529A : 3E;
+ 529B : 00;
+ 529C : FD;
+ 529D : 77;
+ 529E : 2B;
+ 529F : FD;
+ 52A0 : 77;
+ 52A1 : 2C;
+ 52A2 : FD;
+ 52A3 : 77;
+ 52A4 : 19;
+ 52A5 : FD;
+ 52A6 : BE;
+ 52A7 : 1A;
+ 52A8 : FD;
+ 52A9 : 77;
+ 52AA : 1A;
+ 52AB : C8;
+ 52AC : FD;
+ 52AD : CB;
+ 52AE : 00;
+ 52AF : C6;
+ 52B0 : C9;
+ 52B1 : 3D;
+ 52B2 : 32;
+ 52B3 : 5B;
+ 52B4 : 41;
+ 52B5 : AF;
+ 52B6 : 32;
+ 52B7 : 61;
+ 52B8 : 41;
+ 52B9 : 3C;
+ 52BA : 32;
+ 52BB : 62;
+ 52BC : 41;
+ 52BD : C9;
+ 52BE : FE;
+ 52BF : 40;
+ 52C0 : 38;
+ 52C1 : 02;
+ 52C2 : 3E;
+ 52C3 : 40;
+ 52C4 : FD;
+ 52C5 : 77;
+ 52C6 : 19;
+ 52C7 : FD;
+ 52C8 : BE;
+ 52C9 : 1A;
+ 52CA : FD;
+ 52CB : 77;
+ 52CC : 1A;
+ 52CD : C8;
+ 52CE : FD;
+ 52CF : CB;
+ 52D0 : 00;
+ 52D1 : C6;
+ 52D2 : C9;
+ 52D3 : 6F;
+ 52D4 : E6;
+ 52D5 : F0;
+ 52D6 : 0F;
+ 52D7 : 67;
+ [52D8..52D9] : 0F;
+ 52DA : 84;
+ 52DB : 67;
+ 52DC : 7D;
+ 52DD : E6;
+ 52DE : 0F;
+ 52DF : 84;
+ 52E0 : FE;
+ 52E1 : 40;
+ 52E2 : 38;
+ 52E3 : 01;
+ 52E4 : AF;
+ 52E5 : 32;
+ 52E6 : 61;
+ 52E7 : 41;
+ 52E8 : 3E;
+ 52E9 : 01;
+ 52EA : 32;
+ 52EB : 62;
+ 52EC : 41;
+ 52ED : C9;
+ 52EE : B7;
+ 52EF : 28;
+ 52F0 : 24;
+ 52F1 : FE;
+ 52F2 : 20;
+ 52F3 : 30;
+ 52F4 : 04;
+ 52F5 : 32;
+ 52F6 : 58;
+ 52F7 : 41;
+ 52F8 : C9;
+ 52F9 : 32;
+ 52FA : 57;
+ 52FB : 41;
+ 52FC : D6;
+ 52FD : 20;
+ 52FE : 21;
+ 52FF : 31;
+ 5300 : 18;
+ 5301 : 87;
+ 5302 : 30;
+ 5303 : 01;
+ 5304 : 24;
+ 5305 : 85;
+ 5306 : 6F;
+ 5307 : 30;
+ 5308 : 01;
+ 5309 : 24;
+ 530A : 7E;
+ 530B : 23;
+ 530C : 66;
+ 530D : 6F;
+ 530E : 22;
+ 530F : 53;
+ 5310 : 41;
+ 5311 : 22;
+ 5312 : 55;
+ 5313 : 41;
+ 5314 : C9;
+ 5315 : C3;
+ 5316 : 69;
+ 5317 : 1A;
+ 5318 : CB;
+ 5319 : FE;
+ 531A : C9;
+ 531B : E6;
+ 531C : 01;
+ 531D : 32;
+ 531E : 63;
+ 531F : 41;
+ 5320 : C9;
+ 5321 : FD;
+ 5322 : CB;
+ 5323 : 01;
+ 5324 : 96;
+ 5325 : B7;
+ 5326 : C8;
+ 5327 : FD;
+ 5328 : CB;
+ 5329 : 01;
+ 532A : D6;
+ 532B : C9;
+ 532C : FD;
+ 532D : CB;
+ 532E : 01;
+ 532F : 8E;
+ 5330 : CB;
+ 5331 : 57;
+ 5332 : 28;
+ 5333 : 04;
+ 5334 : FD;
+ 5335 : CB;
+ 5336 : 01;
+ 5337 : CE;
+ 5338 : E6;
+ 5339 : 03;
+ 533A : 6F;
+ 533B : FD;
+ 533C : 7E;
+ 533D : 31;
+ 533E : E6;
+ 533F : FC;
+ 5340 : B5;
+ 5341 : FD;
+ 5342 : 77;
+ 5343 : 31;
+ 5344 : C9;
+ 5345 : 87;
+ 5346 : FD;
+ 5347 : 77;
+ 5348 : 15;
+ 5349 : C9;
+ 534A : B7;
+ 534B : 28;
+ 534C : 1E;
+ 534D : FD;
+ 534E : 34;
+ 534F : 35;
+ 5350 : FD;
+ [5351..5352] : 35;
+ 5353 : 28;
+ 5354 : 10;
+ 5355 : FD;
+ [5356..5357] : 35;
+ 5358 : C8;
+ 5359 : FD;
+ 535A : 7E;
+ 535B : 34;
+ 535C : 32;
+ 535D : 61;
+ 535E : 41;
+ 535F : 3E;
+ 5360 : 01;
+ 5361 : 32;
+ 5362 : 60;
+ 5363 : 41;
+ 5364 : C9;
+ 5365 : FD;
+ 5366 : 77;
+ 5367 : 35;
+ 5368 : C3;
+ 5369 : 59;
+ 536A : D3;
+ 536B : 3A;
+ 536C : 5A;
+ 536D : 41;
+ 536E : FD;
+ 536F : 77;
+ 5370 : 34;
+ 5371 : C9;
+ 5372 : FD;
+ 5373 : CB;
+ 5374 : 01;
+ 5375 : 86;
+ 5376 : CB;
+ 5377 : 57;
+ 5378 : 28;
+ 5379 : 04;
+ 537A : FD;
+ 537B : CB;
+ 537C : 01;
+ 537D : C6;
+ 537E : E6;
+ 537F : 03;
+ 5380 : 6F;
+ 5381 : FD;
+ 5382 : 7E;
+ 5383 : 33;
+ 5384 : E6;
+ 5385 : FC;
+ 5386 : B5;
+ 5387 : FD;
+ 5388 : 77;
+ 5389 : 33;
+ 538A : C9;
+ 538B : B7;
+ 538C : C8;
+ 538D : 6F;
+ 538E : 3A;
+ 538F : 59;
+ 5390 : 41;
+ 5391 : 95;
+ 5392 : 30;
+ 5393 : FD;
+ 5394 : 85;
+ 5395 : C0;
+ 5396 : CD;
+ 5397 : FF;
+ 5398 : 11;
+ 5399 : C9;
+ [539A..539D] : 07;
+ 539E : C3;
+ 539F : 7C;
+ 53A0 : D2;
+ 53A1 : 21;
+ 53A2 : 59;
+ 53A3 : 41;
+ 53A4 : BE;
+ 53A5 : C0;
+ 53A6 : AF;
+ 53A7 : FD;
+ 53A8 : 77;
+ 53A9 : 19;
+ 53AA : FD;
+ 53AB : BE;
+ 53AC : 1A;
+ 53AD : FD;
+ 53AE : 77;
+ 53AF : 1A;
+ 53B0 : C8;
+ 53B1 : FD;
+ 53B2 : CB;
+ 53B3 : 00;
+ 53B4 : C6;
+ 53B5 : C9;
+ 53B6 : 21;
+ 53B7 : 59;
+ 53B8 : 41;
+ 53B9 : BE;
+ 53BA : C0;
+ 53BB : CD;
+ 53BC : FF;
+ 53BD : 11;
+ 53BE : C9;
+ 53BF : 21;
+ 53C0 : 5E;
+ 53C1 : 41;
+ 53C2 : 34;
+ 53C3 : 35;
+ 53C4 : C0;
+ 53C5 : 3C;
+ 53C6 : 32;
+ 53C7 : 5F;
+ 53C8 : 41;
+ 53C9 : C9;
+ 53CA : FD;
+ 53CB : CB;
+ 53CC : 00;
+ 53CD : 86;
+ 53CE : 11;
+ 53CF : 00;
+ 53D0 : FC;
+ 53D1 : FD;
+ 53D2 : 7E;
+ 53D3 : 1A;
+ 53D4 : E6;
+ 53D5 : 7F;
+ 53D6 : CA;
+ 53D7 : B6;
+ 53D8 : D4;
+ 53D9 : FE;
+ 53DA : 40;
+ 53DB : DC;
+ 53DC : C1;
+ 53DD : D4;
+ 53DE : FD;
+ 53DF : 7E;
+ 53E0 : 1B;
+ 53E1 : B7;
+ 53E2 : CA;
+ 53E3 : B6;
+ 53E4 : D4;
+ 53E5 : FE;
+ 53E6 : 40;
+ 53E7 : DC;
+ 53E8 : C1;
+ 53E9 : D4;
+ 53EA : FD;
+ 53EB : 7E;
+ 53EC : 22;
+ 53ED : CB;
+ 53EE : 3F;
+ 53EF : CB;
+ 53F0 : 3F;
+ 53F1 : CE;
+ 53F2 : 00;
+ 53F3 : CA;
+ 53F4 : B6;
+ 53F5 : D4;
+ 53F6 : FE;
+ 53F7 : 40;
+ 53F8 : DC;
+ 53F9 : C1;
+ 53FA : D4;
+ 53FB : FD;
+ 53FC : CB;
+ 53FD : 00;
+ 53FE : 76;
+ 53FF : CA;
+ 5400 : 1D;
+ 5401 : D4;
+ 5402 : 3A;
+ 5403 : A5;
+ 5404 : 40;
+ 5405 : B7;
+ 5406 : CA;
+ 5407 : B6;
+ 5408 : D4;
+ 5409 : FE;
+ 540A : 40;
+ 540B : DC;
+ 540C : C1;
+ 540D : D4;
+ 540E : 3A;
+ 540F : A6;
+ 5410 : 40;
+ 5411 : B7;
+ 5412 : CA;
+ 5413 : B6;
+ 5414 : D4;
+ 5415 : FE;
+ 5416 : 40;
+ 5417 : DC;
+ 5418 : C1;
+ 5419 : D4;
+ 541A : C3;
+ 541B : 35;
+ 541C : D4;
+ 541D : 3A;
+ 541E : 65;
+ 541F : 41;
+ 5420 : B7;
+ 5421 : CA;
+ 5422 : B6;
+ 5423 : D4;
+ 5424 : FE;
+ 5425 : 40;
+ 5426 : DC;
+ 5427 : C1;
+ 5428 : D4;
+ 5429 : 3A;
+ 542A : A4;
+ 542B : 40;
+ 542C : B7;
+ 542D : CA;
+ 542E : B6;
+ 542F : D4;
+ 5430 : FE;
+ 5431 : 40;
+ 5432 : DC;
+ 5433 : C1;
+ 5434 : D4;
+ 5435 : FD;
+ 5436 : 4E;
+ 5437 : 17;
+ 5438 : FD;
+ 5439 : 7E;
+ 543A : 18;
+ 543B : D6;
+ 543C : 20;
+ 543D : 28;
+ 543E : 4C;
+ 543F : 30;
+ 5440 : 02;
+ 5441 : ED;
+ 5442 : 44;
+ 5443 : FE;
+ 5444 : 20;
+ 5445 : 38;
+ 5446 : 0E;
+ 5447 : FD;
+ 5448 : 66;
+ 5449 : 17;
+ 544A : 7C;
+ 544B : B7;
+ 544C : F2;
+ 544D : 73;
+ 544E : D4;
+ 544F : ED;
+ 5450 : 44;
+ 5451 : 67;
+ 5452 : C3;
+ 5453 : 73;
+ 5454 : D4;
+ [5455..5457] : 07;
+ 5458 : 6F;
+ 5459 : FD;
+ 545A : 7E;
+ 545B : 17;
+ 545C : B7;
+ 545D : F2;
+ 545E : 62;
+ 545F : D4;
+ 5460 : ED;
+ 5461 : 44;
+ 5462 : 47;
+ 5463 : AF;
+ 5464 : C3;
+ 5465 : 6A;
+ 5466 : D4;
+ 5467 : 80;
+ 5468 : CB;
+ 5469 : 38;
+ 546A : CB;
+ 546B : 25;
+ 546C : 38;
+ 546D : F9;
+ 546E : 20;
+ 546F : F8;
+ 5470 : CB;
+ 5471 : 3F;
+ 5472 : 67;
+ 5473 : FD;
+ 5474 : 7E;
+ 5475 : 18;
+ 5476 : FE;
+ 5477 : 20;
+ 5478 : 38;
+ 5479 : 0A;
+ 547A : 79;
+ 547B : 84;
+ 547C : 4F;
+ 547D : 30;
+ 547E : 0C;
+ 547F : 0E;
+ 5480 : FF;
+ 5481 : C3;
+ 5482 : A2;
+ 5483 : D4;
+ 5484 : 79;
+ 5485 : 94;
+ 5486 : 0E;
+ 5487 : 00;
+ 5488 : 38;
+ 5489 : 0A;
+ 548A : 4F;
+ 548B : 79;
+ 548C : FE;
+ 548D : 80;
+ 548E : 28;
+ 548F : 1F;
+ 5490 : B7;
+ 5491 : FA;
+ 5492 : A2;
+ 5493 : D4;
+ 5494 : FD;
+ 5495 : CB;
+ 5496 : 00;
+ 5497 : 6E;
+ 5498 : 28;
+ 5499 : 15;
+ 549A : CB;
+ 549B : 3F;
+ 549C : CD;
+ 549D : C1;
+ 549E : D4;
+ 549F : C3;
+ 54A0 : AF;
+ 54A1 : D4;
+ 54A2 : FD;
+ 54A3 : CB;
+ 54A4 : 00;
+ 54A5 : 6E;
+ 54A6 : 20;
+ 54A7 : 07;
+ 54A8 : ED;
+ 54A9 : 44;
+ 54AA : CB;
+ 54AB : 3F;
+ 54AC : CD;
+ 54AD : C1;
+ 54AE : D4;
+ 54AF : 7A;
+ 54B0 : CB;
+ 54B1 : 3F;
+ 54B2 : CB;
+ 54B3 : 3F;
+ 54B4 : CE;
+ 54B5 : 00;
+ 54B6 : 4F;
+ 54B7 : 21;
+ 54B8 : 48;
+ 54B9 : 41;
+ 54BA : 7D;
+ 54BB : FD;
+ 54BC : 86;
+ 54BD : 27;
+ 54BE : 6F;
+ 54BF : 71;
+ 54C0 : C9;
+ 54C1 : 47;
+ 54C2 : 21;
+ [54C3..54C4] : 00;
+ 54C5 : E6;
+ 54C6 : 0F;
+ 54C7 : 28;
+ 54C8 : 16;
+ 54C9 : CB;
+ 54CA : 20;
+ 54CB : CB;
+ 54CC : 20;
+ 54CD : C3;
+ 54CE : D1;
+ 54CF : D4;
+ 54D0 : 19;
+ 54D1 : CB;
+ 54D2 : 3A;
+ 54D3 : CB;
+ 54D4 : 1B;
+ 54D5 : CB;
+ 54D6 : 20;
+ 54D7 : DA;
+ 54D8 : D0;
+ 54D9 : D4;
+ 54DA : C2;
+ 54DB : D1;
+ 54DC : D4;
+ 54DD : EB;
+ 54DE : C9;
+ 54DF : 78;
+ 54E0 : B7;
+ 54E1 : 28;
+ 54E2 : 11;
+ 54E3 : CB;
+ 54E4 : 3A;
+ 54E5 : CB;
+ 54E6 : 1B;
+ 54E7 : FE;
+ 54E8 : 20;
+ 54E9 : C8;
+ 54EA : 6B;
+ 54EB : 62;
+ 54EC : CB;
+ 54ED : 3A;
+ 54EE : CB;
+ 54EF : 1B;
+ 54F0 : FE;
+ 54F1 : 10;
+ 54F2 : C8;
+ 54F3 : 19;
+ 54F4 : EB;
+ 54F5 : C9;
+ 54F6 : DB;
+ 54F7 : 04;
+ 54F8 : 0F;
+ 54F9 : 30;
+ 54FA : FB;
+ 54FB : DB;
+ 54FC : 01;
+ 54FD : FE;
+ 54FE : 20;
+ 54FF : D2;
+ 5500 : 9B;
+ 5501 : 02;
+ 5502 : FE;
+ 5503 : 01;
+ 5504 : 28;
+ 5505 : F0;
+ 5506 : D3;
+ 5507 : 05;
+ 5508 : 21;
+ 5509 : C0;
+ 550A : D9;
+ 550B : 87;
+ 550C : 85;
+ 550D : 6F;
+ 550E : 7E;
+ 550F : 2C;
+ 5510 : 66;
+ 5511 : 6F;
+ 5512 : E9;
+ 5513 : 21;
+ 5514 : 00;
+ 5515 : 60;
+ 5516 : 3E;
+ 5517 : 3F;
+ 5518 : D3;
+ 5519 : 06;
+ 551A : 36;
+ 551B : 00;
+ 551C : 7E;
+ 551D : FD;
+ 551E : 21;
+ 551F : 24;
+ 5520 : D5;
+ 5521 : C3;
+ 5522 : 3B;
+ 5523 : D6;
+ 5524 : 36;
+ 5525 : FF;
+ 5526 : 7E;
+ 5527 : FD;
+ 5528 : 21;
+ 5529 : 1A;
+ 552A : D5;
+ 552B : C3;
+ 552C : 3B;
+ 552D : D6;
+ 552E : 21;
+ 552F : 00;
+ 5530 : 61;
+ 5531 : 3E;
+ 5532 : 3F;
+ 5533 : D3;
+ 5534 : 07;
+ 5535 : 18;
+ 5536 : E3;
+ 5537 : 21;
+ 5538 : 00;
+ 5539 : 62;
+ 553A : 3E;
+ 553B : 3F;
+ 553C : D3;
+ 553D : 08;
+ 553E : 18;
+ 553F : DA;
+ 5540 : 21;
+ 5541 : 00;
+ 5542 : 63;
+ 5543 : 3E;
+ 5544 : 3F;
+ 5545 : D3;
+ 5546 : 09;
+ 5547 : 18;
+ 5548 : D1;
+ 5549 : AF;
+ 554A : D3;
+ 554B : 03;
+ 554C : FD;
+ 554D : 21;
+ 554E : 53;
+ 554F : D5;
+ 5550 : C3;
+ 5551 : 3B;
+ 5552 : D6;
+ 5553 : 3E;
+ 5554 : FF;
+ 5555 : D3;
+ 5556 : 03;
+ 5557 : FD;
+ 5558 : 21;
+ 5559 : 49;
+ 555A : D5;
+ 555B : C3;
+ 555C : 3B;
+ 555D : D6;
+ 555E : 0E;
+ 555F : 06;
+ 5560 : 21;
+ 5561 : 00;
+ 5562 : 60;
+ 5563 : 36;
+ 5564 : FF;
+ 5565 : 7E;
+ 5566 : 3E;
+ 5567 : 00;
+ 5568 : ED;
+ 5569 : 79;
+ 556A : FD;
+ 556B : 21;
+ 556C : 71;
+ 556D : D5;
+ 556E : C3;
+ 556F : 3B;
+ 5570 : D6;
+ 5571 : 3E;
+ 5572 : FF;
+ 5573 : ED;
+ 5574 : 79;
+ 5575 : FD;
+ 5576 : 21;
+ 5577 : 66;
+ 5578 : D5;
+ 5579 : C3;
+ 557A : 3B;
+ 557B : D6;
+ 557C : 0E;
+ 557D : 07;
+ 557E : 21;
+ 557F : 00;
+ 5580 : 61;
+ 5581 : 36;
+ 5582 : FF;
+ 5583 : 7E;
+ 5584 : 18;
+ 5585 : E0;
+ 5586 : 0E;
+ 5587 : 08;
+ 5588 : 21;
+ 5589 : 00;
+ 558A : 62;
+ 558B : 36;
+ 558C : FF;
+ 558D : 7E;
+ 558E : 18;
+ 558F : D6;
+ 5590 : 0E;
+ 5591 : 09;
+ 5592 : 21;
+ 5593 : 00;
+ 5594 : 63;
+ 5595 : 36;
+ 5596 : FF;
+ 5597 : 7E;
+ 5598 : 18;
+ 5599 : CC;
+ 559A : 21;
+ 559B : 00;
+ 559C : 60;
+ 559D : 0E;
+ [559E..559F] : 06;
+ 55A0 : 3F;
+ 55A1 : ED;
+ 55A2 : 41;
+ 55A3 : 16;
+ 55A4 : 72;
+ 55A5 : 36;
+ 55A6 : 00;
+ 55A7 : 7E;
+ 55A8 : AF;
+ 55A9 : 3D;
+ 55AA : 20;
+ 55AB : FD;
+ 55AC : 36;
+ 55AD : FF;
+ 55AE : 7E;
+ 55AF : AF;
+ 55B0 : 3D;
+ 55B1 : 20;
+ 55B2 : FD;
+ 55B3 : 15;
+ 55B4 : 20;
+ 55B5 : EF;
+ 55B6 : 05;
+ 55B7 : F2;
+ 55B8 : A1;
+ 55B9 : D5;
+ 55BA : DB;
+ 55BB : 04;
+ 55BC : 0F;
+ 55BD : 30;
+ 55BE : E0;
+ 55BF : C3;
+ 55C0 : FB;
+ 55C1 : D4;
+ 55C2 : 21;
+ 55C3 : 00;
+ 55C4 : 61;
+ 55C5 : 0E;
+ 55C6 : 07;
+ 55C7 : C3;
+ 55C8 : 9F;
+ 55C9 : D5;
+ 55CA : 21;
+ 55CB : 00;
+ 55CC : 62;
+ 55CD : 0E;
+ 55CE : 08;
+ 55CF : C3;
+ 55D0 : 9F;
+ 55D1 : D5;
+ 55D2 : 21;
+ 55D3 : 00;
+ 55D4 : 63;
+ 55D5 : 0E;
+ 55D6 : 09;
+ 55D7 : C3;
+ 55D8 : 9F;
+ 55D9 : D5;
+ 55DA : 3E;
+ 55DB : 3F;
+ 55DC : D3;
+ 55DD : 06;
+ 55DE : D3;
+ 55DF : 07;
+ 55E0 : D3;
+ 55E1 : 08;
+ 55E2 : D3;
+ 55E3 : 09;
+ 55E4 : 06;
+ 55E5 : 00;
+ 55E6 : 68;
+ 55E7 : 26;
+ 55E8 : 60;
+ 55E9 : 70;
+ 55EA : 7E;
+ 55EB : 24;
+ 55EC : 70;
+ 55ED : 7E;
+ 55EE : 24;
+ 55EF : 70;
+ 55F0 : 7E;
+ 55F1 : 24;
+ 55F2 : 70;
+ 55F3 : 7E;
+ 55F4 : 10;
+ 55F5 : F1;
+ 55F6 : DB;
+ 55F7 : 04;
+ 55F8 : 0F;
+ 55F9 : D2;
+ 55FA : E7;
+ 55FB : D5;
+ 55FC : C3;
+ 55FD : FB;
+ 55FE : D4;
+ 55FF : DB;
+ 5600 : 02;
+ 5601 : D3;
+ 5602 : 03;
+ 5603 : C3;
+ 5604 : FB;
+ 5605 : D4;
+ 5606 : DB;
+ 5607 : 02;
+ 5608 : C3;
+ 5609 : FB;
+ 560A : D4;
+ 560B : 21;
+ 560C : 00;
+ 560D : 60;
+ 560E : 3E;
+ 560F : 3F;
+ 5610 : D3;
+ 5611 : 06;
+ 5612 : D3;
+ 5613 : 07;
+ 5614 : D3;
+ 5615 : 08;
+ 5616 : D3;
+ 5617 : 09;
+ 5618 : DB;
+ 5619 : 02;
+ 561A : 77;
+ 561B : 7E;
+ 561C : 10;
+ 561D : FE;
+ 561E : 36;
+ 561F : 00;
+ 5620 : 7E;
+ 5621 : 10;
+ 5622 : FE;
+ 5623 : DB;
+ 5624 : 04;
+ 5625 : 0F;
+ 5626 : DA;
+ 5627 : FB;
+ 5628 : D4;
+ 5629 : C3;
+ 562A : 18;
+ 562B : D6;
+ 562C : 21;
+ 562D : 00;
+ 562E : 61;
+ 562F : 18;
+ 5630 : DD;
+ 5631 : 21;
+ 5632 : 00;
+ 5633 : 62;
+ 5634 : 18;
+ 5635 : D8;
+ 5636 : 21;
+ 5637 : 00;
+ 5638 : 63;
+ 5639 : 18;
+ 563A : D3;
+ 563B : 06;
+ 563C : 04;
+ 563D : 11;
+ 563E : 1E;
+ 563F : 97;
+ 5640 : DB;
+ 5641 : 04;
+ 5642 : 0F;
+ 5643 : DA;
+ 5644 : FB;
+ 5645 : D4;
+ 5646 : 1B;
+ 5647 : 7A;
+ 5648 : B3;
+ 5649 : 20;
+ 564A : F5;
+ 564B : 10;
+ 564C : F3;
+ 564D : FD;
+ 564E : E9;
+ [564F..56FF] : FF;
+ 5700 : 00;
+ 5701 : 18;
+ 5702 : 31;
+ 5703 : 4A;
+ 5704 : 61;
+ 5705 : 78;
+ 5706 : 8D;
+ 5707 : A1;
+ 5708 : B4;
+ 5709 : C5;
+ 570A : D4;
+ 570B : E0;
+ 570C : EB;
+ 570D : F4;
+ 570E : FA;
+ 570F : FD;
+ 5710 : FF;
+ 5711 : FD;
+ 5712 : FA;
+ 5713 : F4;
+ 5714 : EB;
+ 5715 : E0;
+ 5716 : D4;
+ 5717 : C5;
+ 5718 : B4;
+ 5719 : A1;
+ 571A : 8D;
+ 571B : 78;
+ 571C : 61;
+ 571D : 4A;
+ 571E : 31;
+ 571F : 18;
+ 5720 : A4;
+ 5721 : C9;
+ 5722 : F2;
+ 5723 : FD;
+ [5724..5729] : 69;
+ 572A : 05;
+ 572B : 29;
+ 572C : 4D;
+ 572D : 71;
+ 572E : 95;
+ 572F : B9;
+ 5730 : DF;
+ 5731 : 0B;
+ 5732 : A5;
+ 5733 : B4;
+ 5734 : D3;
+ 5735 : E0;
+ 5736 : 04;
+ 5737 : 0B;
+ 5738 : 40;
+ 5739 : 1E;
+ 573A : F6;
+ [573B..573C] : 57;
+ 573D : 64;
+ 573E : 2C;
+ [573F..5740] : 88;
+ 5741 : B2;
+ 5742 : CA;
+ [5743..5744] : 69;
+ 5745 : E0;
+ 5746 : F6;
+ 5747 : 0C;
+ 5748 : 22;
+ 5749 : 3F;
+ [574A..574F] : 69;
+ 5750 : 63;
+ [5751..575F] : 69;
+ 5760 : 8A;
+ 5761 : 92;
+ 5762 : 9A;
+ 5763 : B3;
+ 5764 : AD;
+ 5765 : 01;
+ 5766 : CF;
+ 5767 : D7;
+ 5768 : DF;
+ 5769 : E7;
+ 576A : 5C;
+ 576B : 9E;
+ [576C..577F] : 69;
+ [5780..579F] : 1D;
+ [57A0..57AF] : 84;
+ [57B0..57EF] : 69;
+ [57F0..581F] : 00;
+ [5820..5823] : C2;
+ [5824..5829] : 02;
+ [582A..5830] : C3;
+ [5831..5835] : C4;
+ [5836..5838] : C5;
+ 5839 : C6;
+ 583A : C8;
+ [583B..583D] : C6;
+ 583E : C5;
+ [583F..5842] : C6;
+ [5843..5844] : 02;
+ [5845..5846] : C6;
+ [5847..5849] : C7;
+ [584A..584F] : 02;
+ 5850 : C7;
+ [5851..585F] : 02;
+ [5860..5864] : C8;
+ 5865 : C4;
+ [5866..5869] : C8;
+ [586A..586B] : 1A;
+ [586C..587F] : 02;
+ [5880..58AF] : C9;
+ [58B0..58EF] : 02;
+ [58F0..58FF] : 00;
+ 5900 : 24;
+ 5901 : CF;
+ 5902 : 24;
+ 5903 : CF;
+ 5904 : 24;
+ 5905 : CF;
+ 5906 : 24;
+ 5907 : CF;
+ 5908 : 24;
+ 5909 : CF;
+ 590A : 24;
+ 590B : CF;
+ 590C : 24;
+ 590D : CF;
+ 590E : 24;
+ 590F : CF;
+ 5910 : 24;
+ 5911 : CF;
+ 5912 : 24;
+ 5913 : CF;
+ 5914 : 24;
+ 5915 : CF;
+ 5916 : B1;
+ 5917 : D2;
+ 5918 : BE;
+ 5919 : D2;
+ 591A : D3;
+ 591B : D2;
+ 591C : 0D;
+ 591D : CF;
+ 591E : EE;
+ 591F : D2;
+ 5920 : 23;
+ 5921 : CF;
+ 5922 : 23;
+ 5923 : CF;
+ 5924 : 23;
+ 5925 : CF;
+ 5926 : 23;
+ 5927 : CF;
+ 5928 : 23;
+ 5929 : CF;
+ 592A : 23;
+ 592B : CF;
+ 592C : 23;
+ 592D : CF;
+ 592E : 23;
+ 592F : CF;
+ 5930 : 23;
+ 5931 : CF;
+ 5932 : 23;
+ 5933 : CF;
+ 5934 : 23;
+ 5935 : CF;
+ 5936 : 23;
+ 5937 : CF;
+ 5938 : 23;
+ 5939 : CF;
+ 593A : 23;
+ 593B : CF;
+ 593C : 23;
+ 593D : CF;
+ 593E : 23;
+ 593F : CF;
+ 5940 : EA;
+ 5941 : CF;
+ 5942 : 20;
+ 5943 : D0;
+ 5944 : 62;
+ 5945 : D0;
+ 5946 : A2;
+ 5947 : D0;
+ 5948 : 25;
+ 5949 : D1;
+ 594A : BC;
+ 594B : D1;
+ 594C : C2;
+ 594D : D1;
+ 594E : CA;
+ 594F : D1;
+ 5950 : 23;
+ 5951 : CF;
+ 5952 : 23;
+ 5953 : CF;
+ 5954 : 7C;
+ 5955 : D2;
+ 5956 : 23;
+ 5957 : CF;
+ 5958 : 23;
+ 5959 : CF;
+ 595A : 23;
+ 595B : CF;
+ 595C : 07;
+ 595D : CF;
+ 595E : 23;
+ 595F : CF;
+ 5960 : 23;
+ 5961 : CF;
+ 5962 : 23;
+ 5963 : CF;
+ 5964 : 23;
+ 5965 : CF;
+ 5966 : 23;
+ 5967 : CF;
+ 5968 : 23;
+ 5969 : CF;
+ 596A : 23;
+ 596B : CF;
+ 596C : 23;
+ 596D : CF;
+ 596E : 23;
+ 596F : CF;
+ 5970 : 23;
+ 5971 : CF;
+ 5972 : 23;
+ 5973 : CF;
+ 5974 : 23;
+ 5975 : CF;
+ 5976 : 23;
+ 5977 : CF;
+ 5978 : 23;
+ 5979 : CF;
+ 597A : 23;
+ 597B : CF;
+ 597C : 23;
+ 597D : CF;
+ 597E : 23;
+ 597F : CF;
+ 5980 : 1B;
+ 5981 : D3;
+ 5982 : 20;
+ 5983 : D0;
+ 5984 : 62;
+ 5985 : D0;
+ 5986 : 21;
+ 5987 : D3;
+ 5988 : 2C;
+ 5989 : D3;
+ 598A : 45;
+ 598B : D3;
+ 598C : 4A;
+ 598D : D3;
+ 598E : 72;
+ 598F : D3;
+ 5990 : 23;
+ 5991 : CF;
+ 5992 : 8B;
+ 5993 : D3;
+ 5994 : 9A;
+ 5995 : D3;
+ 5996 : 7C;
+ 5997 : D2;
+ 5998 : A1;
+ 5999 : D3;
+ 599A : B6;
+ 599B : D3;
+ 599C : BF;
+ 599D : D3;
+ 599E : 23;
+ 599F : CF;
+ 59A0 : 23;
+ 59A1 : CF;
+ 59A2 : 23;
+ 59A3 : CF;
+ 59A4 : 23;
+ 59A5 : CF;
+ 59A6 : 23;
+ 59A7 : CF;
+ 59A8 : 23;
+ 59A9 : CF;
+ 59AA : 23;
+ 59AB : CF;
+ 59AC : 23;
+ 59AD : CF;
+ 59AE : 23;
+ 59AF : CF;
+ 59B0 : 23;
+ 59B1 : CF;
+ 59B2 : 8B;
+ 59B3 : D3;
+ 59B4 : 23;
+ 59B5 : CF;
+ 59B6 : 23;
+ 59B7 : CF;
+ 59B8 : A1;
+ 59B9 : D3;
+ 59BA : B6;
+ 59BB : D3;
+ 59BC : 23;
+ 59BD : CF;
+ 59BE : 23;
+ 59BF : CF;
+ 59C0 : F6;
+ 59C1 : D4;
+ 59C2 : F6;
+ 59C3 : D4;
+ 59C4 : 13;
+ 59C5 : D5;
+ 59C6 : 2E;
+ 59C7 : D5;
+ 59C8 : 37;
+ 59C9 : D5;
+ 59CA : 40;
+ 59CB : D5;
+ 59CC : 49;
+ 59CD : D5;
+ 59CE : 5E;
+ 59CF : D5;
+ 59D0 : 7C;
+ 59D1 : D5;
+ 59D2 : 86;
+ 59D3 : D5;
+ 59D4 : 90;
+ 59D5 : D5;
+ 59D6 : 9A;
+ 59D7 : D5;
+ 59D8 : C2;
+ 59D9 : D5;
+ 59DA : CA;
+ 59DB : D5;
+ 59DC : D2;
+ 59DD : D5;
+ 59DE : DA;
+ 59DF : D5;
+ 59E0 : FF;
+ 59E1 : D5;
+ 59E2 : 06;
+ 59E3 : D6;
+ 59E4 : 0B;
+ 59E5 : D6;
+ 59E6 : 2C;
+ 59E7 : D6;
+ 59E8 : 31;
+ 59E9 : D6;
+ 59EA : 36;
+ 59EB : D6;
+ 59EC : F6;
+ 59ED : D4;
+ 59EE : F6;
+ 59EF : D4;
+ 59F0 : F6;
+ 59F1 : D4;
+ 59F2 : F6;
+ 59F3 : D4;
+ 59F4 : F6;
+ 59F5 : D4;
+ 59F6 : F6;
+ 59F7 : D4;
+ 59F8 : F6;
+ 59F9 : D4;
+ 59FA : F6;
+ 59FB : D4;
+ 59FC : F6;
+ 59FD : D4;
+ 59FE : F6;
+ 59FF : D4;
+ 5A00 : 21;
+ 5A01 : D3;
+ 5A02 : DA;
+ 5A03 : CD;
+ 5A04 : 36;
+ 5A05 : DA;
+ 5A06 : 5F;
+ 5A07 : C9;
+ 5A08 : FD;
+ 5A09 : 5E;
+ 5A0A : 28;
+ 5A0B : 7B;
+ 5A0C : 3C;
+ 5A0D : C0;
+ 5A0E : 21;
+ 5A0F : 00;
+ 5A10 : EC;
+ 5A11 : FD;
+ 5A12 : 7E;
+ 5A13 : 15;
+ 5A14 : 1F;
+ 5A15 : E6;
+ 5A16 : 0F;
+ 5A17 : 28;
+ 5A18 : 12;
+ 5A19 : 4F;
+ 5A1A : 87;
+ 5A1B : 81;
+ [5A1C..5A1E] : 87;
+ 5A1F : 06;
+ 5A20 : 00;
+ 5A21 : CB;
+ 5A22 : 10;
+ 5A23 : 87;
+ 5A24 : CB;
+ 5A25 : 10;
+ 5A26 : 87;
+ 5A27 : CB;
+ 5A28 : 10;
+ 5A29 : 4F;
+ 5A2A : 09;
+ 5A2B : FD;
+ 5A2C : 5E;
+ 5A2D : 12;
+ 5A2E : FD;
+ 5A2F : 56;
+ 5A30 : 13;
+ 5A31 : CD;
+ 5A32 : 36;
+ 5A33 : DA;
+ 5A34 : 5F;
+ 5A35 : C9;
+ 5A36 : 01;
+ 5A37 : 5F;
+ 5A38 : 00;
+ 5A39 : E5;
+ 5A3A : 23;
+ 5A3B : 7E;
+ 5A3C : 2B;
+ 5A3D : BA;
+ 5A3E : 38;
+ 5A3F : 0C;
+ 5A40 : 20;
+ 5A41 : 10;
+ 5A42 : 7E;
+ 5A43 : BB;
+ 5A44 : 38;
+ 5A45 : 06;
+ 5A46 : 20;
+ 5A47 : 0A;
+ 5A48 : E1;
+ 5A49 : AF;
+ 5A4A : 37;
+ 5A4B : C9;
+ 5A4C : 5E;
+ 5A4D : 23;
+ 5A4E : 56;
+ 5A4F : E1;
+ 5A50 : AF;
+ 5A51 : C9;
+ 5A52 : 3E;
+ 5A53 : BF;
+ 5A54 : 85;
+ 5A55 : 6F;
+ 5A56 : 7C;
+ 5A57 : 88;
+ 5A58 : 67;
+ 5A59 : 7E;
+ 5A5A : 2B;
+ 5A5B : BA;
+ 5A5C : 38;
+ 5A5D : 13;
+ 5A5E : 20;
+ 5A5F : 0A;
+ 5A60 : 7E;
+ 5A61 : BB;
+ 5A62 : 38;
+ 5A63 : 0D;
+ 5A64 : 20;
+ 5A65 : 04;
+ 5A66 : E1;
+ 5A67 : 79;
+ 5A68 : 37;
+ 5A69 : C9;
+ 5A6A : 5E;
+ 5A6B : 23;
+ 5A6C : 56;
+ 5A6D : E1;
+ 5A6E : 79;
+ 5A6F : B7;
+ 5A70 : C9;
+ 5A71 : E1;
+ 5A72 : E5;
+ 5A73 : 78;
+ 5A74 : 81;
+ 5A75 : E6;
+ 5A76 : FE;
+ 5A77 : 85;
+ 5A78 : 6F;
+ 5A79 : 7C;
+ 5A7A : CE;
+ 5A7B : 00;
+ 5A7C : 67;
+ 5A7D : 23;
+ 5A7E : 7E;
+ 5A7F : 2B;
+ 5A80 : BA;
+ 5A81 : 38;
+ 5A82 : 0F;
+ 5A83 : 20;
+ 5A84 : 16;
+ 5A85 : 7E;
+ 5A86 : BB;
+ 5A87 : 38;
+ 5A88 : 09;
+ 5A89 : 20;
+ 5A8A : 10;
+ 5A8B : E1;
+ 5A8C : 78;
+ 5A8D : 81;
+ 5A8E : CB;
+ 5A8F : 3F;
+ 5A90 : 37;
+ 5A91 : C9;
+ 5A92 : 78;
+ 5A93 : 81;
+ 5A94 : CB;
+ 5A95 : 3F;
+ 5A96 : 4F;
+ 5A97 : E1;
+ 5A98 : C3;
+ 5A99 : 72;
+ 5A9A : DA;
+ 5A9B : 78;
+ 5A9C : 81;
+ 5A9D : CB;
+ 5A9E : 3F;
+ 5A9F : B8;
+ 5AA0 : 47;
+ 5AA1 : 28;
+ 5AA2 : 04;
+ 5AA3 : E1;
+ 5AA4 : C3;
+ 5AA5 : 72;
+ 5AA6 : DA;
+ 5AA7 : E5;
+ 5AA8 : C5;
+ 5AA9 : 7E;
+ 5AAA : 23;
+ 5AAB : 93;
+ 5AAC : 4F;
+ 5AAD : 7E;
+ 5AAE : 23;
+ 5AAF : 9A;
+ 5AB0 : 47;
+ 5AB1 : 7E;
+ 5AB2 : 23;
+ 5AB3 : 66;
+ 5AB4 : 6F;
+ 5AB5 : EB;
+ 5AB6 : B7;
+ 5AB7 : ED;
+ 5AB8 : 52;
+ 5AB9 : 7C;
+ 5ABA : B8;
+ 5ABB : 38;
+ 5ABC : 08;
+ 5ABD : 20;
+ 5ABE : 0C;
+ 5ABF : 7D;
+ 5AC0 : B9;
+ 5AC1 : 38;
+ 5AC2 : 02;
+ 5AC3 : 20;
+ 5AC4 : 06;
+ 5AC5 : C1;
+ [5AC6..5AC7] : E1;
+ 5AC8 : 79;
+ 5AC9 : B7;
+ 5ACA : C9;
+ 5ACB : C1;
+ 5ACC : E1;
+ 5ACD : 5E;
+ 5ACE : 23;
+ 5ACF : 56;
+ 5AD0 : E1;
+ 5AD1 : 78;
+ 5AD2 : C9;
+ 5AD3 : C0;
+ 5AD4 : 1A;
+ 5AD5 : 40;
+ 5AD6 : 19;
+ 5AD7 : D0;
+ 5AD8 : 17;
+ 5AD9 : 80;
+ 5ADA : 16;
+ 5ADB : 30;
+ 5ADC : 15;
+ 5ADD : 00;
+ 5ADE : 14;
+ 5ADF : E0;
+ 5AE0 : 12;
+ 5AE1 : D0;
+ 5AE2 : 11;
+ 5AE3 : D0;
+ 5AE4 : 10;
+ 5AE5 : E0;
+ 5AE6 : 0F;
+ 5AE7 : 00;
+ 5AE8 : 0F;
+ 5AE9 : 28;
+ 5AEA : 0E;
+ 5AEB : 60;
+ 5AEC : 0D;
+ 5AED : A0;
+ 5AEE : 0C;
+ 5AEF : E8;
+ 5AF0 : 0B;
+ 5AF1 : 40;
+ 5AF2 : 0B;
+ 5AF3 : 98;
+ 5AF4 : 0A;
+ 5AF5 : 00;
+ 5AF6 : 0A;
+ 5AF7 : 70;
+ 5AF8 : 09;
+ 5AF9 : E8;
+ 5AFA : 08;
+ 5AFB : 68;
+ 5AFC : 08;
+ 5AFD : F0;
+ 5AFE : 07;
+ 5AFF : 80;
+ 5B00 : 07;
+ 5B01 : 14;
+ 5B02 : 07;
+ 5B03 : B0;
+ 5B04 : 06;
+ 5B05 : 50;
+ 5B06 : 06;
+ 5B07 : F4;
+ 5B08 : 05;
+ 5B09 : A0;
+ 5B0A : 05;
+ 5B0B : 4C;
+ 5B0C : 05;
+ 5B0D : 00;
+ 5B0E : 05;
+ 5B0F : B8;
+ 5B10 : 04;
+ 5B11 : 74;
+ 5B12 : 04;
+ 5B13 : 34;
+ 5B14 : 04;
+ 5B15 : F8;
+ 5B16 : 03;
+ 5B17 : C0;
+ 5B18 : 03;
+ 5B19 : 8A;
+ 5B1A : 03;
+ 5B1B : 58;
+ 5B1C : 03;
+ 5B1D : 28;
+ 5B1E : 03;
+ 5B1F : FA;
+ 5B20 : 02;
+ 5B21 : D0;
+ 5B22 : 02;
+ 5B23 : A6;
+ 5B24 : 02;
+ 5B25 : 80;
+ 5B26 : 02;
+ 5B27 : 5C;
+ 5B28 : 02;
+ 5B29 : 3A;
+ 5B2A : 02;
+ 5B2B : 1A;
+ 5B2C : 02;
+ 5B2D : FC;
+ 5B2E : 01;
+ 5B2F : E0;
+ 5B30 : 01;
+ 5B31 : C5;
+ 5B32 : 01;
+ 5B33 : AC;
+ 5B34 : 01;
+ 5B35 : 94;
+ 5B36 : 01;
+ 5B37 : 7D;
+ 5B38 : 01;
+ 5B39 : 68;
+ 5B3A : 01;
+ 5B3B : 53;
+ 5B3C : 01;
+ 5B3D : 40;
+ 5B3E : 01;
+ 5B3F : 2E;
+ 5B40 : 01;
+ 5B41 : 1D;
+ 5B42 : 01;
+ 5B43 : 0D;
+ 5B44 : 01;
+ 5B45 : FE;
+ 5B46 : 00;
+ 5B47 : F0;
+ 5B48 : 00;
+ 5B49 : E2;
+ 5B4A : 00;
+ 5B4B : D6;
+ 5B4C : 00;
+ 5B4D : CA;
+ 5B4E : 00;
+ 5B4F : BE;
+ 5B50 : 00;
+ 5B51 : B4;
+ 5B52 : 00;
+ 5B53 : AA;
+ 5B54 : 00;
+ 5B55 : A0;
+ 5B56 : 00;
+ 5B57 : 97;
+ 5B58 : 00;
+ 5B59 : 8F;
+ 5B5A : 00;
+ 5B5B : 87;
+ 5B5C : 00;
+ 5B5D : 7F;
+ 5B5E : 00;
+ 5B5F : 78;
+ 5B60 : 00;
+ 5B61 : 71;
+ 5B62 : 00;
+ 5B63 : 6B;
+ 5B64 : 00;
+ 5B65 : 65;
+ 5B66 : 00;
+ 5B67 : 5F;
+ 5B68 : 00;
+ 5B69 : 5A;
+ 5B6A : 00;
+ 5B6B : 55;
+ 5B6C : 00;
+ 5B6D : 50;
+ 5B6E : 00;
+ 5B6F : 4B;
+ 5B70 : 00;
+ 5B71 : 47;
+ 5B72 : 00;
+ 5B73 : 43;
+ 5B74 : 00;
+ 5B75 : 3F;
+ 5B76 : 00;
+ 5B77 : 3C;
+ 5B78 : 00;
+ 5B79 : 38;
+ 5B7A : 00;
+ 5B7B : 35;
+ 5B7C : 00;
+ 5B7D : 32;
+ 5B7E : 00;
+ 5B7F : 2F;
+ 5B80 : 00;
+ 5B81 : 2D;
+ 5B82 : 00;
+ 5B83 : 2A;
+ 5B84 : 00;
+ 5B85 : 28;
+ 5B86 : 00;
+ 5B87 : 25;
+ 5B88 : 00;
+ 5B89 : 23;
+ 5B8A : 00;
+ 5B8B : 21;
+ 5B8C : 00;
+ 5B8D : 1F;
+ 5B8E : 00;
+ 5B8F : 1E;
+ 5B90 : 00;
+ 5B91 : 1C;
+ 5B92 : 00;
+ [5B93..5FFF] : FF;
+ 6000 : 54;
+ 6001 : 48;
+ 6002 : 46;
+ 6003 : 44;
+ 6004 : 71;
+ 6005 : 40;
+ 6006 : D1;
+ 6007 : 3C;
+ 6008 : 68;
+ 6009 : 39;
+ 600A : 2F;
+ 600B : 36;
+ 600C : 24;
+ 600D : 33;
+ 600E : 45;
+ 600F : 30;
+ 6010 : 91;
+ 6011 : 2D;
+ 6012 : 02;
+ 6013 : 2B;
+ 6014 : 97;
+ 6015 : 28;
+ 6016 : 52;
+ 6017 : 26;
+ 6018 : 2A;
+ 6019 : 24;
+ 601A : 23;
+ 601B : 22;
+ 601C : 37;
+ 601D : 20;
+ 601E : 68;
+ 601F : 1E;
+ 6020 : B5;
+ 6021 : 1C;
+ 6022 : 17;
+ 6023 : 1B;
+ 6024 : 92;
+ 6025 : 19;
+ 6026 : 22;
+ 6027 : 18;
+ 6028 : C8;
+ 6029 : 16;
+ 602A : 81;
+ 602B : 15;
+ 602C : 4D;
+ 602D : 14;
+ 602E : 29;
+ 602F : 13;
+ 6030 : 15;
+ 6031 : 12;
+ [6032..6033] : 11;
+ 6034 : 1B;
+ 6035 : 10;
+ 6036 : 35;
+ 6037 : 0F;
+ 6038 : 5A;
+ 6039 : 0E;
+ 603A : 8D;
+ 603B : 0D;
+ 603C : CA;
+ 603D : 0C;
+ 603E : 12;
+ 603F : 0C;
+ 6040 : 62;
+ 6041 : 0B;
+ 6042 : C0;
+ 6043 : 0A;
+ 6044 : 26;
+ 6045 : 0A;
+ 6046 : 94;
+ 6047 : 09;
+ 6048 : 0A;
+ 6049 : 09;
+ 604A : 88;
+ 604B : 08;
+ 604C : 0F;
+ 604D : 08;
+ 604E : 9A;
+ 604F : 07;
+ 6050 : 2C;
+ 6051 : 07;
+ 6052 : C5;
+ 6053 : 06;
+ 6054 : 63;
+ 6055 : 06;
+ 6056 : 07;
+ 6057 : 06;
+ 6058 : B1;
+ 6059 : 05;
+ 605A : 60;
+ 605B : 05;
+ 605C : 11;
+ 605D : 05;
+ 605E : C8;
+ 605F : 04;
+ 6060 : 85;
+ 6061 : 04;
+ 6062 : 44;
+ 6063 : 04;
+ 6064 : 06;
+ 6065 : 04;
+ 6066 : CD;
+ 6067 : 03;
+ 6068 : 97;
+ 6069 : 03;
+ 606A : 63;
+ 606B : 03;
+ 606C : 33;
+ 606D : 03;
+ 606E : 05;
+ 606F : 03;
+ 6070 : DA;
+ 6071 : 02;
+ 6072 : AE;
+ 6073 : 02;
+ 6074 : 88;
+ 6075 : 02;
+ 6076 : 65;
+ 6077 : 02;
+ 6078 : 42;
+ 6079 : 02;
+ 607A : 22;
+ 607B : 02;
+ 607C : 04;
+ 607D : 02;
+ 607E : E6;
+ 607F : 01;
+ 6080 : CB;
+ 6081 : 01;
+ 6082 : B0;
+ 6083 : 01;
+ 6084 : 98;
+ 6085 : 01;
+ 6086 : 82;
+ 6087 : 01;
+ 6088 : 6D;
+ 6089 : 01;
+ 608A : 57;
+ 608B : 01;
+ 608C : 44;
+ 608D : 01;
+ 608E : 31;
+ 608F : 01;
+ 6090 : 21;
+ 6091 : 01;
+ 6092 : 11;
+ 6093 : 01;
+ 6094 : 00;
+ 6095 : 01;
+ 6096 : F3;
+ 6097 : 00;
+ 6098 : E5;
+ 6099 : 00;
+ 609A : D8;
+ 609B : 00;
+ 609C : CD;
+ 609D : 00;
+ 609E : BF;
+ 609F : 00;
+ 60A0 : B5;
+ 60A1 : 00;
+ 60A2 : AD;
+ 60A3 : 00;
+ 60A4 : A2;
+ 60A5 : 00;
+ 60A6 : 9A;
+ 60A7 : 00;
+ 60A8 : 92;
+ 60A9 : 00;
+ 60AA : 87;
+ 60AB : 00;
+ 60AC : 81;
+ 60AD : 00;
+ 60AE : 79;
+ 60AF : 00;
+ 60B0 : 71;
+ 60B1 : 00;
+ 60B2 : 6C;
+ 60B3 : 00;
+ 60B4 : 66;
+ 60B5 : 00;
+ 60B6 : 61;
+ 60B7 : 00;
+ 60B8 : 5B;
+ 60B9 : 00;
+ 60BA : 56;
+ 60BB : 00;
+ 60BC : 51;
+ 60BD : 00;
+ 60BE : 4B;
+ 60BF : 00;
+ 60C0 : D0;
+ 60C1 : 47;
+ 60C2 : C7;
+ 60C3 : 43;
+ 60C4 : FA;
+ 60C5 : 3F;
+ 60C6 : 62;
+ 60C7 : 3C;
+ 60C8 : FE;
+ 60C9 : 38;
+ 60CA : CB;
+ 60CB : 35;
+ 60CC : C6;
+ 60CD : 32;
+ 60CE : EE;
+ 60CF : 2F;
+ 60D0 : 3D;
+ 60D1 : 2D;
+ 60D2 : B4;
+ 60D3 : 2A;
+ 60D4 : 4E;
+ 60D5 : 28;
+ 60D6 : 0B;
+ 60D7 : 26;
+ 60D8 : E6;
+ 60D9 : 23;
+ 60DA : E5;
+ 60DB : 21;
+ 60DC : FB;
+ 60DD : 1F;
+ 60DE : 32;
+ 60DF : 1E;
+ 60E0 : 7F;
+ 60E1 : 1C;
+ 60E2 : E7;
+ 60E3 : 1A;
+ 60E4 : 64;
+ 60E5 : 19;
+ 60E6 : F7;
+ 60E7 : 17;
+ 60E8 : 9D;
+ 60E9 : 16;
+ 60EA : 58;
+ 60EB : 15;
+ 60EC : 27;
+ 60ED : 14;
+ 60EE : 05;
+ 60EF : 13;
+ 60F0 : F4;
+ 60F1 : 11;
+ 60F2 : F1;
+ 60F3 : 10;
+ 60F4 : FD;
+ 60F5 : 0F;
+ 60F6 : 18;
+ 60F7 : 0F;
+ 60F8 : 3F;
+ 60F9 : 0E;
+ 60FA : 72;
+ 60FB : 0D;
+ 60FC : B2;
+ 60FD : 0C;
+ 60FE : FA;
+ 60FF : 0B;
+ 6100 : 4F;
+ 6101 : 0B;
+ 6102 : AD;
+ 6103 : 0A;
+ 6104 : 13;
+ 6105 : 0A;
+ 6106 : 81;
+ 6107 : 09;
+ 6108 : FA;
+ 6109 : 08;
+ 610A : 78;
+ 610B : 08;
+ 610C : FE;
+ 610D : 07;
+ 610E : 8D;
+ 610F : 07;
+ 6110 : 21;
+ 6111 : 07;
+ 6112 : BA;
+ 6113 : 06;
+ 6114 : 59;
+ 6115 : 06;
+ 6116 : FD;
+ 6117 : 05;
+ 6118 : A6;
+ 6119 : 05;
+ 611A : 55;
+ 611B : 05;
+ 611C : 09;
+ 611D : 05;
+ 611E : C0;
+ 611F : 04;
+ 6120 : 7D;
+ 6121 : 04;
+ 6122 : 3C;
+ 6123 : 04;
+ 6124 : 00;
+ 6125 : 04;
+ 6126 : C5;
+ 6127 : 03;
+ 6128 : 8F;
+ 6129 : 03;
+ 612A : 5B;
+ 612B : 03;
+ 612C : 2B;
+ 612D : 03;
+ 612E : FF;
+ 612F : 02;
+ 6130 : D4;
+ 6131 : 02;
+ 6132 : AC;
+ 6133 : 02;
+ 6134 : 83;
+ 6135 : 02;
+ 6136 : 60;
+ 6137 : 02;
+ 6138 : 3D;
+ 6139 : 02;
+ 613A : 1F;
+ 613B : 02;
+ 613C : FF;
+ 613D : 01;
+ 613E : E4;
+ 613F : 01;
+ 6140 : C8;
+ 6141 : 01;
+ 6142 : AD;
+ 6143 : 01;
+ 6144 : 95;
+ 6145 : 01;
+ 6146 : 7F;
+ 6147 : 01;
+ 6148 : 6A;
+ 6149 : 01;
+ 614A : 54;
+ 614B : 01;
+ 614C : 41;
+ 614D : 01;
+ 614E : 31;
+ 614F : 01;
+ 6150 : 1E;
+ 6151 : 01;
+ 6152 : 0E;
+ 6153 : 01;
+ 6154 : 00;
+ 6155 : 01;
+ 6156 : F0;
+ 6157 : 00;
+ 6158 : E3;
+ 6159 : 00;
+ 615A : D8;
+ 615B : 00;
+ 615C : CA;
+ 615D : 00;
+ 615E : BF;
+ 615F : 00;
+ 6160 : B5;
+ 6161 : 00;
+ 6162 : AA;
+ 6163 : 00;
+ 6164 : A2;
+ 6165 : 00;
+ 6166 : 97;
+ 6167 : 00;
+ 6168 : 8F;
+ 6169 : 00;
+ 616A : 87;
+ 616B : 00;
+ 616C : 7F;
+ 616D : 00;
+ 616E : 79;
+ 616F : 00;
+ 6170 : 71;
+ 6171 : 00;
+ 6172 : 6C;
+ 6173 : 00;
+ 6174 : 66;
+ 6175 : 00;
+ 6176 : 5E;
+ 6177 : 00;
+ 6178 : 59;
+ 6179 : 00;
+ 617A : 56;
+ 617B : 00;
+ 617C : 51;
+ 617D : 00;
+ 617E : 4B;
+ 617F : 00;
+ 6180 : 4C;
+ 6181 : 47;
+ 6182 : 4B;
+ 6183 : 43;
+ 6184 : 83;
+ 6185 : 3F;
+ 6186 : F3;
+ 6187 : 3B;
+ 6188 : 95;
+ 6189 : 38;
+ 618A : 6A;
+ 618B : 35;
+ 618C : 6A;
+ 618D : 32;
+ 618E : 95;
+ 618F : 2F;
+ 6190 : E9;
+ 6191 : 2C;
+ 6192 : 63;
+ 6193 : 2A;
+ 6194 : 02;
+ 6195 : 28;
+ 6196 : C5;
+ 6197 : 25;
+ 6198 : A6;
+ 6199 : 23;
+ 619A : A4;
+ 619B : 21;
+ 619C : C2;
+ 619D : 1F;
+ 619E : F9;
+ 619F : 1D;
+ 61A0 : 4C;
+ 61A1 : 1C;
+ 61A2 : B3;
+ 61A3 : 1A;
+ 61A4 : 33;
+ 61A5 : 19;
+ 61A6 : C9;
+ 61A7 : 17;
+ 61A8 : 74;
+ 61A9 : 16;
+ 61AA : 32;
+ 61AB : 15;
+ 61AC : 01;
+ 61AD : 14;
+ 61AE : E2;
+ 61AF : 12;
+ 61B0 : D1;
+ 61B1 : 11;
+ 61B2 : D3;
+ 61B3 : 10;
+ 61B4 : E0;
+ 61B5 : 0F;
+ 61B6 : FC;
+ 61B7 : 0E;
+ 61B8 : 24;
+ 61B9 : 0E;
+ 61BA : 59;
+ 61BB : 0D;
+ 61BC : 99;
+ 61BD : 0C;
+ 61BE : E4;
+ 61BF : 0B;
+ 61C0 : 3A;
+ 61C1 : 0B;
+ 61C2 : 98;
+ 61C3 : 0A;
+ 61C4 : 00;
+ 61C5 : 0A;
+ 61C6 : 71;
+ 61C7 : 09;
+ 61C8 : EA;
+ 61C9 : 08;
+ 61CA : 68;
+ 61CB : 08;
+ 61CC : F1;
+ 61CD : 07;
+ 61CE : 7D;
+ 61CF : 07;
+ 61D0 : 13;
+ 61D1 : 07;
+ 61D2 : AC;
+ 61D3 : 06;
+ 61D4 : 4E;
+ 61D5 : 06;
+ 61D6 : F2;
+ 61D7 : 05;
+ 61D8 : 9E;
+ 61D9 : 05;
+ 61DA : 4D;
+ 61DB : 05;
+ 61DC : 01;
+ 61DD : 05;
+ 61DE : B8;
+ 61DF : 04;
+ 61E0 : 75;
+ 61E1 : 04;
+ 61E2 : 34;
+ 61E3 : 04;
+ 61E4 : F8;
+ 61E5 : 03;
+ 61E6 : BF;
+ 61E7 : 03;
+ 61E8 : 89;
+ 61E9 : 03;
+ 61EA : 56;
+ 61EB : 03;
+ 61EC : 25;
+ 61ED : 03;
+ 61EE : FA;
+ 61EF : 02;
+ 61F0 : CF;
+ 61F1 : 02;
+ 61F2 : A6;
+ 61F3 : 02;
+ 61F4 : 80;
+ 61F5 : 02;
+ 61F6 : 5A;
+ 61F7 : 02;
+ 61F8 : 3A;
+ 61F9 : 02;
+ 61FA : 1A;
+ 61FB : 02;
+ 61FC : FC;
+ 61FD : 01;
+ 61FE : DE;
+ 61FF : 01;
+ 6200 : C3;
+ 6201 : 01;
+ 6202 : AB;
+ 6203 : 01;
+ 6204 : 92;
+ 6205 : 01;
+ 6206 : 7D;
+ 6207 : 01;
+ 6208 : 67;
+ 6209 : 01;
+ 620A : 52;
+ 620B : 01;
+ 620C : 3F;
+ 620D : 01;
+ 620E : 2E;
+ 620F : 01;
+ 6210 : 1B;
+ 6211 : 01;
+ 6212 : 0E;
+ 6213 : 01;
+ 6214 : FE;
+ 6215 : 00;
+ 6216 : F0;
+ 6217 : 00;
+ 6218 : E3;
+ 6219 : 00;
+ 621A : D5;
+ 621B : 00;
+ 621C : CA;
+ 621D : 00;
+ 621E : BD;
+ 621F : 00;
+ 6220 : B2;
+ 6221 : 00;
+ 6222 : AA;
+ 6223 : 00;
+ 6224 : 9F;
+ 6225 : 00;
+ 6226 : 97;
+ 6227 : 00;
+ 6228 : 8F;
+ 6229 : 00;
+ 622A : 87;
+ 622B : 00;
+ 622C : 7F;
+ 622D : 00;
+ 622E : 76;
+ 622F : 00;
+ 6230 : 71;
+ 6231 : 00;
+ 6232 : 6C;
+ 6233 : 00;
+ 6234 : 64;
+ 6235 : 00;
+ 6236 : 5E;
+ 6237 : 00;
+ 6238 : 59;
+ 6239 : 00;
+ 623A : 53;
+ 623B : 00;
+ 623C : 51;
+ 623D : 00;
+ 623E : 4B;
+ 623F : 00;
+ 6240 : C7;
+ 6241 : 46;
+ 6242 : CE;
+ 6243 : 42;
+ 6244 : 0E;
+ 6245 : 3F;
+ 6246 : 85;
+ 6247 : 3B;
+ 6248 : 2E;
+ 6249 : 38;
+ 624A : 06;
+ 624B : 35;
+ 624C : 0E;
+ 624D : 32;
+ 624E : 3E;
+ 624F : 2F;
+ 6250 : 98;
+ 6251 : 2C;
+ 6252 : 17;
+ 6253 : 2A;
+ 6254 : B9;
+ 6255 : 27;
+ 6256 : 7F;
+ 6257 : 25;
+ 6258 : 65;
+ 6259 : 23;
+ 625A : 68;
+ 625B : 21;
+ 625C : 87;
+ 625D : 1F;
+ 625E : C3;
+ 625F : 1D;
+ 6260 : 15;
+ 6261 : 1C;
+ 6262 : 83;
+ 6263 : 1A;
+ 6264 : 05;
+ 6265 : 19;
+ 6266 : 9E;
+ 6267 : 17;
+ 6268 : 4C;
+ 6269 : 16;
+ 626A : 0A;
+ 626B : 15;
+ 626C : DB;
+ 626D : 13;
+ 626E : BF;
+ 626F : 12;
+ 6270 : B1;
+ 6271 : 11;
+ 6272 : B3;
+ 6273 : 10;
+ 6274 : C5;
+ 6275 : 0F;
+ 6276 : E1;
+ 6277 : 0E;
+ 6278 : 0C;
+ 6279 : 0E;
+ 627A : 41;
+ 627B : 0D;
+ 627C : 84;
+ 627D : 0C;
+ 627E : CF;
+ 627F : 0B;
+ 6280 : 24;
+ 6281 : 0B;
+ 6282 : 85;
+ 6283 : 0A;
+ 6284 : ED;
+ 6285 : 09;
+ 6286 : 5E;
+ 6287 : 09;
+ 6288 : D9;
+ 6289 : 08;
+ 628A : 5A;
+ 628B : 08;
+ 628C : E1;
+ 628D : 07;
+ 628E : 6F;
+ 628F : 07;
+ 6290 : 06;
+ 6291 : 07;
+ 6292 : A2;
+ 6293 : 06;
+ 6294 : 40;
+ 6295 : 06;
+ 6296 : E7;
+ 6297 : 05;
+ 6298 : 93;
+ 6299 : 05;
+ 629A : 42;
+ 629B : 05;
+ 629C : F6;
+ 629D : 04;
+ 629E : B0;
+ 629F : 04;
+ 62A0 : 6C;
+ 62A1 : 04;
+ 62A2 : 2C;
+ 62A3 : 04;
+ 62A4 : F0;
+ 62A5 : 03;
+ 62A6 : B7;
+ 62A7 : 03;
+ 62A8 : 81;
+ 62A9 : 03;
+ 62AA : 51;
+ 62AB : 03;
+ 62AC : 20;
+ 62AD : 03;
+ 62AE : F5;
+ 62AF : 02;
+ 62B0 : C9;
+ 62B1 : 02;
+ 62B2 : A1;
+ 62B3 : 02;
+ 62B4 : 7B;
+ 62B5 : 02;
+ 62B6 : 58;
+ 62B7 : 02;
+ 62B8 : 35;
+ 62B9 : 02;
+ 62BA : 17;
+ 62BB : 02;
+ 62BC : F9;
+ 62BD : 01;
+ 62BE : DB;
+ 62BF : 01;
+ 62C0 : C0;
+ 62C1 : 01;
+ 62C2 : A8;
+ 62C3 : 01;
+ 62C4 : 90;
+ 62C5 : 01;
+ 62C6 : 7A;
+ 62C7 : 01;
+ 62C8 : 64;
+ 62C9 : 01;
+ 62CA : 52;
+ 62CB : 01;
+ 62CC : 3F;
+ 62CD : 01;
+ 62CE : 2C;
+ 62CF : 01;
+ 62D0 : 1B;
+ 62D1 : 01;
+ 62D2 : 0B;
+ 62D3 : 01;
+ 62D4 : FB;
+ 62D5 : 00;
+ 62D6 : ED;
+ 62D7 : 00;
+ 62D8 : E0;
+ 62D9 : 00;
+ 62DA : D2;
+ 62DB : 00;
+ 62DC : C8;
+ 62DD : 00;
+ 62DE : BD;
+ 62DF : 00;
+ 62E0 : B2;
+ 62E1 : 00;
+ 62E2 : A7;
+ 62E3 : 00;
+ 62E4 : 9F;
+ 62E5 : 00;
+ 62E6 : 94;
+ 62E7 : 00;
+ 62E8 : 8C;
+ 62E9 : 00;
+ 62EA : 84;
+ 62EB : 00;
+ 62EC : 7F;
+ 62ED : 00;
+ 62EE : 76;
+ 62EF : 00;
+ 62F0 : 71;
+ 62F1 : 00;
+ 62F2 : 69;
+ 62F3 : 00;
+ 62F4 : 64;
+ 62F5 : 00;
+ 62F6 : 5E;
+ 62F7 : 00;
+ 62F8 : 59;
+ 62F9 : 00;
+ 62FA : 53;
+ 62FB : 00;
+ 62FC : 4E;
+ 62FD : 00;
+ 62FE : 4B;
+ 62FF : 00;
+ 6300 : 45;
+ 6301 : 46;
+ 6302 : 55;
+ 6303 : 42;
+ 6304 : 9A;
+ 6305 : 3E;
+ 6306 : 18;
+ 6307 : 3B;
+ 6308 : C7;
+ 6309 : 37;
+ 630A : A4;
+ 630B : 34;
+ 630C : AF;
+ 630D : 31;
+ 630E : E5;
+ 630F : 2E;
+ 6310 : 44;
+ 6311 : 2C;
+ 6312 : C9;
+ 6313 : 29;
+ 6314 : 70;
+ 6315 : 27;
+ 6316 : 38;
+ 6317 : 25;
+ 6318 : 24;
+ 6319 : 23;
+ 631A : 2A;
+ 631B : 21;
+ 631C : 4E;
+ 631D : 1F;
+ 631E : 8B;
+ 631F : 1D;
+ 6320 : E2;
+ 6321 : 1B;
+ 6322 : 52;
+ 6323 : 1A;
+ 6324 : D7;
+ 6325 : 18;
+ 6326 : 72;
+ 6327 : 17;
+ 6328 : 23;
+ 6329 : 16;
+ 632A : E4;
+ 632B : 14;
+ 632C : B8;
+ 632D : 13;
+ 632E : 9C;
+ 632F : 12;
+ 6330 : 90;
+ 6331 : 11;
+ 6332 : 95;
+ 6333 : 10;
+ 6334 : A7;
+ 6335 : 0F;
+ 6336 : C6;
+ 6337 : 0E;
+ 6338 : F1;
+ 6339 : 0D;
+ 633A : 29;
+ 633B : 0D;
+ 633C : 6B;
+ 633D : 0C;
+ 633E : B9;
+ 633F : 0B;
+ 6340 : 11;
+ 6341 : 0B;
+ 6342 : 72;
+ 6343 : 0A;
+ 6344 : DA;
+ 6345 : 09;
+ 6346 : 4E;
+ 6347 : 09;
+ 6348 : C9;
+ 6349 : 08;
+ 634A : 4A;
+ 634B : 08;
+ 634C : D3;
+ 634D : 07;
+ 634E : 62;
+ 634F : 07;
+ 6350 : F8;
+ 6351 : 06;
+ 6352 : 94;
+ 6353 : 06;
+ 6354 : 35;
+ 6355 : 06;
+ 6356 : DC;
+ 6357 : 05;
+ 6358 : 88;
+ 6359 : 05;
+ 635A : 37;
+ 635B : 05;
+ 635C : EE;
+ 635D : 04;
+ 635E : A8;
+ 635F : 04;
+ 6360 : 64;
+ 6361 : 04;
+ 6362 : 23;
+ 6363 : 04;
+ 6364 : E8;
+ 6365 : 03;
+ 6366 : B2;
+ 6367 : 03;
+ 6368 : 7C;
+ 6369 : 03;
+ 636A : 4B;
+ 636B : 03;
+ 636C : 1A;
+ 636D : 03;
+ 636E : EF;
+ 636F : 02;
+ 6370 : C4;
+ 6371 : 02;
+ 6372 : 9B;
+ 6373 : 02;
+ 6374 : 76;
+ 6375 : 02;
+ 6376 : 52;
+ 6377 : 02;
+ 6378 : 32;
+ 6379 : 02;
+ 637A : 11;
+ 637B : 02;
+ 637C : F4;
+ 637D : 01;
+ 637E : D9;
+ 637F : 01;
+ 6380 : BE;
+ 6381 : 01;
+ 6382 : A5;
+ 6383 : 01;
+ 6384 : 8D;
+ 6385 : 01;
+ 6386 : 77;
+ 6387 : 01;
+ 6388 : 62;
+ 6389 : 01;
+ 638A : 4F;
+ 638B : 01;
+ 638C : 3C;
+ 638D : 01;
+ 638E : 29;
+ 638F : 01;
+ 6390 : 19;
+ 6391 : 01;
+ 6392 : 08;
+ 6393 : 01;
+ 6394 : FB;
+ 6395 : 00;
+ 6396 : EB;
+ 6397 : 00;
+ 6398 : E0;
+ 6399 : 00;
+ 639A : D2;
+ 639B : 00;
+ 639C : C8;
+ 639D : 00;
+ 639E : BA;
+ 639F : 00;
+ 63A0 : AF;
+ 63A1 : 00;
+ 63A2 : A7;
+ 63A3 : 00;
+ 63A4 : 9C;
+ 63A5 : 00;
+ 63A6 : 94;
+ 63A7 : 00;
+ 63A8 : 8C;
+ 63A9 : 00;
+ 63AA : 84;
+ 63AB : 00;
+ 63AC : 7C;
+ 63AD : 00;
+ 63AE : 76;
+ 63AF : 00;
+ 63B0 : 6E;
+ 63B1 : 00;
+ 63B2 : 69;
+ 63B3 : 00;
+ 63B4 : 64;
+ 63B5 : 00;
+ 63B6 : 5E;
+ 63B7 : 00;
+ 63B8 : 59;
+ 63B9 : 00;
+ 63BA : 53;
+ 63BB : 00;
+ 63BC : 4E;
+ 63BD : 00;
+ 63BE : 4B;
+ 63BF : 00;
+ 63C0 : C3;
+ 63C1 : 45;
+ 63C2 : D8;
+ 63C3 : 41;
+ 63C4 : 29;
+ 63C5 : 3E;
+ 63C6 : AA;
+ 63C7 : 3A;
+ 63C8 : 61;
+ 63C9 : 37;
+ 63CA : 43;
+ 63CB : 34;
+ 63CC : 56;
+ 63CD : 31;
+ 63CE : 8F;
+ 63CF : 2E;
+ 63D0 : F3;
+ 63D1 : 2B;
+ 63D2 : 7A;
+ 63D3 : 29;
+ [63D4..63D5] : 27;
+ 63D6 : F5;
+ 63D7 : 24;
+ 63D8 : E3;
+ 63D9 : 22;
+ 63DA : EC;
+ 63DB : 20;
+ 63DC : 13;
+ 63DD : 1F;
+ 63DE : 55;
+ 63DF : 1D;
+ 63E0 : AF;
+ 63E1 : 1B;
+ 63E2 : 21;
+ 63E3 : 1A;
+ 63E4 : A9;
+ 63E5 : 18;
+ 63E6 : 47;
+ 63E7 : 17;
+ 63E8 : FB;
+ 63E9 : 15;
+ 63EA : BE;
+ 63EB : 14;
+ 63EC : 95;
+ 63ED : 13;
+ 63EE : 79;
+ 63EF : 12;
+ 63F0 : 70;
+ 63F1 : 11;
+ 63F2 : 77;
+ 63F3 : 10;
+ 63F4 : 89;
+ 63F5 : 0F;
+ 63F6 : AB;
+ 63F7 : 0E;
+ 63F8 : D8;
+ 63F9 : 0D;
+ 63FA : 10;
+ 63FB : 0D;
+ 63FC : 56;
+ 63FD : 0C;
+ 63FE : A3;
+ 63FF : 0B;
+ 6400 : FC;
+ 6401 : 0A;
+ 6402 : 5F;
+ 6403 : 0A;
+ 6404 : CA;
+ 6405 : 09;
+ 6406 : 3E;
+ 6407 : 09;
+ 6408 : B9;
+ 6409 : 08;
+ 640A : 3A;
+ 640B : 08;
+ 640C : C6;
+ 640D : 07;
+ 640E : 54;
+ 640F : 07;
+ 6410 : EB;
+ 6411 : 06;
+ 6412 : 89;
+ 6413 : 06;
+ 6414 : 2B;
+ 6415 : 06;
+ 6416 : D1;
+ 6417 : 05;
+ 6418 : 7E;
+ 6419 : 05;
+ 641A : 2F;
+ 641B : 05;
+ 641C : E3;
+ 641D : 04;
+ 641E : 9D;
+ 641F : 04;
+ 6420 : 5C;
+ 6421 : 04;
+ 6422 : 1E;
+ 6423 : 04;
+ 6424 : E3;
+ 6425 : 03;
+ 6426 : AA;
+ 6427 : 03;
+ 6428 : 76;
+ 6429 : 03;
+ 642A : 43;
+ 642B : 03;
+ 642C : 15;
+ 642D : 03;
+ 642E : EA;
+ 642F : 02;
+ 6430 : BF;
+ 6431 : 02;
+ 6432 : 96;
+ 6433 : 02;
+ 6434 : 73;
+ 6435 : 02;
+ 6436 : 50;
+ 6437 : 02;
+ 6438 : 2D;
+ 6439 : 02;
+ 643A : 0F;
+ 643B : 02;
+ 643C : F1;
+ 643D : 01;
+ 643E : D6;
+ 643F : 01;
+ 6440 : BB;
+ 6441 : 01;
+ 6442 : A3;
+ 6443 : 01;
+ 6444 : 8A;
+ 6445 : 01;
+ 6446 : 75;
+ 6447 : 01;
+ 6448 : 5F;
+ 6449 : 01;
+ 644A : 4C;
+ 644B : 01;
+ 644C : 39;
+ 644D : 01;
+ 644E : 26;
+ 644F : 01;
+ 6450 : 16;
+ 6451 : 01;
+ 6452 : 06;
+ 6453 : 01;
+ 6454 : F8;
+ 6455 : 00;
+ 6456 : EB;
+ 6457 : 00;
+ 6458 : DD;
+ 6459 : 00;
+ 645A : D0;
+ 645B : 00;
+ 645C : C5;
+ 645D : 00;
+ 645E : BA;
+ 645F : 00;
+ 6460 : AF;
+ 6461 : 00;
+ 6462 : A4;
+ 6463 : 00;
+ 6464 : 9C;
+ 6465 : 00;
+ 6466 : 94;
+ 6467 : 00;
+ 6468 : 8C;
+ 6469 : 00;
+ 646A : 84;
+ 646B : 00;
+ 646C : 7C;
+ 646D : 00;
+ 646E : 74;
+ 646F : 00;
+ 6470 : 6E;
+ 6471 : 00;
+ 6472 : 69;
+ 6473 : 00;
+ 6474 : 61;
+ 6475 : 00;
+ 6476 : 5B;
+ 6477 : 00;
+ 6478 : 59;
+ 6479 : 00;
+ 647A : 53;
+ 647B : 00;
+ 647C : 4E;
+ 647D : 00;
+ 647E : 49;
+ 647F : 00;
+ 6480 : 44;
+ 6481 : 45;
+ 6482 : 61;
+ 6483 : 41;
+ 6484 : B4;
+ [6485..6486] : 3D;
+ 6487 : 3A;
+ 6488 : FA;
+ 6489 : 36;
+ 648A : E4;
+ 648B : 33;
+ 648C : FA;
+ 648D : 30;
+ 648E : 3B;
+ 648F : 2E;
+ 6490 : A2;
+ 6491 : 2B;
+ 6492 : 2E;
+ 6493 : 29;
+ 6494 : DE;
+ 6495 : 26;
+ 6496 : B1;
+ 6497 : 24;
+ 6498 : A2;
+ 6499 : 22;
+ 649A : B0;
+ 649B : 20;
+ 649C : DA;
+ [649D..649E] : 1E;
+ 649F : 1D;
+ 64A0 : 7B;
+ 64A1 : 1B;
+ 64A2 : F1;
+ 64A3 : 19;
+ 64A4 : 7B;
+ 64A5 : 18;
+ 64A6 : 1C;
+ 64A7 : 17;
+ 64A8 : D2;
+ 64A9 : 15;
+ 64AA : 98;
+ 64AB : 14;
+ 64AC : 6F;
+ 64AD : 13;
+ 64AE : 58;
+ 64AF : 12;
+ 64B0 : 4F;
+ 64B1 : 11;
+ 64B2 : 57;
+ 64B3 : 10;
+ 64B4 : 6E;
+ 64B5 : 0F;
+ 64B6 : 90;
+ 64B7 : 0E;
+ 64B8 : BD;
+ 64B9 : 0D;
+ 64BA : F8;
+ 64BB : 0C;
+ 64BC : 3D;
+ 64BD : 0C;
+ 64BE : 8E;
+ 64BF : 0B;
+ 64C0 : E9;
+ 64C1 : 0A;
+ 64C2 : 4C;
+ 64C3 : 0A;
+ 64C4 : B7;
+ 64C5 : 09;
+ 64C6 : 2B;
+ 64C7 : 09;
+ 64C8 : A9;
+ 64C9 : 08;
+ 64CA : 2C;
+ 64CB : 08;
+ 64CC : B5;
+ 64CD : 07;
+ 64CE : 47;
+ 64CF : 07;
+ 64D0 : E0;
+ 64D1 : 06;
+ 64D2 : 7C;
+ 64D3 : 06;
+ 64D4 : 20;
+ 64D5 : 06;
+ 64D6 : C7;
+ 64D7 : 05;
+ 64D8 : 73;
+ 64D9 : 05;
+ 64DA : 24;
+ 64DB : 05;
+ 64DC : DB;
+ 64DD : 04;
+ 64DE : 95;
+ 64DF : 04;
+ 64E0 : 54;
+ 64E1 : 04;
+ 64E2 : 16;
+ 64E3 : 04;
+ 64E4 : DA;
+ 64E5 : 03;
+ 64E6 : A4;
+ 64E7 : 03;
+ 64E8 : 6E;
+ 64E9 : 03;
+ 64EA : 3E;
+ 64EB : 03;
+ 64EC : 10;
+ 64ED : 03;
+ 64EE : E4;
+ 64EF : 02;
+ 64F0 : B9;
+ 64F1 : 02;
+ 64F2 : 93;
+ 64F3 : 02;
+ 64F4 : 6D;
+ 64F5 : 02;
+ 64F6 : 4A;
+ 64F7 : 02;
+ 64F8 : 2A;
+ 64F9 : 02;
+ 64FA : 09;
+ 64FB : 02;
+ 64FC : EE;
+ 64FD : 01;
+ 64FE : D1;
+ 64FF : 01;
+ 6500 : B8;
+ 6501 : 01;
+ 6502 : A0;
+ 6503 : 01;
+ 6504 : 88;
+ 6505 : 01;
+ 6506 : 72;
+ 6507 : 01;
+ 6508 : 5C;
+ 6509 : 01;
+ 650A : 49;
+ 650B : 01;
+ 650C : 36;
+ 650D : 01;
+ 650E : 26;
+ 650F : 01;
+ 6510 : 13;
+ 6511 : 01;
+ 6512 : 06;
+ 6513 : 01;
+ 6514 : F6;
+ 6515 : 00;
+ 6516 : E8;
+ 6517 : 00;
+ 6518 : DB;
+ 6519 : 00;
+ 651A : D0;
+ 651B : 00;
+ 651C : C2;
+ 651D : 00;
+ 651E : B7;
+ 651F : 00;
+ 6520 : AF;
+ 6521 : 00;
+ 6522 : A4;
+ 6523 : 00;
+ 6524 : 9C;
+ 6525 : 00;
+ 6526 : 92;
+ 6527 : 00;
+ 6528 : 89;
+ 6529 : 00;
+ 652A : 81;
+ 652B : 00;
+ 652C : 7C;
+ 652D : 00;
+ 652E : 74;
+ 652F : 00;
+ 6530 : 6E;
+ 6531 : 00;
+ 6532 : 66;
+ 6533 : 00;
+ 6534 : 61;
+ 6535 : 00;
+ 6536 : 5B;
+ 6537 : 00;
+ 6538 : 56;
+ 6539 : 00;
+ 653A : 51;
+ 653B : 00;
+ 653C : 4E;
+ 653D : 00;
+ 653E : 49;
+ 653F : 00;
+ 6540 : C3;
+ 6541 : 44;
+ 6542 : E8;
+ 6543 : 40;
+ 6544 : 43;
+ 6545 : 3D;
+ 6546 : D4;
+ 6547 : 39;
+ 6548 : 93;
+ 6549 : 36;
+ 654A : 83;
+ 654B : 33;
+ 654C : A1;
+ 654D : 30;
+ 654E : E4;
+ 654F : 2D;
+ 6550 : 51;
+ 6551 : 2B;
+ 6552 : E3;
+ 6553 : 28;
+ 6554 : 98;
+ 6555 : 26;
+ 6556 : 6E;
+ 6557 : 24;
+ 6558 : 61;
+ 6559 : 22;
+ 655A : 75;
+ 655B : 20;
+ 655C : A1;
+ 655D : 1E;
+ 655E : E8;
+ 655F : 1C;
+ 6560 : 4B;
+ 6561 : 1B;
+ 6562 : C3;
+ 6563 : 19;
+ 6564 : 50;
+ 6565 : 18;
+ 6566 : F3;
+ 6567 : 16;
+ 6568 : A9;
+ 6569 : 15;
+ 656A : 72;
+ 656B : 14;
+ 656C : 4C;
+ 656D : 13;
+ 656E : 35;
+ 656F : 12;
+ 6570 : 32;
+ 6571 : 11;
+ 6572 : 39;
+ 6573 : 10;
+ 6574 : 50;
+ 6575 : 0F;
+ 6576 : 75;
+ 6577 : 0E;
+ 6578 : A5;
+ 6579 : 0D;
+ 657A : E0;
+ 657B : 0C;
+ 657C : 28;
+ 657D : 0C;
+ 657E : 78;
+ 657F : 0B;
+ 6580 : D3;
+ 6581 : 0A;
+ 6582 : 39;
+ 6583 : 0A;
+ 6584 : A4;
+ 6585 : 09;
+ 6586 : 1A;
+ 6587 : 09;
+ 6588 : 99;
+ 6589 : 08;
+ 658A : 1C;
+ 658B : 08;
+ 658C : A8;
+ 658D : 07;
+ 658E : 39;
+ 658F : 07;
+ 6590 : D2;
+ 6591 : 06;
+ 6592 : 71;
+ 6593 : 06;
+ 6594 : 12;
+ 6595 : 06;
+ 6596 : BC;
+ 6597 : 05;
+ 6598 : 6B;
+ 6599 : 05;
+ 659A : 1C;
+ 659B : 05;
+ 659C : D3;
+ 659D : 04;
+ 659E : 8D;
+ 659F : 04;
+ 65A0 : 4C;
+ 65A1 : 04;
+ 65A2 : 0E;
+ 65A3 : 04;
+ 65A4 : D5;
+ 65A5 : 03;
+ 65A6 : 9C;
+ 65A7 : 03;
+ 65A8 : 69;
+ 65A9 : 03;
+ 65AA : 38;
+ 65AB : 03;
+ 65AC : 0A;
+ 65AD : 03;
+ 65AE : DF;
+ 65AF : 02;
+ 65B0 : B4;
+ 65B1 : 02;
+ 65B2 : 8E;
+ 65B3 : 02;
+ 65B4 : 68;
+ 65B5 : 02;
+ 65B6 : 48;
+ 65B7 : 02;
+ 65B8 : 24;
+ 65B9 : 02;
+ 65BA : 07;
+ 65BB : 02;
+ 65BC : E9;
+ 65BD : 01;
+ 65BE : CE;
+ 65BF : 01;
+ 65C0 : B3;
+ 65C1 : 01;
+ 65C2 : 9B;
+ 65C3 : 01;
+ 65C4 : 85;
+ 65C5 : 01;
+ 65C6 : 6F;
+ 65C7 : 01;
+ 65C8 : 5A;
+ 65C9 : 01;
+ 65CA : 47;
+ 65CB : 01;
+ 65CC : 34;
+ 65CD : 01;
+ 65CE : 24;
+ 65CF : 01;
+ 65D0 : 13;
+ 65D1 : 01;
+ 65D2 : 03;
+ 65D3 : 01;
+ 65D4 : F6;
+ 65D5 : 00;
+ 65D6 : E8;
+ 65D7 : 00;
+ 65D8 : DB;
+ 65D9 : 00;
+ 65DA : CD;
+ 65DB : 00;
+ 65DC : C2;
+ 65DD : 00;
+ 65DE : B7;
+ 65DF : 00;
+ 65E0 : AD;
+ 65E1 : 00;
+ 65E2 : A2;
+ 65E3 : 00;
+ 65E4 : 9A;
+ 65E5 : 00;
+ 65E6 : 92;
+ 65E7 : 00;
+ 65E8 : 89;
+ 65E9 : 00;
+ 65EA : 81;
+ 65EB : 00;
+ 65EC : 79;
+ 65ED : 00;
+ 65EE : 74;
+ 65EF : 00;
+ 65F0 : 6C;
+ 65F1 : 00;
+ 65F2 : 66;
+ 65F3 : 00;
+ 65F4 : 61;
+ 65F5 : 00;
+ 65F6 : 5B;
+ 65F7 : 00;
+ 65F8 : 56;
+ 65F9 : 00;
+ 65FA : 51;
+ 65FB : 00;
+ 65FC : 4E;
+ 65FD : 00;
+ 65FE : 49;
+ 65FF : 00;
+ 6600 : A1;
+ 6601 : 4C;
+ 6602 : 54;
+ 6603 : 48;
+ 6604 : 46;
+ 6605 : 44;
+ 6606 : 71;
+ 6607 : 40;
+ 6608 : D1;
+ 6609 : 3C;
+ 660A : 68;
+ 660B : 39;
+ 660C : 2F;
+ 660D : 36;
+ 660E : 24;
+ 660F : 33;
+ 6610 : 45;
+ 6611 : 30;
+ 6612 : 91;
+ 6613 : 2D;
+ 6614 : 02;
+ 6615 : 2B;
+ 6616 : 97;
+ 6617 : 28;
+ 6618 : 52;
+ 6619 : 26;
+ 661A : 2A;
+ 661B : 24;
+ 661C : 23;
+ 661D : 22;
+ 661E : 37;
+ 661F : 20;
+ 6620 : 68;
+ 6621 : 1E;
+ 6622 : B5;
+ 6623 : 1C;
+ 6624 : 17;
+ 6625 : 1B;
+ 6626 : 92;
+ 6627 : 19;
+ 6628 : 22;
+ 6629 : 18;
+ 662A : C8;
+ 662B : 16;
+ 662C : 81;
+ 662D : 15;
+ 662E : 4D;
+ 662F : 14;
+ 6630 : 29;
+ 6631 : 13;
+ 6632 : 15;
+ 6633 : 12;
+ [6634..6635] : 11;
+ 6636 : 1B;
+ 6637 : 10;
+ 6638 : 35;
+ 6639 : 0F;
+ 663A : 5A;
+ 663B : 0E;
+ 663C : 8D;
+ 663D : 0D;
+ 663E : CA;
+ 663F : 0C;
+ 6640 : 12;
+ 6641 : 0C;
+ 6642 : 62;
+ 6643 : 0B;
+ 6644 : C0;
+ 6645 : 0A;
+ 6646 : 26;
+ 6647 : 0A;
+ 6648 : 94;
+ 6649 : 09;
+ 664A : 0A;
+ 664B : 09;
+ 664C : 88;
+ 664D : 08;
+ 664E : 0F;
+ 664F : 08;
+ 6650 : 9A;
+ 6651 : 07;
+ 6652 : 2C;
+ 6653 : 07;
+ 6654 : C5;
+ 6655 : 06;
+ 6656 : 63;
+ 6657 : 06;
+ 6658 : 07;
+ 6659 : 06;
+ 665A : B1;
+ 665B : 05;
+ 665C : 60;
+ 665D : 05;
+ 665E : 11;
+ 665F : 05;
+ 6660 : C8;
+ 6661 : 04;
+ 6662 : 85;
+ 6663 : 04;
+ 6664 : 44;
+ 6665 : 04;
+ 6666 : 06;
+ 6667 : 04;
+ 6668 : CD;
+ 6669 : 03;
+ 666A : 97;
+ 666B : 03;
+ 666C : 63;
+ 666D : 03;
+ 666E : 33;
+ 666F : 03;
+ 6670 : 05;
+ 6671 : 03;
+ 6672 : DA;
+ 6673 : 02;
+ 6674 : AE;
+ 6675 : 02;
+ 6676 : 88;
+ 6677 : 02;
+ 6678 : 65;
+ 6679 : 02;
+ 667A : 42;
+ 667B : 02;
+ 667C : 22;
+ 667D : 02;
+ 667E : 04;
+ 667F : 02;
+ 6680 : E6;
+ 6681 : 01;
+ 6682 : CB;
+ 6683 : 01;
+ 6684 : B0;
+ 6685 : 01;
+ 6686 : 98;
+ 6687 : 01;
+ 6688 : 82;
+ 6689 : 01;
+ 668A : 6D;
+ 668B : 01;
+ 668C : 57;
+ 668D : 01;
+ 668E : 44;
+ 668F : 01;
+ 6690 : 31;
+ 6691 : 01;
+ 6692 : 21;
+ 6693 : 01;
+ 6694 : 11;
+ 6695 : 01;
+ 6696 : 00;
+ 6697 : 01;
+ 6698 : F3;
+ 6699 : 00;
+ 669A : E5;
+ 669B : 00;
+ 669C : D8;
+ 669D : 00;
+ 669E : CD;
+ 669F : 00;
+ 66A0 : BF;
+ 66A1 : 00;
+ 66A2 : B5;
+ 66A3 : 00;
+ 66A4 : AD;
+ 66A5 : 00;
+ 66A6 : A2;
+ 66A7 : 00;
+ 66A8 : 9A;
+ 66A9 : 00;
+ 66AA : 92;
+ 66AB : 00;
+ 66AC : 87;
+ 66AD : 00;
+ 66AE : 81;
+ 66AF : 00;
+ 66B0 : 79;
+ 66B1 : 00;
+ 66B2 : 71;
+ 66B3 : 00;
+ 66B4 : 6C;
+ 66B5 : 00;
+ 66B6 : 66;
+ 66B7 : 00;
+ 66B8 : 61;
+ 66B9 : 00;
+ 66BA : 5B;
+ 66BB : 00;
+ 66BC : 56;
+ 66BD : 00;
+ 66BE : 51;
+ 66BF : 00;
+ 66C0 : 14;
+ 66C1 : 4C;
+ 66C2 : D0;
+ 66C3 : 47;
+ 66C4 : C7;
+ 66C5 : 43;
+ 66C6 : FA;
+ 66C7 : 3F;
+ 66C8 : 62;
+ 66C9 : 3C;
+ 66CA : FE;
+ 66CB : 38;
+ 66CC : CB;
+ 66CD : 35;
+ 66CE : C6;
+ 66CF : 32;
+ 66D0 : EE;
+ 66D1 : 2F;
+ 66D2 : 3D;
+ 66D3 : 2D;
+ 66D4 : B4;
+ 66D5 : 2A;
+ 66D6 : 4E;
+ 66D7 : 28;
+ 66D8 : 0B;
+ 66D9 : 26;
+ 66DA : E6;
+ 66DB : 23;
+ 66DC : E5;
+ 66DD : 21;
+ 66DE : FB;
+ 66DF : 1F;
+ 66E0 : 32;
+ 66E1 : 1E;
+ 66E2 : 7F;
+ 66E3 : 1C;
+ 66E4 : E7;
+ 66E5 : 1A;
+ 66E6 : 64;
+ 66E7 : 19;
+ 66E8 : F7;
+ 66E9 : 17;
+ 66EA : 9D;
+ 66EB : 16;
+ 66EC : 58;
+ 66ED : 15;
+ 66EE : 27;
+ 66EF : 14;
+ 66F0 : 05;
+ 66F1 : 13;
+ 66F2 : F4;
+ 66F3 : 11;
+ 66F4 : F1;
+ 66F5 : 10;
+ 66F6 : FD;
+ 66F7 : 0F;
+ 66F8 : 18;
+ 66F9 : 0F;
+ 66FA : 3F;
+ 66FB : 0E;
+ 66FC : 72;
+ 66FD : 0D;
+ 66FE : B2;
+ 66FF : 0C;
+ 6700 : FA;
+ 6701 : 0B;
+ 6702 : 4F;
+ 6703 : 0B;
+ 6704 : AD;
+ 6705 : 0A;
+ 6706 : 13;
+ 6707 : 0A;
+ 6708 : 81;
+ 6709 : 09;
+ 670A : FA;
+ 670B : 08;
+ 670C : 78;
+ 670D : 08;
+ 670E : FE;
+ 670F : 07;
+ 6710 : 8D;
+ 6711 : 07;
+ 6712 : 21;
+ 6713 : 07;
+ 6714 : BA;
+ 6715 : 06;
+ 6716 : 59;
+ 6717 : 06;
+ 6718 : FD;
+ 6719 : 05;
+ 671A : A6;
+ 671B : 05;
+ 671C : 55;
+ 671D : 05;
+ 671E : 09;
+ 671F : 05;
+ 6720 : C0;
+ 6721 : 04;
+ 6722 : 7D;
+ 6723 : 04;
+ 6724 : 3C;
+ 6725 : 04;
+ 6726 : 00;
+ 6727 : 04;
+ 6728 : C5;
+ 6729 : 03;
+ 672A : 8F;
+ 672B : 03;
+ 672C : 5B;
+ 672D : 03;
+ 672E : 2B;
+ 672F : 03;
+ 6730 : FF;
+ 6731 : 02;
+ 6732 : D4;
+ 6733 : 02;
+ 6734 : AC;
+ 6735 : 02;
+ 6736 : 83;
+ 6737 : 02;
+ 6738 : 60;
+ 6739 : 02;
+ 673A : 3D;
+ 673B : 02;
+ 673C : 1F;
+ 673D : 02;
+ 673E : FF;
+ 673F : 01;
+ 6740 : E4;
+ 6741 : 01;
+ 6742 : C8;
+ 6743 : 01;
+ 6744 : AD;
+ 6745 : 01;
+ 6746 : 95;
+ 6747 : 01;
+ 6748 : 7F;
+ 6749 : 01;
+ 674A : 6A;
+ 674B : 01;
+ 674C : 54;
+ 674D : 01;
+ 674E : 41;
+ 674F : 01;
+ 6750 : 31;
+ 6751 : 01;
+ 6752 : 1E;
+ 6753 : 01;
+ 6754 : 0E;
+ 6755 : 01;
+ 6756 : 00;
+ 6757 : 01;
+ 6758 : F0;
+ 6759 : 00;
+ 675A : E3;
+ 675B : 00;
+ 675C : D8;
+ 675D : 00;
+ 675E : CA;
+ 675F : 00;
+ 6760 : BF;
+ 6761 : 00;
+ 6762 : B5;
+ 6763 : 00;
+ 6764 : AA;
+ 6765 : 00;
+ 6766 : A2;
+ 6767 : 00;
+ 6768 : 97;
+ 6769 : 00;
+ 676A : 8F;
+ 676B : 00;
+ 676C : 87;
+ 676D : 00;
+ 676E : 7F;
+ 676F : 00;
+ 6770 : 79;
+ 6771 : 00;
+ 6772 : 71;
+ 6773 : 00;
+ 6774 : 6C;
+ 6775 : 00;
+ 6776 : 66;
+ 6777 : 00;
+ 6778 : 5E;
+ 6779 : 00;
+ 677A : 59;
+ 677B : 00;
+ 677C : 56;
+ 677D : 00;
+ 677E : 51;
+ 677F : 00;
+ 6780 : 88;
+ 6781 : 4B;
+ 6782 : 4C;
+ 6783 : 47;
+ 6784 : 4B;
+ 6785 : 43;
+ 6786 : 83;
+ 6787 : 3F;
+ 6788 : F3;
+ 6789 : 3B;
+ 678A : 95;
+ 678B : 38;
+ 678C : 6A;
+ 678D : 35;
+ 678E : 6A;
+ 678F : 32;
+ 6790 : 95;
+ 6791 : 2F;
+ 6792 : E9;
+ 6793 : 2C;
+ 6794 : 63;
+ 6795 : 2A;
+ 6796 : 02;
+ 6797 : 28;
+ 6798 : C5;
+ 6799 : 25;
+ 679A : A6;
+ 679B : 23;
+ 679C : A4;
+ 679D : 21;
+ 679E : C2;
+ 679F : 1F;
+ 67A0 : F9;
+ 67A1 : 1D;
+ 67A2 : 4C;
+ 67A3 : 1C;
+ 67A4 : B3;
+ 67A5 : 1A;
+ 67A6 : 33;
+ 67A7 : 19;
+ 67A8 : C9;
+ 67A9 : 17;
+ 67AA : 74;
+ 67AB : 16;
+ 67AC : 32;
+ 67AD : 15;
+ 67AE : 01;
+ 67AF : 14;
+ 67B0 : E2;
+ 67B1 : 12;
+ 67B2 : D1;
+ 67B3 : 11;
+ 67B4 : D3;
+ 67B5 : 10;
+ 67B6 : E0;
+ 67B7 : 0F;
+ 67B8 : FC;
+ 67B9 : 0E;
+ 67BA : 24;
+ 67BB : 0E;
+ 67BC : 59;
+ 67BD : 0D;
+ 67BE : 99;
+ 67BF : 0C;
+ 67C0 : E4;
+ 67C1 : 0B;
+ 67C2 : 3A;
+ 67C3 : 0B;
+ 67C4 : 98;
+ 67C5 : 0A;
+ 67C6 : 00;
+ 67C7 : 0A;
+ 67C8 : 71;
+ 67C9 : 09;
+ 67CA : EA;
+ 67CB : 08;
+ 67CC : 68;
+ 67CD : 08;
+ 67CE : F1;
+ 67CF : 07;
+ 67D0 : 7D;
+ 67D1 : 07;
+ 67D2 : 13;
+ 67D3 : 07;
+ 67D4 : AC;
+ 67D5 : 06;
+ 67D6 : 4E;
+ 67D7 : 06;
+ 67D8 : F2;
+ 67D9 : 05;
+ 67DA : 9E;
+ 67DB : 05;
+ 67DC : 4D;
+ 67DD : 05;
+ 67DE : 01;
+ 67DF : 05;
+ 67E0 : B8;
+ 67E1 : 04;
+ 67E2 : 75;
+ 67E3 : 04;
+ 67E4 : 34;
+ 67E5 : 04;
+ 67E6 : F8;
+ 67E7 : 03;
+ 67E8 : BF;
+ 67E9 : 03;
+ 67EA : 89;
+ 67EB : 03;
+ 67EC : 56;
+ 67ED : 03;
+ 67EE : 25;
+ 67EF : 03;
+ 67F0 : FA;
+ 67F1 : 02;
+ 67F2 : CF;
+ 67F3 : 02;
+ 67F4 : A6;
+ 67F5 : 02;
+ 67F6 : 80;
+ 67F7 : 02;
+ 67F8 : 5A;
+ 67F9 : 02;
+ 67FA : 3A;
+ 67FB : 02;
+ 67FC : 1A;
+ 67FD : 02;
+ 67FE : FC;
+ 67FF : 01;
+ 6800 : DE;
+ 6801 : 01;
+ 6802 : C3;
+ 6803 : 01;
+ 6804 : AB;
+ 6805 : 01;
+ 6806 : 92;
+ 6807 : 01;
+ 6808 : 7D;
+ 6809 : 01;
+ 680A : 67;
+ 680B : 01;
+ 680C : 52;
+ 680D : 01;
+ 680E : 3F;
+ 680F : 01;
+ 6810 : 2E;
+ 6811 : 01;
+ 6812 : 1B;
+ 6813 : 01;
+ 6814 : 0E;
+ 6815 : 01;
+ 6816 : FE;
+ 6817 : 00;
+ 6818 : F0;
+ 6819 : 00;
+ 681A : E3;
+ 681B : 00;
+ 681C : D5;
+ 681D : 00;
+ 681E : CA;
+ 681F : 00;
+ 6820 : BD;
+ 6821 : 00;
+ 6822 : B2;
+ 6823 : 00;
+ 6824 : AA;
+ 6825 : 00;
+ 6826 : 9F;
+ 6827 : 00;
+ 6828 : 97;
+ 6829 : 00;
+ 682A : 8F;
+ 682B : 00;
+ 682C : 87;
+ 682D : 00;
+ 682E : 7F;
+ 682F : 00;
+ 6830 : 76;
+ 6831 : 00;
+ 6832 : 71;
+ 6833 : 00;
+ 6834 : 6C;
+ 6835 : 00;
+ 6836 : 64;
+ 6837 : 00;
+ 6838 : 5E;
+ 6839 : 00;
+ 683A : 59;
+ 683B : 00;
+ 683C : 53;
+ 683D : 00;
+ 683E : 51;
+ 683F : 00;
+ 6840 : FE;
+ 6841 : 4A;
+ 6842 : C7;
+ 6843 : 46;
+ 6844 : CE;
+ 6845 : 42;
+ 6846 : 0E;
+ 6847 : 3F;
+ 6848 : 85;
+ 6849 : 3B;
+ 684A : 2E;
+ 684B : 38;
+ 684C : 06;
+ 684D : 35;
+ 684E : 0E;
+ 684F : 32;
+ 6850 : 3E;
+ 6851 : 2F;
+ 6852 : 98;
+ 6853 : 2C;
+ 6854 : 17;
+ 6855 : 2A;
+ 6856 : B9;
+ 6857 : 27;
+ 6858 : 7F;
+ 6859 : 25;
+ 685A : 65;
+ 685B : 23;
+ 685C : 68;
+ 685D : 21;
+ 685E : 87;
+ 685F : 1F;
+ 6860 : C3;
+ 6861 : 1D;
+ 6862 : 15;
+ 6863 : 1C;
+ 6864 : 83;
+ 6865 : 1A;
+ 6866 : 05;
+ 6867 : 19;
+ 6868 : 9E;
+ 6869 : 17;
+ 686A : 4C;
+ 686B : 16;
+ 686C : 0A;
+ 686D : 15;
+ 686E : DB;
+ 686F : 13;
+ 6870 : BF;
+ 6871 : 12;
+ 6872 : B1;
+ 6873 : 11;
+ 6874 : B3;
+ 6875 : 10;
+ 6876 : C5;
+ 6877 : 0F;
+ 6878 : E1;
+ 6879 : 0E;
+ 687A : 0C;
+ 687B : 0E;
+ 687C : 41;
+ 687D : 0D;
+ 687E : 84;
+ 687F : 0C;
+ 6880 : CF;
+ 6881 : 0B;
+ 6882 : 24;
+ 6883 : 0B;
+ 6884 : 85;
+ 6885 : 0A;
+ 6886 : ED;
+ 6887 : 09;
+ 6888 : 5E;
+ 6889 : 09;
+ 688A : D9;
+ 688B : 08;
+ 688C : 5A;
+ 688D : 08;
+ 688E : E1;
+ 688F : 07;
+ 6890 : 6F;
+ 6891 : 07;
+ 6892 : 06;
+ 6893 : 07;
+ 6894 : A2;
+ 6895 : 06;
+ 6896 : 40;
+ 6897 : 06;
+ 6898 : E7;
+ 6899 : 05;
+ 689A : 93;
+ 689B : 05;
+ 689C : 42;
+ 689D : 05;
+ 689E : F6;
+ 689F : 04;
+ 68A0 : B0;
+ 68A1 : 04;
+ 68A2 : 6C;
+ 68A3 : 04;
+ 68A4 : 2C;
+ 68A5 : 04;
+ 68A6 : F0;
+ 68A7 : 03;
+ 68A8 : B7;
+ 68A9 : 03;
+ 68AA : 81;
+ 68AB : 03;
+ 68AC : 51;
+ 68AD : 03;
+ 68AE : 20;
+ 68AF : 03;
+ 68B0 : F5;
+ 68B1 : 02;
+ 68B2 : C9;
+ 68B3 : 02;
+ 68B4 : A1;
+ 68B5 : 02;
+ 68B6 : 7B;
+ 68B7 : 02;
+ 68B8 : 58;
+ 68B9 : 02;
+ 68BA : 35;
+ 68BB : 02;
+ 68BC : 17;
+ 68BD : 02;
+ 68BE : F9;
+ 68BF : 01;
+ 68C0 : DB;
+ 68C1 : 01;
+ 68C2 : C0;
+ 68C3 : 01;
+ 68C4 : A8;
+ 68C5 : 01;
+ 68C6 : 90;
+ 68C7 : 01;
+ 68C8 : 7A;
+ 68C9 : 01;
+ 68CA : 64;
+ 68CB : 01;
+ 68CC : 52;
+ 68CD : 01;
+ 68CE : 3F;
+ 68CF : 01;
+ 68D0 : 2C;
+ 68D1 : 01;
+ 68D2 : 1B;
+ 68D3 : 01;
+ 68D4 : 0B;
+ 68D5 : 01;
+ 68D6 : FB;
+ 68D7 : 00;
+ 68D8 : ED;
+ 68D9 : 00;
+ 68DA : E0;
+ 68DB : 00;
+ 68DC : D2;
+ 68DD : 00;
+ 68DE : C8;
+ 68DF : 00;
+ 68E0 : BD;
+ 68E1 : 00;
+ 68E2 : B2;
+ 68E3 : 00;
+ 68E4 : A7;
+ 68E5 : 00;
+ 68E6 : 9F;
+ 68E7 : 00;
+ 68E8 : 94;
+ 68E9 : 00;
+ 68EA : 8C;
+ 68EB : 00;
+ 68EC : 84;
+ 68ED : 00;
+ 68EE : 7F;
+ 68EF : 00;
+ 68F0 : 76;
+ 68F1 : 00;
+ 68F2 : 71;
+ 68F3 : 00;
+ 68F4 : 69;
+ 68F5 : 00;
+ 68F6 : 64;
+ 68F7 : 00;
+ 68F8 : 5E;
+ 68F9 : 00;
+ 68FA : 59;
+ 68FB : 00;
+ 68FC : 53;
+ 68FD : 00;
+ 68FE : 4E;
+ 68FF : 00;
+ 6900 : 74;
+ 6901 : 4A;
+ 6902 : 45;
+ 6903 : 46;
+ 6904 : 55;
+ 6905 : 42;
+ 6906 : 9A;
+ 6907 : 3E;
+ 6908 : 18;
+ 6909 : 3B;
+ 690A : C7;
+ 690B : 37;
+ 690C : A4;
+ 690D : 34;
+ 690E : AF;
+ 690F : 31;
+ 6910 : E5;
+ 6911 : 2E;
+ 6912 : 44;
+ 6913 : 2C;
+ 6914 : C9;
+ 6915 : 29;
+ 6916 : 70;
+ 6917 : 27;
+ 6918 : 38;
+ 6919 : 25;
+ 691A : 24;
+ 691B : 23;
+ 691C : 2A;
+ 691D : 21;
+ 691E : 4E;
+ 691F : 1F;
+ 6920 : 8B;
+ 6921 : 1D;
+ 6922 : E2;
+ 6923 : 1B;
+ 6924 : 52;
+ 6925 : 1A;
+ 6926 : D7;
+ 6927 : 18;
+ 6928 : 72;
+ 6929 : 17;
+ 692A : 23;
+ 692B : 16;
+ 692C : E4;
+ 692D : 14;
+ 692E : B8;
+ 692F : 13;
+ 6930 : 9C;
+ 6931 : 12;
+ 6932 : 90;
+ 6933 : 11;
+ 6934 : 95;
+ 6935 : 10;
+ 6936 : A7;
+ 6937 : 0F;
+ 6938 : C6;
+ 6939 : 0E;
+ 693A : F1;
+ 693B : 0D;
+ 693C : 29;
+ 693D : 0D;
+ 693E : 6B;
+ 693F : 0C;
+ 6940 : B9;
+ 6941 : 0B;
+ 6942 : 11;
+ 6943 : 0B;
+ 6944 : 72;
+ 6945 : 0A;
+ 6946 : DA;
+ 6947 : 09;
+ 6948 : 4E;
+ 6949 : 09;
+ 694A : C9;
+ 694B : 08;
+ 694C : 4A;
+ 694D : 08;
+ 694E : D3;
+ 694F : 07;
+ 6950 : 62;
+ 6951 : 07;
+ 6952 : F8;
+ 6953 : 06;
+ 6954 : 94;
+ 6955 : 06;
+ 6956 : 35;
+ 6957 : 06;
+ 6958 : DC;
+ 6959 : 05;
+ 695A : 88;
+ 695B : 05;
+ 695C : 37;
+ 695D : 05;
+ 695E : EE;
+ 695F : 04;
+ 6960 : A8;
+ 6961 : 04;
+ 6962 : 64;
+ 6963 : 04;
+ 6964 : 23;
+ 6965 : 04;
+ 6966 : E8;
+ 6967 : 03;
+ 6968 : B2;
+ 6969 : 03;
+ 696A : 7C;
+ 696B : 03;
+ 696C : 4B;
+ 696D : 03;
+ 696E : 1A;
+ 696F : 03;
+ 6970 : EF;
+ 6971 : 02;
+ 6972 : C4;
+ 6973 : 02;
+ 6974 : 9B;
+ 6975 : 02;
+ 6976 : 76;
+ 6977 : 02;
+ 6978 : 52;
+ 6979 : 02;
+ 697A : 32;
+ 697B : 02;
+ 697C : 11;
+ 697D : 02;
+ 697E : F4;
+ 697F : 01;
+ 6980 : D9;
+ 6981 : 01;
+ 6982 : BE;
+ 6983 : 01;
+ 6984 : A5;
+ 6985 : 01;
+ 6986 : 8D;
+ 6987 : 01;
+ 6988 : 77;
+ 6989 : 01;
+ 698A : 62;
+ 698B : 01;
+ 698C : 4F;
+ 698D : 01;
+ 698E : 3C;
+ 698F : 01;
+ 6990 : 29;
+ 6991 : 01;
+ 6992 : 19;
+ 6993 : 01;
+ 6994 : 08;
+ 6995 : 01;
+ 6996 : FB;
+ 6997 : 00;
+ 6998 : EB;
+ 6999 : 00;
+ 699A : E0;
+ 699B : 00;
+ 699C : D2;
+ 699D : 00;
+ 699E : C8;
+ 699F : 00;
+ 69A0 : BA;
+ 69A1 : 00;
+ 69A2 : AF;
+ 69A3 : 00;
+ 69A4 : A7;
+ 69A5 : 00;
+ 69A6 : 9C;
+ 69A7 : 00;
+ 69A8 : 94;
+ 69A9 : 00;
+ 69AA : 8C;
+ 69AB : 00;
+ 69AC : 84;
+ 69AD : 00;
+ 69AE : 7C;
+ 69AF : 00;
+ 69B0 : 76;
+ 69B1 : 00;
+ 69B2 : 6E;
+ 69B3 : 00;
+ 69B4 : 69;
+ 69B5 : 00;
+ 69B6 : 64;
+ 69B7 : 00;
+ 69B8 : 5E;
+ 69B9 : 00;
+ 69BA : 59;
+ 69BB : 00;
+ 69BC : 53;
+ 69BD : 00;
+ 69BE : 4E;
+ 69BF : 00;
+ 69C0 : EA;
+ 69C1 : 49;
+ 69C2 : C3;
+ 69C3 : 45;
+ 69C4 : D8;
+ 69C5 : 41;
+ 69C6 : 29;
+ 69C7 : 3E;
+ 69C8 : AA;
+ 69C9 : 3A;
+ 69CA : 61;
+ 69CB : 37;
+ 69CC : 43;
+ 69CD : 34;
+ 69CE : 56;
+ 69CF : 31;
+ 69D0 : 8F;
+ 69D1 : 2E;
+ 69D2 : F3;
+ 69D3 : 2B;
+ 69D4 : 7A;
+ 69D5 : 29;
+ [69D6..69D7] : 27;
+ 69D8 : F5;
+ 69D9 : 24;
+ 69DA : E3;
+ 69DB : 22;
+ 69DC : EC;
+ 69DD : 20;
+ 69DE : 13;
+ 69DF : 1F;
+ 69E0 : 55;
+ 69E1 : 1D;
+ 69E2 : AF;
+ 69E3 : 1B;
+ 69E4 : 21;
+ 69E5 : 1A;
+ 69E6 : A9;
+ 69E7 : 18;
+ 69E8 : 47;
+ 69E9 : 17;
+ 69EA : FB;
+ 69EB : 15;
+ 69EC : BE;
+ 69ED : 14;
+ 69EE : 95;
+ 69EF : 13;
+ 69F0 : 79;
+ 69F1 : 12;
+ 69F2 : 70;
+ 69F3 : 11;
+ 69F4 : 77;
+ 69F5 : 10;
+ 69F6 : 89;
+ 69F7 : 0F;
+ 69F8 : AB;
+ 69F9 : 0E;
+ 69FA : D8;
+ 69FB : 0D;
+ 69FC : 10;
+ 69FD : 0D;
+ 69FE : 56;
+ 69FF : 0C;
+ 6A00 : A3;
+ 6A01 : 0B;
+ 6A02 : FC;
+ 6A03 : 0A;
+ 6A04 : 5F;
+ 6A05 : 0A;
+ 6A06 : CA;
+ 6A07 : 09;
+ 6A08 : 3E;
+ 6A09 : 09;
+ 6A0A : B9;
+ 6A0B : 08;
+ 6A0C : 3A;
+ 6A0D : 08;
+ 6A0E : C6;
+ 6A0F : 07;
+ 6A10 : 54;
+ 6A11 : 07;
+ 6A12 : EB;
+ 6A13 : 06;
+ 6A14 : 89;
+ 6A15 : 06;
+ 6A16 : 2B;
+ 6A17 : 06;
+ 6A18 : D1;
+ 6A19 : 05;
+ 6A1A : 7E;
+ 6A1B : 05;
+ 6A1C : 2F;
+ 6A1D : 05;
+ 6A1E : E3;
+ 6A1F : 04;
+ 6A20 : 9D;
+ 6A21 : 04;
+ 6A22 : 5C;
+ 6A23 : 04;
+ 6A24 : 1E;
+ 6A25 : 04;
+ 6A26 : E3;
+ 6A27 : 03;
+ 6A28 : AA;
+ 6A29 : 03;
+ 6A2A : 76;
+ 6A2B : 03;
+ 6A2C : 43;
+ 6A2D : 03;
+ 6A2E : 15;
+ 6A2F : 03;
+ 6A30 : EA;
+ 6A31 : 02;
+ 6A32 : BF;
+ 6A33 : 02;
+ 6A34 : 96;
+ 6A35 : 02;
+ 6A36 : 73;
+ 6A37 : 02;
+ 6A38 : 50;
+ 6A39 : 02;
+ 6A3A : 2D;
+ 6A3B : 02;
+ 6A3C : 0F;
+ 6A3D : 02;
+ 6A3E : F1;
+ 6A3F : 01;
+ 6A40 : D6;
+ 6A41 : 01;
+ 6A42 : BB;
+ 6A43 : 01;
+ 6A44 : A3;
+ 6A45 : 01;
+ 6A46 : 8A;
+ 6A47 : 01;
+ 6A48 : 75;
+ 6A49 : 01;
+ 6A4A : 5F;
+ 6A4B : 01;
+ 6A4C : 4C;
+ 6A4D : 01;
+ 6A4E : 39;
+ 6A4F : 01;
+ 6A50 : 26;
+ 6A51 : 01;
+ 6A52 : 16;
+ 6A53 : 01;
+ 6A54 : 06;
+ 6A55 : 01;
+ 6A56 : F8;
+ 6A57 : 00;
+ 6A58 : EB;
+ 6A59 : 00;
+ 6A5A : DD;
+ 6A5B : 00;
+ 6A5C : D0;
+ 6A5D : 00;
+ 6A5E : C5;
+ 6A5F : 00;
+ 6A60 : BA;
+ 6A61 : 00;
+ 6A62 : AF;
+ 6A63 : 00;
+ 6A64 : A4;
+ 6A65 : 00;
+ 6A66 : 9C;
+ 6A67 : 00;
+ 6A68 : 94;
+ 6A69 : 00;
+ 6A6A : 8C;
+ 6A6B : 00;
+ 6A6C : 84;
+ 6A6D : 00;
+ 6A6E : 7C;
+ 6A6F : 00;
+ 6A70 : 74;
+ 6A71 : 00;
+ 6A72 : 6E;
+ 6A73 : 00;
+ 6A74 : 69;
+ 6A75 : 00;
+ 6A76 : 61;
+ 6A77 : 00;
+ 6A78 : 5B;
+ 6A79 : 00;
+ 6A7A : 59;
+ 6A7B : 00;
+ 6A7C : 53;
+ 6A7D : 00;
+ 6A7E : 4E;
+ 6A7F : 00;
+ 6A80 : 63;
+ 6A81 : 49;
+ 6A82 : 44;
+ 6A83 : 45;
+ 6A84 : 61;
+ 6A85 : 41;
+ 6A86 : B4;
+ [6A87..6A88] : 3D;
+ 6A89 : 3A;
+ 6A8A : FA;
+ 6A8B : 36;
+ 6A8C : E4;
+ 6A8D : 33;
+ 6A8E : FA;
+ 6A8F : 30;
+ 6A90 : 3B;
+ 6A91 : 2E;
+ 6A92 : A2;
+ 6A93 : 2B;
+ 6A94 : 2E;
+ 6A95 : 29;
+ 6A96 : DE;
+ 6A97 : 26;
+ 6A98 : B1;
+ 6A99 : 24;
+ 6A9A : A2;
+ 6A9B : 22;
+ 6A9C : B0;
+ 6A9D : 20;
+ 6A9E : DA;
+ [6A9F..6AA0] : 1E;
+ 6AA1 : 1D;
+ 6AA2 : 7B;
+ 6AA3 : 1B;
+ 6AA4 : F1;
+ 6AA5 : 19;
+ 6AA6 : 7B;
+ 6AA7 : 18;
+ 6AA8 : 1C;
+ 6AA9 : 17;
+ 6AAA : D2;
+ 6AAB : 15;
+ 6AAC : 98;
+ 6AAD : 14;
+ 6AAE : 6F;
+ 6AAF : 13;
+ 6AB0 : 58;
+ 6AB1 : 12;
+ 6AB2 : 4F;
+ 6AB3 : 11;
+ 6AB4 : 57;
+ 6AB5 : 10;
+ 6AB6 : 6E;
+ 6AB7 : 0F;
+ 6AB8 : 90;
+ 6AB9 : 0E;
+ 6ABA : BD;
+ 6ABB : 0D;
+ 6ABC : F8;
+ 6ABD : 0C;
+ 6ABE : 3D;
+ 6ABF : 0C;
+ 6AC0 : 8E;
+ 6AC1 : 0B;
+ 6AC2 : E9;
+ 6AC3 : 0A;
+ 6AC4 : 4C;
+ 6AC5 : 0A;
+ 6AC6 : B7;
+ 6AC7 : 09;
+ 6AC8 : 2B;
+ 6AC9 : 09;
+ 6ACA : A9;
+ 6ACB : 08;
+ 6ACC : 2C;
+ 6ACD : 08;
+ 6ACE : B5;
+ 6ACF : 07;
+ 6AD0 : 47;
+ 6AD1 : 07;
+ 6AD2 : E0;
+ 6AD3 : 06;
+ 6AD4 : 7C;
+ 6AD5 : 06;
+ 6AD6 : 20;
+ 6AD7 : 06;
+ 6AD8 : C7;
+ 6AD9 : 05;
+ 6ADA : 73;
+ 6ADB : 05;
+ 6ADC : 24;
+ 6ADD : 05;
+ 6ADE : DB;
+ 6ADF : 04;
+ 6AE0 : 95;
+ 6AE1 : 04;
+ 6AE2 : 54;
+ 6AE3 : 04;
+ 6AE4 : 16;
+ 6AE5 : 04;
+ 6AE6 : DA;
+ 6AE7 : 03;
+ 6AE8 : A4;
+ 6AE9 : 03;
+ 6AEA : 6E;
+ 6AEB : 03;
+ 6AEC : 3E;
+ 6AED : 03;
+ 6AEE : 10;
+ 6AEF : 03;
+ 6AF0 : E4;
+ 6AF1 : 02;
+ 6AF2 : B9;
+ 6AF3 : 02;
+ 6AF4 : 93;
+ 6AF5 : 02;
+ 6AF6 : 6D;
+ 6AF7 : 02;
+ 6AF8 : 4A;
+ 6AF9 : 02;
+ 6AFA : 2A;
+ 6AFB : 02;
+ 6AFC : 09;
+ 6AFD : 02;
+ 6AFE : EE;
+ 6AFF : 01;
+ 6B00 : D1;
+ 6B01 : 01;
+ 6B02 : B8;
+ 6B03 : 01;
+ 6B04 : A0;
+ 6B05 : 01;
+ 6B06 : 88;
+ 6B07 : 01;
+ 6B08 : 72;
+ 6B09 : 01;
+ 6B0A : 5C;
+ 6B0B : 01;
+ 6B0C : 49;
+ 6B0D : 01;
+ 6B0E : 36;
+ 6B0F : 01;
+ 6B10 : 26;
+ 6B11 : 01;
+ 6B12 : 13;
+ 6B13 : 01;
+ 6B14 : 06;
+ 6B15 : 01;
+ 6B16 : F6;
+ 6B17 : 00;
+ 6B18 : E8;
+ 6B19 : 00;
+ 6B1A : DB;
+ 6B1B : 00;
+ 6B1C : D0;
+ 6B1D : 00;
+ 6B1E : C2;
+ 6B1F : 00;
+ 6B20 : B7;
+ 6B21 : 00;
+ 6B22 : AF;
+ 6B23 : 00;
+ 6B24 : A4;
+ 6B25 : 00;
+ 6B26 : 9C;
+ 6B27 : 00;
+ 6B28 : 92;
+ 6B29 : 00;
+ 6B2A : 89;
+ 6B2B : 00;
+ 6B2C : 81;
+ 6B2D : 00;
+ 6B2E : 7C;
+ 6B2F : 00;
+ 6B30 : 74;
+ 6B31 : 00;
+ 6B32 : 6E;
+ 6B33 : 00;
+ 6B34 : 66;
+ 6B35 : 00;
+ 6B36 : 61;
+ 6B37 : 00;
+ 6B38 : 5B;
+ 6B39 : 00;
+ 6B3A : 56;
+ 6B3B : 00;
+ 6B3C : 51;
+ 6B3D : 00;
+ 6B3E : 4E;
+ 6B3F : 00;
+ 6B40 : DC;
+ 6B41 : 48;
+ 6B42 : C3;
+ 6B43 : 44;
+ 6B44 : E8;
+ 6B45 : 40;
+ 6B46 : 43;
+ 6B47 : 3D;
+ 6B48 : D4;
+ 6B49 : 39;
+ 6B4A : 93;
+ 6B4B : 36;
+ 6B4C : 83;
+ 6B4D : 33;
+ 6B4E : A1;
+ 6B4F : 30;
+ 6B50 : E4;
+ 6B51 : 2D;
+ 6B52 : 51;
+ 6B53 : 2B;
+ 6B54 : E3;
+ 6B55 : 28;
+ 6B56 : 98;
+ 6B57 : 26;
+ 6B58 : 6E;
+ 6B59 : 24;
+ 6B5A : 61;
+ 6B5B : 22;
+ 6B5C : 75;
+ 6B5D : 20;
+ 6B5E : A1;
+ 6B5F : 1E;
+ 6B60 : E8;
+ 6B61 : 1C;
+ 6B62 : 4B;
+ 6B63 : 1B;
+ 6B64 : C3;
+ 6B65 : 19;
+ 6B66 : 50;
+ 6B67 : 18;
+ 6B68 : F3;
+ 6B69 : 16;
+ 6B6A : A9;
+ 6B6B : 15;
+ 6B6C : 72;
+ 6B6D : 14;
+ 6B6E : 4C;
+ 6B6F : 13;
+ 6B70 : 35;
+ 6B71 : 12;
+ 6B72 : 32;
+ 6B73 : 11;
+ 6B74 : 39;
+ 6B75 : 10;
+ 6B76 : 50;
+ 6B77 : 0F;
+ 6B78 : 75;
+ 6B79 : 0E;
+ 6B7A : A5;
+ 6B7B : 0D;
+ 6B7C : E0;
+ 6B7D : 0C;
+ 6B7E : 28;
+ 6B7F : 0C;
+ 6B80 : 78;
+ 6B81 : 0B;
+ 6B82 : D3;
+ 6B83 : 0A;
+ 6B84 : 39;
+ 6B85 : 0A;
+ 6B86 : A4;
+ 6B87 : 09;
+ 6B88 : 1A;
+ 6B89 : 09;
+ 6B8A : 99;
+ 6B8B : 08;
+ 6B8C : 1C;
+ 6B8D : 08;
+ 6B8E : A8;
+ 6B8F : 07;
+ 6B90 : 39;
+ 6B91 : 07;
+ 6B92 : D2;
+ 6B93 : 06;
+ 6B94 : 71;
+ 6B95 : 06;
+ 6B96 : 12;
+ 6B97 : 06;
+ 6B98 : BC;
+ 6B99 : 05;
+ 6B9A : 6B;
+ 6B9B : 05;
+ 6B9C : 1C;
+ 6B9D : 05;
+ 6B9E : D3;
+ 6B9F : 04;
+ 6BA0 : 8D;
+ 6BA1 : 04;
+ 6BA2 : 4C;
+ 6BA3 : 04;
+ 6BA4 : 0E;
+ 6BA5 : 04;
+ 6BA6 : D5;
+ 6BA7 : 03;
+ 6BA8 : 9C;
+ 6BA9 : 03;
+ 6BAA : 69;
+ 6BAB : 03;
+ 6BAC : 38;
+ 6BAD : 03;
+ 6BAE : 0A;
+ 6BAF : 03;
+ 6BB0 : DF;
+ 6BB1 : 02;
+ 6BB2 : B4;
+ 6BB3 : 02;
+ 6BB4 : 8E;
+ 6BB5 : 02;
+ 6BB6 : 68;
+ 6BB7 : 02;
+ 6BB8 : 48;
+ 6BB9 : 02;
+ 6BBA : 24;
+ 6BBB : 02;
+ 6BBC : 07;
+ 6BBD : 02;
+ 6BBE : E9;
+ 6BBF : 01;
+ 6BC0 : CE;
+ 6BC1 : 01;
+ 6BC2 : B3;
+ 6BC3 : 01;
+ 6BC4 : 9B;
+ 6BC5 : 01;
+ 6BC6 : 85;
+ 6BC7 : 01;
+ 6BC8 : 6F;
+ 6BC9 : 01;
+ 6BCA : 5A;
+ 6BCB : 01;
+ 6BCC : 47;
+ 6BCD : 01;
+ 6BCE : 34;
+ 6BCF : 01;
+ 6BD0 : 24;
+ 6BD1 : 01;
+ 6BD2 : 13;
+ 6BD3 : 01;
+ 6BD4 : 03;
+ 6BD5 : 01;
+ 6BD6 : F6;
+ 6BD7 : 00;
+ 6BD8 : E8;
+ 6BD9 : 00;
+ 6BDA : DB;
+ 6BDB : 00;
+ 6BDC : CD;
+ 6BDD : 00;
+ 6BDE : C2;
+ 6BDF : 00;
+ 6BE0 : B7;
+ 6BE1 : 00;
+ 6BE2 : AD;
+ 6BE3 : 00;
+ 6BE4 : A2;
+ 6BE5 : 00;
+ 6BE6 : 9A;
+ 6BE7 : 00;
+ 6BE8 : 92;
+ 6BE9 : 00;
+ 6BEA : 89;
+ 6BEB : 00;
+ 6BEC : 81;
+ 6BED : 00;
+ 6BEE : 79;
+ 6BEF : 00;
+ 6BF0 : 74;
+ 6BF1 : 00;
+ 6BF2 : 6C;
+ 6BF3 : 00;
+ 6BF4 : 66;
+ 6BF5 : 00;
+ 6BF6 : 61;
+ 6BF7 : 00;
+ 6BF8 : 5B;
+ 6BF9 : 00;
+ 6BFA : 56;
+ 6BFB : 00;
+ 6BFC : 51;
+ 6BFD : 00;
+ 6BFE : 4E;
+ 6BFF : 00;
+ 6C00 : C0;
+ 6C01 : 1A;
+ 6C02 : 40;
+ 6C03 : 19;
+ 6C04 : D5;
+ 6C05 : 17;
+ 6C06 : 7E;
+ 6C07 : 16;
+ 6C08 : 3B;
+ 6C09 : 15;
+ 6C0A : 0A;
+ 6C0B : 14;
+ 6C0C : EA;
+ 6C0D : 12;
+ 6C0E : DA;
+ 6C0F : 11;
+ 6C10 : DA;
+ 6C11 : 10;
+ 6C12 : E8;
+ 6C13 : 0F;
+ 6C14 : 03;
+ 6C15 : 0F;
+ 6C16 : 2C;
+ 6C17 : 0E;
+ 6C18 : 60;
+ 6C19 : 0D;
+ 6C1A : A0;
+ 6C1B : 0C;
+ 6C1C : EA;
+ 6C1D : 0B;
+ 6C1E : 3F;
+ 6C1F : 0B;
+ 6C20 : 9E;
+ 6C21 : 0A;
+ 6C22 : 05;
+ 6C23 : 0A;
+ 6C24 : 75;
+ 6C25 : 09;
+ 6C26 : ED;
+ 6C27 : 08;
+ 6C28 : 6D;
+ 6C29 : 08;
+ 6C2A : F4;
+ 6C2B : 07;
+ 6C2C : 82;
+ 6C2D : 07;
+ 6C2E : 16;
+ 6C2F : 07;
+ 6C30 : B0;
+ 6C31 : 06;
+ 6C32 : 50;
+ 6C33 : 06;
+ 6C34 : F5;
+ 6C35 : 05;
+ 6C36 : A0;
+ 6C37 : 05;
+ 6C38 : 4F;
+ 6C39 : 05;
+ 6C3A : 03;
+ 6C3B : 05;
+ 6C3C : BB;
+ 6C3D : 04;
+ 6C3E : 77;
+ 6C3F : 04;
+ 6C40 : 36;
+ 6C41 : 04;
+ 6C42 : FA;
+ 6C43 : 03;
+ 6C44 : C1;
+ 6C45 : 03;
+ 6C46 : 8B;
+ 6C47 : 03;
+ 6C48 : 58;
+ 6C49 : 03;
+ 6C4A : 28;
+ 6C4B : 03;
+ 6C4C : FB;
+ 6C4D : 02;
+ 6C4E : D0;
+ 6C4F : 02;
+ 6C50 : A7;
+ 6C51 : 02;
+ 6C52 : 81;
+ 6C53 : 02;
+ 6C54 : 5D;
+ 6C55 : 02;
+ 6C56 : 3B;
+ 6C57 : 02;
+ 6C58 : 1B;
+ 6C59 : 02;
+ 6C5A : FD;
+ 6C5B : 01;
+ 6C5C : E0;
+ 6C5D : 01;
+ 6C5E : C5;
+ 6C5F : 01;
+ 6C60 : AC;
+ 6C61 : 01;
+ 6C62 : 94;
+ 6C63 : 01;
+ 6C64 : 7D;
+ 6C65 : 01;
+ 6C66 : 68;
+ 6C67 : 01;
+ 6C68 : 54;
+ 6C69 : 01;
+ 6C6A : 41;
+ 6C6B : 01;
+ 6C6C : 2F;
+ 6C6D : 01;
+ 6C6E : 1E;
+ 6C6F : 01;
+ 6C70 : 0E;
+ 6C71 : 01;
+ 6C72 : FE;
+ 6C73 : 00;
+ 6C74 : F0;
+ 6C75 : 00;
+ 6C76 : E3;
+ 6C77 : 00;
+ 6C78 : D6;
+ 6C79 : 00;
+ 6C7A : CA;
+ 6C7B : 00;
+ 6C7C : BF;
+ 6C7D : 00;
+ 6C7E : B4;
+ 6C7F : 00;
+ 6C80 : AA;
+ 6C81 : 00;
+ 6C82 : A0;
+ 6C83 : 00;
+ 6C84 : 97;
+ 6C85 : 00;
+ 6C86 : 8F;
+ 6C87 : 00;
+ 6C88 : 87;
+ 6C89 : 00;
+ 6C8A : 7F;
+ 6C8B : 00;
+ 6C8C : 78;
+ 6C8D : 00;
+ 6C8E : 71;
+ 6C8F : 00;
+ 6C90 : 6B;
+ 6C91 : 00;
+ 6C92 : 65;
+ 6C93 : 00;
+ 6C94 : 5F;
+ 6C95 : 00;
+ 6C96 : 5A;
+ 6C97 : 00;
+ 6C98 : 55;
+ 6C99 : 00;
+ 6C9A : 50;
+ 6C9B : 00;
+ 6C9C : 4C;
+ 6C9D : 00;
+ 6C9E : 47;
+ 6C9F : 00;
+ 6CA0 : 43;
+ 6CA1 : 00;
+ 6CA2 : 40;
+ 6CA3 : 00;
+ 6CA4 : 3C;
+ 6CA5 : 00;
+ 6CA6 : 39;
+ 6CA7 : 00;
+ 6CA8 : 36;
+ 6CA9 : 00;
+ 6CAA : 32;
+ 6CAB : 00;
+ 6CAC : 30;
+ 6CAD : 00;
+ 6CAE : 2D;
+ 6CAF : 00;
+ 6CB0 : 2A;
+ 6CB1 : 00;
+ 6CB2 : 28;
+ 6CB3 : 00;
+ 6CB4 : 26;
+ 6CB5 : 00;
+ 6CB6 : 24;
+ 6CB7 : 00;
+ 6CB8 : 22;
+ 6CB9 : 00;
+ 6CBA : 20;
+ 6CBB : 00;
+ 6CBC : 1E;
+ 6CBD : 00;
+ 6CBE : 1C;
+ 6CBF : 00;
+ 6CC0 : 8F;
+ 6CC1 : 1A;
+ 6CC2 : 11;
+ 6CC3 : 19;
+ 6CC4 : A9;
+ 6CC5 : 17;
+ 6CC6 : 55;
+ 6CC7 : 16;
+ 6CC8 : 14;
+ 6CC9 : 15;
+ 6CCA : E5;
+ 6CCB : 13;
+ 6CCC : C7;
+ 6CCD : 12;
+ 6CCE : BA;
+ 6CCF : 11;
+ 6CD0 : BB;
+ 6CD1 : 10;
+ 6CD2 : CB;
+ 6CD3 : 0F;
+ 6CD4 : E8;
+ 6CD5 : 0E;
+ 6CD6 : 12;
+ 6CD7 : 0E;
+ 6CD8 : 47;
+ 6CD9 : 0D;
+ 6CDA : 89;
+ 6CDB : 0C;
+ 6CDC : D4;
+ 6CDD : 0B;
+ 6CDE : 2B;
+ 6CDF : 0B;
+ 6CE0 : 8A;
+ 6CE1 : 0A;
+ 6CE2 : F3;
+ 6CE3 : 09;
+ 6CE4 : 64;
+ 6CE5 : 09;
+ 6CE6 : DD;
+ 6CE7 : 08;
+ 6CE8 : 5D;
+ 6CE9 : 08;
+ 6CEA : E5;
+ 6CEB : 07;
+ 6CEC : 74;
+ 6CED : 07;
+ 6CEE : 09;
+ 6CEF : 07;
+ 6CF0 : A4;
+ 6CF1 : 06;
+ 6CF2 : 44;
+ 6CF3 : 06;
+ 6CF4 : EA;
+ 6CF5 : 05;
+ 6CF6 : 95;
+ 6CF7 : 05;
+ 6CF8 : 45;
+ 6CF9 : 05;
+ 6CFA : F9;
+ 6CFB : 04;
+ 6CFC : B2;
+ 6CFD : 04;
+ 6CFE : 6E;
+ 6CFF : 04;
+ 6D00 : 2F;
+ 6D01 : 04;
+ 6D02 : F3;
+ 6D03 : 03;
+ 6D04 : BA;
+ 6D05 : 03;
+ 6D06 : 84;
+ 6D07 : 03;
+ 6D08 : 52;
+ 6D09 : 03;
+ 6D0A : 22;
+ 6D0B : 03;
+ 6D0C : F5;
+ 6D0D : 02;
+ 6D0E : CB;
+ 6D0F : 02;
+ 6D10 : A3;
+ 6D11 : 02;
+ 6D12 : 7D;
+ 6D13 : 02;
+ 6D14 : 59;
+ 6D15 : 02;
+ 6D16 : 37;
+ 6D17 : 02;
+ 6D18 : 17;
+ 6D19 : 02;
+ 6D1A : F9;
+ 6D1B : 01;
+ 6D1C : DD;
+ 6D1D : 01;
+ 6D1E : C2;
+ 6D1F : 01;
+ 6D20 : A9;
+ 6D21 : 01;
+ 6D22 : 91;
+ 6D23 : 01;
+ 6D24 : 7B;
+ 6D25 : 01;
+ 6D26 : 65;
+ 6D27 : 01;
+ 6D28 : 51;
+ 6D29 : 01;
+ 6D2A : 3E;
+ 6D2B : 01;
+ 6D2C : 2C;
+ 6D2D : 01;
+ 6D2E : 1C;
+ 6D2F : 01;
+ 6D30 : 0C;
+ 6D31 : 01;
+ 6D32 : FD;
+ 6D33 : 00;
+ 6D34 : EE;
+ 6D35 : 00;
+ 6D36 : E1;
+ 6D37 : 00;
+ 6D38 : D4;
+ 6D39 : 00;
+ 6D3A : C9;
+ 6D3B : 00;
+ 6D3C : BD;
+ 6D3D : 00;
+ 6D3E : B3;
+ 6D3F : 00;
+ 6D40 : A9;
+ 6D41 : 00;
+ 6D42 : 9F;
+ 6D43 : 00;
+ 6D44 : 96;
+ 6D45 : 00;
+ 6D46 : 8E;
+ 6D47 : 00;
+ 6D48 : 86;
+ 6D49 : 00;
+ 6D4A : 7E;
+ 6D4B : 00;
+ 6D4C : 77;
+ 6D4D : 00;
+ 6D4E : 71;
+ 6D4F : 00;
+ 6D50 : 6A;
+ 6D51 : 00;
+ 6D52 : 64;
+ 6D53 : 00;
+ 6D54 : 5F;
+ 6D55 : 00;
+ 6D56 : 59;
+ 6D57 : 00;
+ 6D58 : 54;
+ 6D59 : 00;
+ 6D5A : 50;
+ 6D5B : 00;
+ 6D5C : 4B;
+ 6D5D : 00;
+ 6D5E : 47;
+ 6D5F : 00;
+ 6D60 : 43;
+ 6D61 : 00;
+ 6D62 : 3F;
+ 6D63 : 00;
+ 6D64 : 3C;
+ 6D65 : 00;
+ 6D66 : 38;
+ 6D67 : 00;
+ 6D68 : 35;
+ 6D69 : 00;
+ 6D6A : 32;
+ 6D6B : 00;
+ 6D6C : 2F;
+ 6D6D : 00;
+ 6D6E : 2D;
+ 6D6F : 00;
+ 6D70 : 2A;
+ 6D71 : 00;
+ 6D72 : 28;
+ 6D73 : 00;
+ 6D74 : 26;
+ 6D75 : 00;
+ 6D76 : 23;
+ 6D77 : 00;
+ 6D78 : 21;
+ 6D79 : 00;
+ 6D7A : 20;
+ 6D7B : 00;
+ 6D7C : 1E;
+ 6D7D : 00;
+ 6D7E : 1C;
+ 6D7F : 00;
+ 6D80 : 5E;
+ 6D81 : 1A;
+ 6D82 : E3;
+ 6D83 : 18;
+ 6D84 : 7D;
+ 6D85 : 17;
+ 6D86 : 2C;
+ 6D87 : 16;
+ 6D88 : ED;
+ 6D89 : 14;
+ 6D8A : C1;
+ 6D8B : 13;
+ 6D8C : A5;
+ 6D8D : 12;
+ 6D8E : 99;
+ 6D8F : 11;
+ 6D90 : 9C;
+ 6D91 : 10;
+ 6D92 : AD;
+ 6D93 : 0F;
+ 6D94 : CC;
+ 6D95 : 0E;
+ 6D96 : F8;
+ 6D97 : 0D;
+ 6D98 : 2F;
+ 6D99 : 0D;
+ 6D9A : 71;
+ 6D9B : 0C;
+ 6D9C : BF;
+ 6D9D : 0B;
+ 6D9E : 16;
+ 6D9F : 0B;
+ 6DA0 : 77;
+ 6DA1 : 0A;
+ 6DA2 : E0;
+ 6DA3 : 09;
+ 6DA4 : 52;
+ 6DA5 : 09;
+ 6DA6 : CC;
+ 6DA7 : 08;
+ 6DA8 : 4E;
+ 6DA9 : 08;
+ 6DAA : D7;
+ 6DAB : 07;
+ 6DAC : 66;
+ 6DAD : 07;
+ 6DAE : FC;
+ 6DAF : 06;
+ 6DB0 : 97;
+ 6DB1 : 06;
+ 6DB2 : 39;
+ 6DB3 : 06;
+ 6DB4 : DF;
+ 6DB5 : 05;
+ 6DB6 : 8B;
+ 6DB7 : 05;
+ 6DB8 : 3B;
+ 6DB9 : 05;
+ 6DBA : F0;
+ 6DBB : 04;
+ 6DBC : A9;
+ 6DBD : 04;
+ 6DBE : 66;
+ 6DBF : 04;
+ 6DC0 : 27;
+ 6DC1 : 04;
+ 6DC2 : EB;
+ 6DC3 : 03;
+ 6DC4 : B3;
+ 6DC5 : 03;
+ 6DC6 : 7E;
+ 6DC7 : 03;
+ 6DC8 : 4C;
+ 6DC9 : 03;
+ 6DCA : 1C;
+ 6DCB : 03;
+ 6DCC : F0;
+ 6DCD : 02;
+ 6DCE : C5;
+ 6DCF : 02;
+ 6DD0 : 9E;
+ 6DD1 : 02;
+ 6DD2 : 78;
+ 6DD3 : 02;
+ 6DD4 : 55;
+ 6DD5 : 02;
+ 6DD6 : 33;
+ 6DD7 : 02;
+ 6DD8 : 14;
+ 6DD9 : 02;
+ 6DDA : F6;
+ 6DDB : 01;
+ 6DDC : DA;
+ 6DDD : 01;
+ 6DDE : BF;
+ 6DDF : 01;
+ 6DE0 : A6;
+ 6DE1 : 01;
+ 6DE2 : 8E;
+ 6DE3 : 01;
+ 6DE4 : 78;
+ 6DE5 : 01;
+ 6DE6 : 63;
+ 6DE7 : 01;
+ 6DE8 : 4F;
+ 6DE9 : 01;
+ 6DEA : 3C;
+ 6DEB : 01;
+ 6DEC : 2A;
+ 6DED : 01;
+ 6DEE : 1A;
+ 6DEF : 01;
+ 6DF0 : 0A;
+ 6DF1 : 01;
+ 6DF2 : FB;
+ 6DF3 : 00;
+ 6DF4 : ED;
+ 6DF5 : 00;
+ 6DF6 : DF;
+ 6DF7 : 00;
+ 6DF8 : D3;
+ 6DF9 : 00;
+ 6DFA : C7;
+ 6DFB : 00;
+ 6DFC : BC;
+ 6DFD : 00;
+ 6DFE : B1;
+ 6DFF : 00;
+ 6E00 : A7;
+ 6E01 : 00;
+ 6E02 : 9E;
+ 6E03 : 00;
+ 6E04 : 95;
+ 6E05 : 00;
+ 6E06 : 8D;
+ 6E07 : 00;
+ 6E08 : 85;
+ 6E09 : 00;
+ 6E0A : 7D;
+ 6E0B : 00;
+ 6E0C : 76;
+ 6E0D : 00;
+ 6E0E : 70;
+ 6E0F : 00;
+ 6E10 : 69;
+ 6E11 : 00;
+ 6E12 : 64;
+ 6E13 : 00;
+ 6E14 : 5E;
+ 6E15 : 00;
+ 6E16 : 59;
+ 6E17 : 00;
+ 6E18 : 54;
+ 6E19 : 00;
+ 6E1A : 4F;
+ 6E1B : 00;
+ 6E1C : 4B;
+ 6E1D : 00;
+ 6E1E : 46;
+ 6E1F : 00;
+ 6E20 : 42;
+ 6E21 : 00;
+ 6E22 : 3F;
+ 6E23 : 00;
+ 6E24 : 3B;
+ 6E25 : 00;
+ 6E26 : 38;
+ 6E27 : 00;
+ 6E28 : 35;
+ 6E29 : 00;
+ 6E2A : 32;
+ 6E2B : 00;
+ 6E2C : 2F;
+ 6E2D : 00;
+ 6E2E : 2C;
+ 6E2F : 00;
+ 6E30 : 2A;
+ 6E31 : 00;
+ 6E32 : 28;
+ 6E33 : 00;
+ 6E34 : 25;
+ 6E35 : 00;
+ 6E36 : 23;
+ 6E37 : 00;
+ 6E38 : 21;
+ 6E39 : 00;
+ 6E3A : 1F;
+ 6E3B : 00;
+ 6E3C : 1E;
+ 6E3D : 00;
+ 6E3E : 1C;
+ 6E3F : 00;
+ 6E40 : 2D;
+ 6E41 : 1A;
+ 6E42 : B5;
+ 6E43 : 18;
+ 6E44 : 52;
+ 6E45 : 17;
+ 6E46 : 03;
+ 6E47 : 16;
+ 6E48 : C7;
+ 6E49 : 14;
+ 6E4A : 9C;
+ 6E4B : 13;
+ 6E4C : 83;
+ 6E4D : 12;
+ 6E4E : 79;
+ 6E4F : 11;
+ 6E50 : 7E;
+ 6E51 : 10;
+ 6E52 : 91;
+ 6E53 : 0F;
+ 6E54 : B1;
+ 6E55 : 0E;
+ 6E56 : DE;
+ 6E57 : 0D;
+ 6E58 : 17;
+ 6E59 : 0D;
+ 6E5A : 5B;
+ 6E5B : 0C;
+ 6E5C : A9;
+ 6E5D : 0B;
+ 6E5E : 02;
+ 6E5F : 0B;
+ 6E60 : 63;
+ 6E61 : 0A;
+ 6E62 : CE;
+ 6E63 : 09;
+ 6E64 : 41;
+ 6E65 : 09;
+ 6E66 : BC;
+ 6E67 : 08;
+ 6E68 : 3F;
+ 6E69 : 08;
+ 6E6A : C8;
+ 6E6B : 07;
+ 6E6C : 58;
+ 6E6D : 07;
+ 6E6E : EF;
+ 6E6F : 06;
+ 6E70 : 8B;
+ 6E71 : 06;
+ 6E72 : 2D;
+ 6E73 : 06;
+ 6E74 : D5;
+ 6E75 : 05;
+ 6E76 : 81;
+ 6E77 : 05;
+ 6E78 : 32;
+ 6E79 : 05;
+ 6E7A : E7;
+ 6E7B : 04;
+ 6E7C : A1;
+ 6E7D : 04;
+ 6E7E : 5E;
+ 6E7F : 04;
+ 6E80 : 1F;
+ 6E81 : 04;
+ 6E82 : E4;
+ 6E83 : 03;
+ 6E84 : AC;
+ 6E85 : 03;
+ 6E86 : 77;
+ 6E87 : 03;
+ 6E88 : 46;
+ 6E89 : 03;
+ 6E8A : 17;
+ 6E8B : 03;
+ 6E8C : EA;
+ 6E8D : 02;
+ 6E8E : C0;
+ 6E8F : 02;
+ 6E90 : 99;
+ 6E91 : 02;
+ 6E92 : 74;
+ 6E93 : 02;
+ 6E94 : 50;
+ 6E95 : 02;
+ 6E96 : 2F;
+ 6E97 : 02;
+ 6E98 : 10;
+ 6E99 : 02;
+ 6E9A : F2;
+ 6E9B : 01;
+ 6E9C : D6;
+ 6E9D : 01;
+ 6E9E : BC;
+ 6E9F : 01;
+ 6EA0 : A3;
+ 6EA1 : 01;
+ 6EA2 : 8B;
+ 6EA3 : 01;
+ 6EA4 : 75;
+ 6EA5 : 01;
+ 6EA6 : 60;
+ 6EA7 : 01;
+ 6EA8 : 4C;
+ 6EA9 : 01;
+ 6EAA : 3A;
+ 6EAB : 01;
+ 6EAC : 28;
+ 6EAD : 01;
+ 6EAE : 18;
+ 6EAF : 01;
+ 6EB0 : 08;
+ 6EB1 : 01;
+ 6EB2 : F9;
+ 6EB3 : 00;
+ 6EB4 : EB;
+ 6EB5 : 00;
+ 6EB6 : DE;
+ 6EB7 : 00;
+ 6EB8 : D1;
+ 6EB9 : 00;
+ 6EBA : C6;
+ 6EBB : 00;
+ 6EBC : BB;
+ 6EBD : 00;
+ 6EBE : B0;
+ 6EBF : 00;
+ 6EC0 : A6;
+ 6EC1 : 00;
+ 6EC2 : 9D;
+ 6EC3 : 00;
+ 6EC4 : 94;
+ 6EC5 : 00;
+ 6EC6 : 8C;
+ 6EC7 : 00;
+ 6EC8 : 84;
+ 6EC9 : 00;
+ 6ECA : 7D;
+ 6ECB : 00;
+ 6ECC : 76;
+ 6ECD : 00;
+ 6ECE : 6F;
+ 6ECF : 00;
+ 6ED0 : 69;
+ 6ED1 : 00;
+ 6ED2 : 63;
+ 6ED3 : 00;
+ 6ED4 : 5D;
+ 6ED5 : 00;
+ 6ED6 : 58;
+ 6ED7 : 00;
+ 6ED8 : 53;
+ 6ED9 : 00;
+ 6EDA : 4E;
+ 6EDB : 00;
+ 6EDC : 4A;
+ 6EDD : 00;
+ 6EDE : 46;
+ 6EDF : 00;
+ 6EE0 : 42;
+ 6EE1 : 00;
+ 6EE2 : 3E;
+ 6EE3 : 00;
+ 6EE4 : 3B;
+ 6EE5 : 00;
+ 6EE6 : 37;
+ 6EE7 : 00;
+ 6EE8 : 34;
+ 6EE9 : 00;
+ 6EEA : 31;
+ 6EEB : 00;
+ 6EEC : 2F;
+ 6EED : 00;
+ 6EEE : 2C;
+ 6EEF : 00;
+ 6EF0 : 2A;
+ 6EF1 : 00;
+ 6EF2 : 27;
+ 6EF3 : 00;
+ 6EF4 : 25;
+ 6EF5 : 00;
+ 6EF6 : 23;
+ 6EF7 : 00;
+ 6EF8 : 21;
+ 6EF9 : 00;
+ 6EFA : 1F;
+ 6EFB : 00;
+ 6EFC : 1D;
+ 6EFD : 00;
+ 6EFE : 1C;
+ 6EFF : 00;
+ 6F00 : FD;
+ 6F01 : 19;
+ 6F02 : 88;
+ 6F03 : 18;
+ 6F04 : 27;
+ 6F05 : 17;
+ 6F06 : DB;
+ 6F07 : 15;
+ 6F08 : A1;
+ 6F09 : 14;
+ 6F0A : 78;
+ 6F0B : 13;
+ 6F0C : 60;
+ 6F0D : 12;
+ 6F0E : 58;
+ 6F0F : 11;
+ 6F10 : 5F;
+ 6F11 : 10;
+ 6F12 : 74;
+ 6F13 : 0F;
+ 6F14 : 96;
+ 6F15 : 0E;
+ 6F16 : C4;
+ 6F17 : 0D;
+ 6F18 : FF;
+ 6F19 : 0C;
+ 6F1A : 44;
+ 6F1B : 0C;
+ 6F1C : 94;
+ 6F1D : 0B;
+ 6F1E : ED;
+ 6F1F : 0A;
+ 6F20 : 50;
+ 6F21 : 0A;
+ 6F22 : BC;
+ 6F23 : 09;
+ 6F24 : 30;
+ 6F25 : 09;
+ 6F26 : AC;
+ 6F27 : 08;
+ 6F28 : 30;
+ 6F29 : 08;
+ 6F2A : BA;
+ 6F2B : 07;
+ 6F2C : 4B;
+ 6F2D : 07;
+ 6F2E : E2;
+ 6F2F : 06;
+ 6F30 : 7F;
+ 6F31 : 06;
+ 6F32 : 22;
+ 6F33 : 06;
+ 6F34 : CA;
+ 6F35 : 05;
+ 6F36 : 77;
+ 6F37 : 05;
+ 6F38 : 28;
+ 6F39 : 05;
+ 6F3A : DE;
+ 6F3B : 04;
+ 6F3C : 98;
+ 6F3D : 04;
+ 6F3E : 56;
+ 6F3F : 04;
+ 6F40 : 18;
+ 6F41 : 04;
+ 6F42 : DD;
+ 6F43 : 03;
+ 6F44 : A5;
+ 6F45 : 03;
+ 6F46 : 71;
+ 6F47 : 03;
+ 6F48 : 40;
+ 6F49 : 03;
+ 6F4A : 11;
+ 6F4B : 03;
+ 6F4C : E5;
+ 6F4D : 02;
+ 6F4E : BB;
+ 6F4F : 02;
+ 6F50 : 94;
+ 6F51 : 02;
+ 6F52 : 6F;
+ 6F53 : 02;
+ 6F54 : 4C;
+ 6F55 : 02;
+ 6F56 : 2B;
+ 6F57 : 02;
+ 6F58 : 0C;
+ 6F59 : 02;
+ 6F5A : EE;
+ 6F5B : 01;
+ 6F5C : D3;
+ 6F5D : 01;
+ 6F5E : B9;
+ 6F5F : 01;
+ 6F60 : A0;
+ 6F61 : 01;
+ 6F62 : 88;
+ 6F63 : 01;
+ 6F64 : 72;
+ 6F65 : 01;
+ 6F66 : 5E;
+ 6F67 : 01;
+ 6F68 : 4A;
+ 6F69 : 01;
+ 6F6A : 38;
+ 6F6B : 01;
+ 6F6C : 26;
+ 6F6D : 01;
+ 6F6E : 16;
+ 6F6F : 01;
+ 6F70 : 06;
+ 6F71 : 01;
+ 6F72 : F7;
+ 6F73 : 00;
+ 6F74 : E9;
+ 6F75 : 00;
+ 6F76 : DC;
+ 6F77 : 00;
+ 6F78 : D0;
+ 6F79 : 00;
+ 6F7A : C4;
+ 6F7B : 00;
+ 6F7C : B9;
+ 6F7D : 00;
+ 6F7E : AF;
+ 6F7F : 00;
+ 6F80 : A5;
+ 6F81 : 00;
+ 6F82 : 9C;
+ 6F83 : 00;
+ 6F84 : 93;
+ 6F85 : 00;
+ 6F86 : 8B;
+ 6F87 : 00;
+ 6F88 : 83;
+ 6F89 : 00;
+ 6F8A : 7C;
+ 6F8B : 00;
+ 6F8C : 75;
+ 6F8D : 00;
+ 6F8E : 6E;
+ 6F8F : 00;
+ 6F90 : 68;
+ 6F91 : 00;
+ 6F92 : 62;
+ 6F93 : 00;
+ 6F94 : 5D;
+ 6F95 : 00;
+ 6F96 : 57;
+ 6F97 : 00;
+ 6F98 : 53;
+ 6F99 : 00;
+ 6F9A : 4E;
+ 6F9B : 00;
+ 6F9C : 4A;
+ 6F9D : 00;
+ 6F9E : 45;
+ 6F9F : 00;
+ 6FA0 : 41;
+ 6FA1 : 00;
+ 6FA2 : 3E;
+ 6FA3 : 00;
+ 6FA4 : 3A;
+ 6FA5 : 00;
+ 6FA6 : 37;
+ 6FA7 : 00;
+ 6FA8 : 34;
+ 6FA9 : 00;
+ 6FAA : 31;
+ 6FAB : 00;
+ 6FAC : 2E;
+ 6FAD : 00;
+ 6FAE : 2C;
+ 6FAF : 00;
+ 6FB0 : 29;
+ 6FB1 : 00;
+ 6FB2 : 27;
+ 6FB3 : 00;
+ 6FB4 : 25;
+ 6FB5 : 00;
+ 6FB6 : 23;
+ 6FB7 : 00;
+ 6FB8 : 21;
+ 6FB9 : 00;
+ 6FBA : 1F;
+ 6FBB : 00;
+ 6FBC : 1D;
+ 6FBD : 00;
+ 6FBE : 1C;
+ 6FBF : 00;
+ 6FC0 : CD;
+ 6FC1 : 19;
+ 6FC2 : 5A;
+ 6FC3 : 18;
+ 6FC4 : FD;
+ 6FC5 : 16;
+ 6FC6 : B2;
+ 6FC7 : 15;
+ 6FC8 : 7B;
+ 6FC9 : 14;
+ 6FCA : 54;
+ 6FCB : 13;
+ 6FCC : 3F;
+ 6FCD : 12;
+ 6FCE : 38;
+ 6FCF : 11;
+ 6FD0 : 41;
+ 6FD1 : 10;
+ 6FD2 : 57;
+ 6FD3 : 0F;
+ 6FD4 : 7B;
+ 6FD5 : 0E;
+ 6FD6 : AB;
+ 6FD7 : 0D;
+ 6FD8 : E7;
+ 6FD9 : 0C;
+ 6FDA : 2D;
+ 6FDB : 0C;
+ 6FDC : 7E;
+ 6FDD : 0B;
+ 6FDE : D9;
+ 6FDF : 0A;
+ 6FE0 : 3D;
+ 6FE1 : 0A;
+ 6FE2 : AA;
+ 6FE3 : 09;
+ 6FE4 : 1F;
+ 6FE5 : 09;
+ 6FE6 : 9C;
+ 6FE7 : 08;
+ 6FE8 : 21;
+ 6FE9 : 08;
+ 6FEA : AC;
+ 6FEB : 07;
+ 6FEC : 3E;
+ 6FED : 07;
+ 6FEE : D5;
+ 6FEF : 06;
+ 6FF0 : 73;
+ 6FF1 : 06;
+ 6FF2 : 17;
+ 6FF3 : 06;
+ 6FF4 : BF;
+ 6FF5 : 05;
+ 6FF6 : 6D;
+ 6FF7 : 05;
+ 6FF8 : 1F;
+ 6FF9 : 05;
+ 6FFA : D5;
+ 6FFB : 04;
+ 6FFC : 90;
+ 6FFD : 04;
+ 6FFE : 4E;
+ 6FFF : 04;
+ 7000 : 10;
+ 7001 : 04;
+ 7002 : D6;
+ 7003 : 03;
+ 7004 : 9F;
+ 7005 : 03;
+ 7006 : 6B;
+ 7007 : 03;
+ 7008 : 3A;
+ 7009 : 03;
+ 700A : 0B;
+ 700B : 03;
+ 700C : E0;
+ 700D : 02;
+ 700E : B6;
+ 700F : 02;
+ 7010 : 8F;
+ 7011 : 02;
+ 7012 : 6B;
+ 7013 : 02;
+ 7014 : 48;
+ 7015 : 02;
+ 7016 : 27;
+ 7017 : 02;
+ 7018 : 08;
+ 7019 : 02;
+ 701A : EB;
+ 701B : 01;
+ 701C : CF;
+ 701D : 01;
+ 701E : B5;
+ 701F : 01;
+ 7020 : 9D;
+ 7021 : 01;
+ 7022 : 86;
+ 7023 : 01;
+ 7024 : 70;
+ 7025 : 01;
+ 7026 : 5B;
+ 7027 : 01;
+ 7028 : 48;
+ 7029 : 01;
+ 702A : 35;
+ 702B : 01;
+ 702C : 24;
+ 702D : 01;
+ 702E : 14;
+ 702F : 01;
+ 7030 : 04;
+ 7031 : 01;
+ 7032 : F5;
+ 7033 : 00;
+ 7034 : E8;
+ 7035 : 00;
+ 7036 : DB;
+ 7037 : 00;
+ 7038 : CE;
+ 7039 : 00;
+ 703A : C3;
+ 703B : 00;
+ 703C : B8;
+ 703D : 00;
+ 703E : AE;
+ 703F : 00;
+ 7040 : A4;
+ 7041 : 00;
+ 7042 : 9B;
+ 7043 : 00;
+ 7044 : 92;
+ 7045 : 00;
+ 7046 : 8A;
+ 7047 : 00;
+ 7048 : 82;
+ 7049 : 00;
+ 704A : 7B;
+ 704B : 00;
+ 704C : 74;
+ 704D : 00;
+ 704E : 6D;
+ 704F : 00;
+ 7050 : 67;
+ 7051 : 00;
+ 7052 : 61;
+ 7053 : 00;
+ 7054 : 5C;
+ 7055 : 00;
+ 7056 : 57;
+ 7057 : 00;
+ 7058 : 52;
+ 7059 : 00;
+ 705A : 4D;
+ 705B : 00;
+ 705C : 49;
+ 705D : 00;
+ 705E : 45;
+ 705F : 00;
+ 7060 : 41;
+ 7061 : 00;
+ 7062 : 3D;
+ 7063 : 00;
+ 7064 : 3A;
+ 7065 : 00;
+ 7066 : 37;
+ 7067 : 00;
+ 7068 : 34;
+ 7069 : 00;
+ 706A : 31;
+ 706B : 00;
+ 706C : 2E;
+ 706D : 00;
+ 706E : 2B;
+ 706F : 00;
+ 7070 : 29;
+ 7071 : 00;
+ 7072 : 27;
+ 7073 : 00;
+ 7074 : 24;
+ 7075 : 00;
+ 7076 : 22;
+ 7077 : 00;
+ 7078 : 21;
+ 7079 : 00;
+ 707A : 1F;
+ 707B : 00;
+ 707C : 1D;
+ 707D : 00;
+ 707E : 1B;
+ 707F : 00;
+ 7080 : 9E;
+ 7081 : 19;
+ 7082 : 2E;
+ 7083 : 18;
+ 7084 : D2;
+ 7085 : 16;
+ 7086 : 8A;
+ 7087 : 15;
+ 7088 : 55;
+ 7089 : 14;
+ 708A : 31;
+ 708B : 13;
+ 708C : 1D;
+ 708D : 12;
+ 708E : 19;
+ 708F : 11;
+ 7090 : 23;
+ 7091 : 10;
+ 7092 : 3B;
+ 7093 : 0F;
+ 7094 : 60;
+ 7095 : 0E;
+ 7096 : 92;
+ 7097 : 0D;
+ 7098 : CF;
+ 7099 : 0C;
+ 709A : 17;
+ 709B : 0C;
+ 709C : 69;
+ 709D : 0B;
+ 709E : C5;
+ 709F : 0A;
+ 70A0 : 2A;
+ 70A1 : 0A;
+ 70A2 : 98;
+ 70A3 : 09;
+ 70A4 : 0E;
+ 70A5 : 09;
+ 70A6 : 8C;
+ 70A7 : 08;
+ 70A8 : 12;
+ 70A9 : 08;
+ 70AA : 9E;
+ 70AB : 07;
+ 70AC : 30;
+ 70AD : 07;
+ 70AE : C9;
+ 70AF : 06;
+ 70B0 : 67;
+ 70B1 : 06;
+ 70B2 : 0B;
+ 70B3 : 06;
+ 70B4 : B5;
+ 70B5 : 05;
+ 70B6 : 63;
+ 70B7 : 05;
+ 70B8 : 15;
+ 70B9 : 05;
+ 70BA : CC;
+ 70BB : 04;
+ 70BC : 87;
+ 70BD : 04;
+ 70BE : 46;
+ 70BF : 04;
+ 70C0 : 09;
+ 70C1 : 04;
+ 70C2 : CF;
+ 70C3 : 03;
+ 70C4 : 98;
+ 70C5 : 03;
+ 70C6 : 64;
+ 70C7 : 03;
+ 70C8 : 34;
+ 70C9 : 03;
+ 70CA : 06;
+ 70CB : 03;
+ 70CC : DA;
+ 70CD : 02;
+ 70CE : B1;
+ 70CF : 02;
+ 70D0 : 8B;
+ 70D1 : 02;
+ 70D2 : 66;
+ 70D3 : 02;
+ 70D4 : 44;
+ 70D5 : 02;
+ 70D6 : 23;
+ 70D7 : 02;
+ 70D8 : 04;
+ 70D9 : 02;
+ 70DA : E7;
+ 70DB : 01;
+ 70DC : CC;
+ 70DD : 01;
+ 70DE : B2;
+ 70DF : 01;
+ 70E0 : 9A;
+ 70E1 : 01;
+ 70E2 : 83;
+ 70E3 : 01;
+ 70E4 : 6D;
+ 70E5 : 01;
+ 70E6 : 59;
+ 70E7 : 01;
+ 70E8 : 45;
+ 70E9 : 01;
+ 70EA : 33;
+ 70EB : 01;
+ 70EC : 22;
+ 70ED : 01;
+ 70EE : 12;
+ 70EF : 01;
+ 70F0 : 02;
+ 70F1 : 01;
+ 70F2 : F4;
+ 70F3 : 00;
+ 70F4 : E6;
+ 70F5 : 00;
+ 70F6 : D9;
+ 70F7 : 00;
+ 70F8 : CD;
+ 70F9 : 00;
+ 70FA : C1;
+ 70FB : 00;
+ 70FC : B7;
+ 70FD : 00;
+ 70FE : AC;
+ 70FF : 00;
+ 7100 : A3;
+ 7101 : 00;
+ 7102 : 9A;
+ 7103 : 00;
+ 7104 : 91;
+ 7105 : 00;
+ 7106 : 89;
+ 7107 : 00;
+ 7108 : 81;
+ 7109 : 00;
+ 710A : 7A;
+ 710B : 00;
+ 710C : 73;
+ 710D : 00;
+ 710E : 6D;
+ 710F : 00;
+ 7110 : 66;
+ 7111 : 00;
+ 7112 : 61;
+ 7113 : 00;
+ 7114 : 5B;
+ 7115 : 00;
+ 7116 : 56;
+ 7117 : 00;
+ 7118 : 51;
+ 7119 : 00;
+ 711A : 4D;
+ 711B : 00;
+ 711C : 48;
+ 711D : 00;
+ 711E : 44;
+ 711F : 00;
+ 7120 : 41;
+ 7121 : 00;
+ 7122 : 3D;
+ 7123 : 00;
+ 7124 : 3A;
+ 7125 : 00;
+ 7126 : 36;
+ 7127 : 00;
+ 7128 : 33;
+ 7129 : 00;
+ 712A : 30;
+ 712B : 00;
+ 712C : 2E;
+ 712D : 00;
+ 712E : 2B;
+ 712F : 00;
+ 7130 : 29;
+ 7131 : 00;
+ 7132 : 26;
+ 7133 : 00;
+ 7134 : 24;
+ 7135 : 00;
+ 7136 : 22;
+ 7137 : 00;
+ 7138 : 20;
+ 7139 : 00;
+ 713A : 1E;
+ 713B : 00;
+ 713C : 1D;
+ 713D : 00;
+ 713E : 1B;
+ 713F : 00;
+ 7140 : 6E;
+ 7141 : 19;
+ 7142 : 01;
+ 7143 : 18;
+ 7144 : A8;
+ 7145 : 16;
+ 7146 : 63;
+ 7147 : 15;
+ 7148 : 2F;
+ 7149 : 14;
+ 714A : 0D;
+ 714B : 13;
+ 714C : FC;
+ 714D : 11;
+ 714E : F9;
+ 714F : 10;
+ 7150 : 05;
+ 7151 : 10;
+ 7152 : 1F;
+ 7153 : 0F;
+ 7154 : 46;
+ 7155 : 0E;
+ 7156 : 79;
+ 7157 : 0D;
+ 7158 : B7;
+ 7159 : 0C;
+ 715A : 01;
+ 715B : 0C;
+ 715C : 54;
+ 715D : 0B;
+ 715E : B1;
+ 715F : 0A;
+ 7160 : 18;
+ 7161 : 0A;
+ 7162 : 87;
+ 7163 : 09;
+ 7164 : FE;
+ 7165 : 08;
+ 7166 : 7D;
+ 7167 : 08;
+ 7168 : 03;
+ 7169 : 08;
+ 716A : 90;
+ 716B : 07;
+ 716C : 23;
+ 716D : 07;
+ 716E : BC;
+ 716F : 06;
+ 7170 : 5C;
+ 7171 : 06;
+ 7172 : 00;
+ 7173 : 06;
+ 7174 : AA;
+ 7175 : 05;
+ 7176 : 59;
+ 7177 : 05;
+ 7178 : 0C;
+ 7179 : 05;
+ 717A : C3;
+ 717B : 04;
+ 717C : 7F;
+ 717D : 04;
+ 717E : 3E;
+ 717F : 04;
+ 7180 : 01;
+ 7181 : 04;
+ 7182 : C8;
+ 7183 : 03;
+ 7184 : 91;
+ 7185 : 03;
+ 7186 : 5E;
+ 7187 : 03;
+ 7188 : 2E;
+ 7189 : 03;
+ 718A : 00;
+ 718B : 03;
+ 718C : D5;
+ 718D : 02;
+ 718E : AC;
+ 718F : 02;
+ 7190 : 86;
+ 7191 : 02;
+ 7192 : 62;
+ 7193 : 02;
+ 7194 : 3F;
+ 7195 : 02;
+ 7196 : 1F;
+ 7197 : 02;
+ 7198 : 01;
+ 7199 : 02;
+ 719A : E4;
+ 719B : 01;
+ 719C : C9;
+ 719D : 01;
+ 719E : AF;
+ 719F : 01;
+ 71A0 : 97;
+ 71A1 : 01;
+ 71A2 : 80;
+ 71A3 : 01;
+ 71A4 : 6B;
+ 71A5 : 01;
+ 71A6 : 56;
+ 71A7 : 01;
+ 71A8 : 43;
+ 71A9 : 01;
+ 71AA : 31;
+ 71AB : 01;
+ 71AC : 20;
+ 71AD : 01;
+ 71AE : 10;
+ 71AF : 01;
+ 71B0 : 00;
+ 71B1 : 01;
+ 71B2 : F2;
+ 71B3 : 00;
+ 71B4 : E4;
+ 71B5 : 00;
+ 71B6 : D8;
+ 71B7 : 00;
+ 71B8 : CB;
+ 71B9 : 00;
+ 71BA : C0;
+ 71BB : 00;
+ 71BC : B5;
+ 71BD : 00;
+ 71BE : AB;
+ 71BF : 00;
+ 71C0 : A1;
+ 71C1 : 00;
+ 71C2 : 98;
+ 71C3 : 00;
+ 71C4 : 90;
+ 71C5 : 00;
+ 71C6 : 88;
+ 71C7 : 00;
+ 71C8 : 80;
+ 71C9 : 00;
+ 71CA : 79;
+ 71CB : 00;
+ 71CC : 72;
+ 71CD : 00;
+ 71CE : 6C;
+ 71CF : 00;
+ 71D0 : 66;
+ 71D1 : 00;
+ 71D2 : 60;
+ 71D3 : 00;
+ 71D4 : 5B;
+ 71D5 : 00;
+ 71D6 : 56;
+ 71D7 : 00;
+ 71D8 : 51;
+ 71D9 : 00;
+ 71DA : 4C;
+ 71DB : 00;
+ 71DC : 48;
+ 71DD : 00;
+ 71DE : 44;
+ 71DF : 00;
+ 71E0 : 40;
+ 71E1 : 00;
+ 71E2 : 3C;
+ 71E3 : 00;
+ 71E4 : 39;
+ 71E5 : 00;
+ 71E6 : 36;
+ 71E7 : 00;
+ 71E8 : 33;
+ 71E9 : 00;
+ 71EA : 30;
+ 71EB : 00;
+ 71EC : 2D;
+ 71ED : 00;
+ 71EE : 2B;
+ 71EF : 00;
+ 71F0 : 28;
+ 71F1 : 00;
+ 71F2 : 26;
+ 71F3 : 00;
+ 71F4 : 24;
+ 71F5 : 00;
+ 71F6 : 22;
+ 71F7 : 00;
+ 71F8 : 20;
+ 71F9 : 00;
+ 71FA : 1E;
+ 71FB : 00;
+ 71FC : 1D;
+ 71FD : 00;
+ 71FE : 1B;
+ 71FF : 00;
+ 7200 : 57;
+ 7201 : 1C;
+ 7202 : C0;
+ 7203 : 1A;
+ 7204 : 40;
+ 7205 : 19;
+ 7206 : D5;
+ 7207 : 17;
+ 7208 : 7E;
+ 7209 : 16;
+ 720A : 3B;
+ 720B : 15;
+ 720C : 0A;
+ 720D : 14;
+ 720E : EA;
+ 720F : 12;
+ 7210 : DA;
+ 7211 : 11;
+ 7212 : DA;
+ 7213 : 10;
+ 7214 : E8;
+ 7215 : 0F;
+ 7216 : 03;
+ 7217 : 0F;
+ 7218 : 2C;
+ 7219 : 0E;
+ 721A : 60;
+ 721B : 0D;
+ 721C : A0;
+ 721D : 0C;
+ 721E : EA;
+ 721F : 0B;
+ 7220 : 3F;
+ 7221 : 0B;
+ 7222 : 9E;
+ 7223 : 0A;
+ 7224 : 05;
+ 7225 : 0A;
+ 7226 : 75;
+ 7227 : 09;
+ 7228 : ED;
+ 7229 : 08;
+ 722A : 6D;
+ 722B : 08;
+ 722C : F4;
+ 722D : 07;
+ 722E : 82;
+ 722F : 07;
+ 7230 : 16;
+ 7231 : 07;
+ 7232 : B0;
+ 7233 : 06;
+ 7234 : 50;
+ 7235 : 06;
+ 7236 : F5;
+ 7237 : 05;
+ 7238 : A0;
+ 7239 : 05;
+ 723A : 4F;
+ 723B : 05;
+ 723C : 03;
+ 723D : 05;
+ 723E : BB;
+ 723F : 04;
+ 7240 : 77;
+ 7241 : 04;
+ 7242 : 36;
+ 7243 : 04;
+ 7244 : FA;
+ 7245 : 03;
+ 7246 : C1;
+ 7247 : 03;
+ 7248 : 8B;
+ 7249 : 03;
+ 724A : 58;
+ 724B : 03;
+ 724C : 28;
+ 724D : 03;
+ 724E : FB;
+ 724F : 02;
+ 7250 : D0;
+ 7251 : 02;
+ 7252 : A7;
+ 7253 : 02;
+ 7254 : 81;
+ 7255 : 02;
+ 7256 : 5D;
+ 7257 : 02;
+ 7258 : 3B;
+ 7259 : 02;
+ 725A : 1B;
+ 725B : 02;
+ 725C : FD;
+ 725D : 01;
+ 725E : E0;
+ 725F : 01;
+ 7260 : C5;
+ 7261 : 01;
+ 7262 : AC;
+ 7263 : 01;
+ 7264 : 94;
+ 7265 : 01;
+ 7266 : 7D;
+ 7267 : 01;
+ 7268 : 68;
+ 7269 : 01;
+ 726A : 54;
+ 726B : 01;
+ 726C : 41;
+ 726D : 01;
+ 726E : 2F;
+ 726F : 01;
+ 7270 : 1E;
+ 7271 : 01;
+ 7272 : 0E;
+ 7273 : 01;
+ 7274 : FE;
+ 7275 : 00;
+ 7276 : F0;
+ 7277 : 00;
+ 7278 : E3;
+ 7279 : 00;
+ 727A : D6;
+ 727B : 00;
+ 727C : CA;
+ 727D : 00;
+ 727E : BF;
+ 727F : 00;
+ 7280 : B4;
+ 7281 : 00;
+ 7282 : AA;
+ 7283 : 00;
+ 7284 : A0;
+ 7285 : 00;
+ 7286 : 97;
+ 7287 : 00;
+ 7288 : 8F;
+ 7289 : 00;
+ 728A : 87;
+ 728B : 00;
+ 728C : 7F;
+ 728D : 00;
+ 728E : 78;
+ 728F : 00;
+ 7290 : 71;
+ 7291 : 00;
+ 7292 : 6B;
+ 7293 : 00;
+ 7294 : 65;
+ 7295 : 00;
+ 7296 : 5F;
+ 7297 : 00;
+ 7298 : 5A;
+ 7299 : 00;
+ 729A : 55;
+ 729B : 00;
+ 729C : 50;
+ 729D : 00;
+ 729E : 4C;
+ 729F : 00;
+ 72A0 : 47;
+ 72A1 : 00;
+ 72A2 : 43;
+ 72A3 : 00;
+ 72A4 : 40;
+ 72A5 : 00;
+ 72A6 : 3C;
+ 72A7 : 00;
+ 72A8 : 39;
+ 72A9 : 00;
+ 72AA : 36;
+ 72AB : 00;
+ 72AC : 32;
+ 72AD : 00;
+ 72AE : 30;
+ 72AF : 00;
+ 72B0 : 2D;
+ 72B1 : 00;
+ 72B2 : 2A;
+ 72B3 : 00;
+ 72B4 : 28;
+ 72B5 : 00;
+ 72B6 : 26;
+ 72B7 : 00;
+ 72B8 : 24;
+ 72B9 : 00;
+ 72BA : 22;
+ 72BB : 00;
+ 72BC : 20;
+ 72BD : 00;
+ 72BE : 1E;
+ 72BF : 00;
+ 72C0 : 23;
+ 72C1 : 1C;
+ 72C2 : 8F;
+ 72C3 : 1A;
+ 72C4 : 11;
+ 72C5 : 19;
+ 72C6 : A9;
+ 72C7 : 17;
+ 72C8 : 55;
+ 72C9 : 16;
+ 72CA : 14;
+ 72CB : 15;
+ 72CC : E5;
+ 72CD : 13;
+ 72CE : C7;
+ 72CF : 12;
+ 72D0 : BA;
+ 72D1 : 11;
+ 72D2 : BB;
+ 72D3 : 10;
+ 72D4 : CB;
+ 72D5 : 0F;
+ 72D6 : E8;
+ 72D7 : 0E;
+ 72D8 : 12;
+ 72D9 : 0E;
+ 72DA : 47;
+ 72DB : 0D;
+ 72DC : 89;
+ 72DD : 0C;
+ 72DE : D4;
+ 72DF : 0B;
+ 72E0 : 2B;
+ 72E1 : 0B;
+ 72E2 : 8A;
+ 72E3 : 0A;
+ 72E4 : F3;
+ 72E5 : 09;
+ 72E6 : 64;
+ 72E7 : 09;
+ 72E8 : DD;
+ 72E9 : 08;
+ 72EA : 5D;
+ 72EB : 08;
+ 72EC : E5;
+ 72ED : 07;
+ 72EE : 74;
+ 72EF : 07;
+ 72F0 : 09;
+ 72F1 : 07;
+ 72F2 : A4;
+ 72F3 : 06;
+ 72F4 : 44;
+ 72F5 : 06;
+ 72F6 : EA;
+ 72F7 : 05;
+ 72F8 : 95;
+ 72F9 : 05;
+ 72FA : 45;
+ 72FB : 05;
+ 72FC : F9;
+ 72FD : 04;
+ 72FE : B2;
+ 72FF : 04;
+ 7300 : 6E;
+ 7301 : 04;
+ 7302 : 2F;
+ 7303 : 04;
+ 7304 : F3;
+ 7305 : 03;
+ 7306 : BA;
+ 7307 : 03;
+ 7308 : 84;
+ 7309 : 03;
+ 730A : 52;
+ 730B : 03;
+ 730C : 22;
+ 730D : 03;
+ 730E : F5;
+ 730F : 02;
+ 7310 : CB;
+ 7311 : 02;
+ 7312 : A3;
+ 7313 : 02;
+ 7314 : 7D;
+ 7315 : 02;
+ 7316 : 59;
+ 7317 : 02;
+ 7318 : 37;
+ 7319 : 02;
+ 731A : 17;
+ 731B : 02;
+ 731C : F9;
+ 731D : 01;
+ 731E : DD;
+ 731F : 01;
+ 7320 : C2;
+ 7321 : 01;
+ 7322 : A9;
+ 7323 : 01;
+ 7324 : 91;
+ 7325 : 01;
+ 7326 : 7B;
+ 7327 : 01;
+ 7328 : 65;
+ 7329 : 01;
+ 732A : 51;
+ 732B : 01;
+ 732C : 3E;
+ 732D : 01;
+ 732E : 2C;
+ 732F : 01;
+ 7330 : 1C;
+ 7331 : 01;
+ 7332 : 0C;
+ 7333 : 01;
+ 7334 : FD;
+ 7335 : 00;
+ 7336 : EE;
+ 7337 : 00;
+ 7338 : E1;
+ 7339 : 00;
+ 733A : D4;
+ 733B : 00;
+ 733C : C9;
+ 733D : 00;
+ 733E : BD;
+ 733F : 00;
+ 7340 : B3;
+ 7341 : 00;
+ 7342 : A9;
+ 7343 : 00;
+ 7344 : 9F;
+ 7345 : 00;
+ 7346 : 96;
+ 7347 : 00;
+ 7348 : 8E;
+ 7349 : 00;
+ 734A : 86;
+ 734B : 00;
+ 734C : 7E;
+ 734D : 00;
+ 734E : 77;
+ 734F : 00;
+ 7350 : 71;
+ 7351 : 00;
+ 7352 : 6A;
+ 7353 : 00;
+ 7354 : 64;
+ 7355 : 00;
+ 7356 : 5F;
+ 7357 : 00;
+ 7358 : 59;
+ 7359 : 00;
+ 735A : 54;
+ 735B : 00;
+ 735C : 50;
+ 735D : 00;
+ 735E : 4B;
+ 735F : 00;
+ 7360 : 47;
+ 7361 : 00;
+ 7362 : 43;
+ 7363 : 00;
+ 7364 : 3F;
+ 7365 : 00;
+ 7366 : 3C;
+ 7367 : 00;
+ 7368 : 38;
+ 7369 : 00;
+ 736A : 35;
+ 736B : 00;
+ 736C : 32;
+ 736D : 00;
+ 736E : 2F;
+ 736F : 00;
+ 7370 : 2D;
+ 7371 : 00;
+ 7372 : 2A;
+ 7373 : 00;
+ 7374 : 28;
+ 7375 : 00;
+ 7376 : 26;
+ 7377 : 00;
+ 7378 : 23;
+ 7379 : 00;
+ 737A : 21;
+ 737B : 00;
+ 737C : 20;
+ 737D : 00;
+ 737E : 1E;
+ 737F : 00;
+ 7380 : EF;
+ 7381 : 1B;
+ 7382 : 5E;
+ 7383 : 1A;
+ 7384 : E3;
+ 7385 : 18;
+ 7386 : 7D;
+ 7387 : 17;
+ 7388 : 2C;
+ 7389 : 16;
+ 738A : ED;
+ 738B : 14;
+ 738C : C1;
+ 738D : 13;
+ 738E : A5;
+ 738F : 12;
+ 7390 : 99;
+ 7391 : 11;
+ 7392 : 9C;
+ 7393 : 10;
+ 7394 : AD;
+ 7395 : 0F;
+ 7396 : CC;
+ 7397 : 0E;
+ 7398 : F8;
+ 7399 : 0D;
+ 739A : 2F;
+ 739B : 0D;
+ 739C : 71;
+ 739D : 0C;
+ 739E : BF;
+ 739F : 0B;
+ 73A0 : 16;
+ 73A1 : 0B;
+ 73A2 : 77;
+ 73A3 : 0A;
+ 73A4 : E0;
+ 73A5 : 09;
+ 73A6 : 52;
+ 73A7 : 09;
+ 73A8 : CC;
+ 73A9 : 08;
+ 73AA : 4E;
+ 73AB : 08;
+ 73AC : D7;
+ 73AD : 07;
+ 73AE : 66;
+ 73AF : 07;
+ 73B0 : FC;
+ 73B1 : 06;
+ 73B2 : 97;
+ 73B3 : 06;
+ 73B4 : 39;
+ 73B5 : 06;
+ 73B6 : DF;
+ 73B7 : 05;
+ 73B8 : 8B;
+ 73B9 : 05;
+ 73BA : 3B;
+ 73BB : 05;
+ 73BC : F0;
+ 73BD : 04;
+ 73BE : A9;
+ 73BF : 04;
+ 73C0 : 66;
+ 73C1 : 04;
+ 73C2 : 27;
+ 73C3 : 04;
+ 73C4 : EB;
+ 73C5 : 03;
+ 73C6 : B3;
+ 73C7 : 03;
+ 73C8 : 7E;
+ 73C9 : 03;
+ 73CA : 4C;
+ 73CB : 03;
+ 73CC : 1C;
+ 73CD : 03;
+ 73CE : F0;
+ 73CF : 02;
+ 73D0 : C5;
+ 73D1 : 02;
+ 73D2 : 9E;
+ 73D3 : 02;
+ 73D4 : 78;
+ 73D5 : 02;
+ 73D6 : 55;
+ 73D7 : 02;
+ 73D8 : 33;
+ 73D9 : 02;
+ 73DA : 14;
+ 73DB : 02;
+ 73DC : F6;
+ 73DD : 01;
+ 73DE : DA;
+ 73DF : 01;
+ 73E0 : BF;
+ 73E1 : 01;
+ 73E2 : A6;
+ 73E3 : 01;
+ 73E4 : 8E;
+ 73E5 : 01;
+ 73E6 : 78;
+ 73E7 : 01;
+ 73E8 : 63;
+ 73E9 : 01;
+ 73EA : 4F;
+ 73EB : 01;
+ 73EC : 3C;
+ 73ED : 01;
+ 73EE : 2A;
+ 73EF : 01;
+ 73F0 : 1A;
+ 73F1 : 01;
+ 73F2 : 0A;
+ 73F3 : 01;
+ 73F4 : FB;
+ 73F5 : 00;
+ 73F6 : ED;
+ 73F7 : 00;
+ 73F8 : DF;
+ 73F9 : 00;
+ 73FA : D3;
+ 73FB : 00;
+ 73FC : C7;
+ 73FD : 00;
+ 73FE : BC;
+ 73FF : 00;
+ 7400 : B1;
+ 7401 : 00;
+ 7402 : A7;
+ 7403 : 00;
+ 7404 : 9E;
+ 7405 : 00;
+ 7406 : 95;
+ 7407 : 00;
+ 7408 : 8D;
+ 7409 : 00;
+ 740A : 85;
+ 740B : 00;
+ 740C : 7D;
+ 740D : 00;
+ 740E : 76;
+ 740F : 00;
+ 7410 : 70;
+ 7411 : 00;
+ 7412 : 69;
+ 7413 : 00;
+ 7414 : 64;
+ 7415 : 00;
+ 7416 : 5E;
+ 7417 : 00;
+ 7418 : 59;
+ 7419 : 00;
+ 741A : 54;
+ 741B : 00;
+ 741C : 4F;
+ 741D : 00;
+ 741E : 4B;
+ 741F : 00;
+ 7420 : 46;
+ 7421 : 00;
+ 7422 : 42;
+ 7423 : 00;
+ 7424 : 3F;
+ 7425 : 00;
+ 7426 : 3B;
+ 7427 : 00;
+ 7428 : 38;
+ 7429 : 00;
+ 742A : 35;
+ 742B : 00;
+ 742C : 32;
+ 742D : 00;
+ 742E : 2F;
+ 742F : 00;
+ 7430 : 2C;
+ 7431 : 00;
+ 7432 : 2A;
+ 7433 : 00;
+ 7434 : 28;
+ 7435 : 00;
+ 7436 : 25;
+ 7437 : 00;
+ 7438 : 23;
+ 7439 : 00;
+ 743A : 21;
+ 743B : 00;
+ 743C : 1F;
+ 743D : 00;
+ 743E : 1E;
+ 743F : 00;
+ 7440 : BC;
+ 7441 : 1B;
+ 7442 : 2D;
+ 7443 : 1A;
+ 7444 : B5;
+ 7445 : 18;
+ 7446 : 52;
+ 7447 : 17;
+ 7448 : 03;
+ 7449 : 16;
+ 744A : C7;
+ 744B : 14;
+ 744C : 9C;
+ 744D : 13;
+ 744E : 83;
+ 744F : 12;
+ 7450 : 79;
+ 7451 : 11;
+ 7452 : 7E;
+ 7453 : 10;
+ 7454 : 91;
+ 7455 : 0F;
+ 7456 : B1;
+ 7457 : 0E;
+ 7458 : DE;
+ 7459 : 0D;
+ 745A : 17;
+ 745B : 0D;
+ 745C : 5B;
+ 745D : 0C;
+ 745E : A9;
+ 745F : 0B;
+ 7460 : 02;
+ 7461 : 0B;
+ 7462 : 63;
+ 7463 : 0A;
+ 7464 : CE;
+ 7465 : 09;
+ 7466 : 41;
+ 7467 : 09;
+ 7468 : BC;
+ 7469 : 08;
+ 746A : 3F;
+ 746B : 08;
+ 746C : C8;
+ 746D : 07;
+ 746E : 58;
+ 746F : 07;
+ 7470 : EF;
+ 7471 : 06;
+ 7472 : 8B;
+ 7473 : 06;
+ 7474 : 2D;
+ 7475 : 06;
+ 7476 : D5;
+ 7477 : 05;
+ 7478 : 81;
+ 7479 : 05;
+ 747A : 32;
+ 747B : 05;
+ 747C : E7;
+ 747D : 04;
+ 747E : A1;
+ 747F : 04;
+ 7480 : 5E;
+ 7481 : 04;
+ 7482 : 1F;
+ 7483 : 04;
+ 7484 : E4;
+ 7485 : 03;
+ 7486 : AC;
+ 7487 : 03;
+ 7488 : 77;
+ 7489 : 03;
+ 748A : 46;
+ 748B : 03;
+ 748C : 17;
+ 748D : 03;
+ 748E : EA;
+ 748F : 02;
+ 7490 : C0;
+ 7491 : 02;
+ 7492 : 99;
+ 7493 : 02;
+ 7494 : 74;
+ 7495 : 02;
+ 7496 : 50;
+ 7497 : 02;
+ 7498 : 2F;
+ 7499 : 02;
+ 749A : 10;
+ 749B : 02;
+ 749C : F2;
+ 749D : 01;
+ 749E : D6;
+ 749F : 01;
+ 74A0 : BC;
+ 74A1 : 01;
+ 74A2 : A3;
+ 74A3 : 01;
+ 74A4 : 8B;
+ 74A5 : 01;
+ 74A6 : 75;
+ 74A7 : 01;
+ 74A8 : 60;
+ 74A9 : 01;
+ 74AA : 4C;
+ 74AB : 01;
+ 74AC : 3A;
+ 74AD : 01;
+ 74AE : 28;
+ 74AF : 01;
+ 74B0 : 18;
+ 74B1 : 01;
+ 74B2 : 08;
+ 74B3 : 01;
+ 74B4 : F9;
+ 74B5 : 00;
+ 74B6 : EB;
+ 74B7 : 00;
+ 74B8 : DE;
+ 74B9 : 00;
+ 74BA : D1;
+ 74BB : 00;
+ 74BC : C6;
+ 74BD : 00;
+ 74BE : BB;
+ 74BF : 00;
+ 74C0 : B0;
+ 74C1 : 00;
+ 74C2 : A6;
+ 74C3 : 00;
+ 74C4 : 9D;
+ 74C5 : 00;
+ 74C6 : 94;
+ 74C7 : 00;
+ 74C8 : 8C;
+ 74C9 : 00;
+ 74CA : 84;
+ 74CB : 00;
+ 74CC : 7D;
+ 74CD : 00;
+ 74CE : 76;
+ 74CF : 00;
+ 74D0 : 6F;
+ 74D1 : 00;
+ 74D2 : 69;
+ 74D3 : 00;
+ 74D4 : 63;
+ 74D5 : 00;
+ 74D6 : 5D;
+ 74D7 : 00;
+ 74D8 : 58;
+ 74D9 : 00;
+ 74DA : 53;
+ 74DB : 00;
+ 74DC : 4E;
+ 74DD : 00;
+ 74DE : 4A;
+ 74DF : 00;
+ 74E0 : 46;
+ 74E1 : 00;
+ 74E2 : 42;
+ 74E3 : 00;
+ 74E4 : 3E;
+ 74E5 : 00;
+ 74E6 : 3B;
+ 74E7 : 00;
+ 74E8 : 37;
+ 74E9 : 00;
+ 74EA : 34;
+ 74EB : 00;
+ 74EC : 31;
+ 74ED : 00;
+ 74EE : 2F;
+ 74EF : 00;
+ 74F0 : 2C;
+ 74F1 : 00;
+ 74F2 : 2A;
+ 74F3 : 00;
+ 74F4 : 27;
+ 74F5 : 00;
+ 74F6 : 25;
+ 74F7 : 00;
+ 74F8 : 23;
+ 74F9 : 00;
+ 74FA : 21;
+ 74FB : 00;
+ 74FC : 1F;
+ 74FD : 00;
+ 74FE : 1D;
+ 74FF : 00;
+ 7500 : 89;
+ 7501 : 1B;
+ 7502 : FD;
+ 7503 : 19;
+ 7504 : 88;
+ 7505 : 18;
+ 7506 : 27;
+ 7507 : 17;
+ 7508 : DB;
+ 7509 : 15;
+ 750A : A1;
+ 750B : 14;
+ 750C : 78;
+ 750D : 13;
+ 750E : 60;
+ 750F : 12;
+ 7510 : 58;
+ 7511 : 11;
+ 7512 : 5F;
+ 7513 : 10;
+ 7514 : 74;
+ 7515 : 0F;
+ 7516 : 96;
+ 7517 : 0E;
+ 7518 : C4;
+ 7519 : 0D;
+ 751A : FF;
+ 751B : 0C;
+ 751C : 44;
+ 751D : 0C;
+ 751E : 94;
+ 751F : 0B;
+ 7520 : ED;
+ 7521 : 0A;
+ 7522 : 50;
+ 7523 : 0A;
+ 7524 : BC;
+ 7525 : 09;
+ 7526 : 30;
+ 7527 : 09;
+ 7528 : AC;
+ 7529 : 08;
+ 752A : 30;
+ 752B : 08;
+ 752C : BA;
+ 752D : 07;
+ 752E : 4B;
+ 752F : 07;
+ 7530 : E2;
+ 7531 : 06;
+ 7532 : 7F;
+ 7533 : 06;
+ 7534 : 22;
+ 7535 : 06;
+ 7536 : CA;
+ 7537 : 05;
+ 7538 : 77;
+ 7539 : 05;
+ 753A : 28;
+ 753B : 05;
+ 753C : DE;
+ 753D : 04;
+ 753E : 98;
+ 753F : 04;
+ 7540 : 56;
+ 7541 : 04;
+ 7542 : 18;
+ 7543 : 04;
+ 7544 : DD;
+ 7545 : 03;
+ 7546 : A5;
+ 7547 : 03;
+ 7548 : 71;
+ 7549 : 03;
+ 754A : 40;
+ 754B : 03;
+ 754C : 11;
+ 754D : 03;
+ 754E : E5;
+ 754F : 02;
+ 7550 : BB;
+ 7551 : 02;
+ 7552 : 94;
+ 7553 : 02;
+ 7554 : 6F;
+ 7555 : 02;
+ 7556 : 4C;
+ 7557 : 02;
+ 7558 : 2B;
+ 7559 : 02;
+ 755A : 0C;
+ 755B : 02;
+ 755C : EE;
+ 755D : 01;
+ 755E : D3;
+ 755F : 01;
+ 7560 : B9;
+ 7561 : 01;
+ 7562 : A0;
+ 7563 : 01;
+ 7564 : 88;
+ 7565 : 01;
+ 7566 : 72;
+ 7567 : 01;
+ 7568 : 5E;
+ 7569 : 01;
+ 756A : 4A;
+ 756B : 01;
+ 756C : 38;
+ 756D : 01;
+ 756E : 26;
+ 756F : 01;
+ 7570 : 16;
+ 7571 : 01;
+ 7572 : 06;
+ 7573 : 01;
+ 7574 : F7;
+ 7575 : 00;
+ 7576 : E9;
+ 7577 : 00;
+ 7578 : DC;
+ 7579 : 00;
+ 757A : D0;
+ 757B : 00;
+ 757C : C4;
+ 757D : 00;
+ 757E : B9;
+ 757F : 00;
+ 7580 : AF;
+ 7581 : 00;
+ 7582 : A5;
+ 7583 : 00;
+ 7584 : 9C;
+ 7585 : 00;
+ 7586 : 93;
+ 7587 : 00;
+ 7588 : 8B;
+ 7589 : 00;
+ 758A : 83;
+ 758B : 00;
+ 758C : 7C;
+ 758D : 00;
+ 758E : 75;
+ 758F : 00;
+ 7590 : 6E;
+ 7591 : 00;
+ 7592 : 68;
+ 7593 : 00;
+ 7594 : 62;
+ 7595 : 00;
+ 7596 : 5D;
+ 7597 : 00;
+ 7598 : 57;
+ 7599 : 00;
+ 759A : 53;
+ 759B : 00;
+ 759C : 4E;
+ 759D : 00;
+ 759E : 4A;
+ 759F : 00;
+ 75A0 : 45;
+ 75A1 : 00;
+ 75A2 : 41;
+ 75A3 : 00;
+ 75A4 : 3E;
+ 75A5 : 00;
+ 75A6 : 3A;
+ 75A7 : 00;
+ 75A8 : 37;
+ 75A9 : 00;
+ 75AA : 34;
+ 75AB : 00;
+ 75AC : 31;
+ 75AD : 00;
+ 75AE : 2E;
+ 75AF : 00;
+ 75B0 : 2C;
+ 75B1 : 00;
+ 75B2 : 29;
+ 75B3 : 00;
+ 75B4 : 27;
+ 75B5 : 00;
+ 75B6 : 25;
+ 75B7 : 00;
+ 75B8 : 23;
+ 75B9 : 00;
+ 75BA : 21;
+ 75BB : 00;
+ 75BC : 1F;
+ 75BD : 00;
+ 75BE : 1D;
+ 75BF : 00;
+ 75C0 : 56;
+ 75C1 : 1B;
+ 75C2 : CD;
+ 75C3 : 19;
+ 75C4 : 5A;
+ 75C5 : 18;
+ 75C6 : FD;
+ 75C7 : 16;
+ 75C8 : B2;
+ 75C9 : 15;
+ 75CA : 7B;
+ 75CB : 14;
+ 75CC : 54;
+ 75CD : 13;
+ 75CE : 3F;
+ 75CF : 12;
+ 75D0 : 38;
+ 75D1 : 11;
+ 75D2 : 41;
+ 75D3 : 10;
+ 75D4 : 57;
+ 75D5 : 0F;
+ 75D6 : 7B;
+ 75D7 : 0E;
+ 75D8 : AB;
+ 75D9 : 0D;
+ 75DA : E7;
+ 75DB : 0C;
+ 75DC : 2D;
+ 75DD : 0C;
+ 75DE : 7E;
+ 75DF : 0B;
+ 75E0 : D9;
+ 75E1 : 0A;
+ 75E2 : 3D;
+ 75E3 : 0A;
+ 75E4 : AA;
+ 75E5 : 09;
+ 75E6 : 1F;
+ 75E7 : 09;
+ 75E8 : 9C;
+ 75E9 : 08;
+ 75EA : 21;
+ 75EB : 08;
+ 75EC : AC;
+ 75ED : 07;
+ 75EE : 3E;
+ 75EF : 07;
+ 75F0 : D5;
+ 75F1 : 06;
+ 75F2 : 73;
+ 75F3 : 06;
+ 75F4 : 17;
+ 75F5 : 06;
+ 75F6 : BF;
+ 75F7 : 05;
+ 75F8 : 6D;
+ 75F9 : 05;
+ 75FA : 1F;
+ 75FB : 05;
+ 75FC : D5;
+ 75FD : 04;
+ 75FE : 90;
+ 75FF : 04;
+ 7600 : 4E;
+ 7601 : 04;
+ 7602 : 10;
+ 7603 : 04;
+ 7604 : D6;
+ 7605 : 03;
+ 7606 : 9F;
+ 7607 : 03;
+ 7608 : 6B;
+ 7609 : 03;
+ 760A : 3A;
+ 760B : 03;
+ 760C : 0B;
+ 760D : 03;
+ 760E : E0;
+ 760F : 02;
+ 7610 : B6;
+ 7611 : 02;
+ 7612 : 8F;
+ 7613 : 02;
+ 7614 : 6B;
+ 7615 : 02;
+ 7616 : 48;
+ 7617 : 02;
+ 7618 : 27;
+ 7619 : 02;
+ 761A : 08;
+ 761B : 02;
+ 761C : EB;
+ 761D : 01;
+ 761E : CF;
+ 761F : 01;
+ 7620 : B5;
+ 7621 : 01;
+ 7622 : 9D;
+ 7623 : 01;
+ 7624 : 86;
+ 7625 : 01;
+ 7626 : 70;
+ 7627 : 01;
+ 7628 : 5B;
+ 7629 : 01;
+ 762A : 48;
+ 762B : 01;
+ 762C : 35;
+ 762D : 01;
+ 762E : 24;
+ 762F : 01;
+ 7630 : 14;
+ 7631 : 01;
+ 7632 : 04;
+ 7633 : 01;
+ 7634 : F5;
+ 7635 : 00;
+ 7636 : E8;
+ 7637 : 00;
+ 7638 : DB;
+ 7639 : 00;
+ 763A : CE;
+ 763B : 00;
+ 763C : C3;
+ 763D : 00;
+ 763E : B8;
+ 763F : 00;
+ 7640 : AE;
+ 7641 : 00;
+ 7642 : A4;
+ 7643 : 00;
+ 7644 : 9B;
+ 7645 : 00;
+ 7646 : 92;
+ 7647 : 00;
+ 7648 : 8A;
+ 7649 : 00;
+ 764A : 82;
+ 764B : 00;
+ 764C : 7B;
+ 764D : 00;
+ 764E : 74;
+ 764F : 00;
+ 7650 : 6D;
+ 7651 : 00;
+ 7652 : 67;
+ 7653 : 00;
+ 7654 : 61;
+ 7655 : 00;
+ 7656 : 5C;
+ 7657 : 00;
+ 7658 : 57;
+ 7659 : 00;
+ 765A : 52;
+ 765B : 00;
+ 765C : 4D;
+ 765D : 00;
+ 765E : 49;
+ 765F : 00;
+ 7660 : 45;
+ 7661 : 00;
+ 7662 : 41;
+ 7663 : 00;
+ 7664 : 3D;
+ 7665 : 00;
+ 7666 : 3A;
+ 7667 : 00;
+ 7668 : 37;
+ 7669 : 00;
+ 766A : 34;
+ 766B : 00;
+ 766C : 31;
+ 766D : 00;
+ 766E : 2E;
+ 766F : 00;
+ 7670 : 2B;
+ 7671 : 00;
+ 7672 : 29;
+ 7673 : 00;
+ 7674 : 27;
+ 7675 : 00;
+ 7676 : 24;
+ 7677 : 00;
+ 7678 : 22;
+ 7679 : 00;
+ 767A : 21;
+ 767B : 00;
+ 767C : 1F;
+ 767D : 00;
+ 767E : 1D;
+ 767F : 00;
+ 7680 : 24;
+ 7681 : 1B;
+ 7682 : 9E;
+ 7683 : 19;
+ 7684 : 2E;
+ 7685 : 18;
+ 7686 : D2;
+ 7687 : 16;
+ 7688 : 8A;
+ 7689 : 15;
+ 768A : 55;
+ 768B : 14;
+ 768C : 31;
+ 768D : 13;
+ 768E : 1D;
+ 768F : 12;
+ 7690 : 19;
+ 7691 : 11;
+ 7692 : 23;
+ 7693 : 10;
+ 7694 : 3B;
+ 7695 : 0F;
+ 7696 : 60;
+ 7697 : 0E;
+ 7698 : 92;
+ 7699 : 0D;
+ 769A : CF;
+ 769B : 0C;
+ 769C : 17;
+ 769D : 0C;
+ 769E : 69;
+ 769F : 0B;
+ 76A0 : C5;
+ 76A1 : 0A;
+ 76A2 : 2A;
+ 76A3 : 0A;
+ 76A4 : 98;
+ 76A5 : 09;
+ 76A6 : 0E;
+ 76A7 : 09;
+ 76A8 : 8C;
+ 76A9 : 08;
+ 76AA : 12;
+ 76AB : 08;
+ 76AC : 9E;
+ 76AD : 07;
+ 76AE : 30;
+ 76AF : 07;
+ 76B0 : C9;
+ 76B1 : 06;
+ 76B2 : 67;
+ 76B3 : 06;
+ 76B4 : 0B;
+ 76B5 : 06;
+ 76B6 : B5;
+ 76B7 : 05;
+ 76B8 : 63;
+ 76B9 : 05;
+ 76BA : 15;
+ 76BB : 05;
+ 76BC : CC;
+ 76BD : 04;
+ 76BE : 87;
+ 76BF : 04;
+ 76C0 : 46;
+ 76C1 : 04;
+ 76C2 : 09;
+ 76C3 : 04;
+ 76C4 : CF;
+ 76C5 : 03;
+ 76C6 : 98;
+ 76C7 : 03;
+ 76C8 : 64;
+ 76C9 : 03;
+ 76CA : 34;
+ 76CB : 03;
+ 76CC : 06;
+ 76CD : 03;
+ 76CE : DA;
+ 76CF : 02;
+ 76D0 : B1;
+ 76D1 : 02;
+ 76D2 : 8B;
+ 76D3 : 02;
+ 76D4 : 66;
+ 76D5 : 02;
+ 76D6 : 44;
+ 76D7 : 02;
+ 76D8 : 23;
+ 76D9 : 02;
+ 76DA : 04;
+ 76DB : 02;
+ 76DC : E7;
+ 76DD : 01;
+ 76DE : CC;
+ 76DF : 01;
+ 76E0 : B2;
+ 76E1 : 01;
+ 76E2 : 9A;
+ 76E3 : 01;
+ 76E4 : 83;
+ 76E5 : 01;
+ 76E6 : 6D;
+ 76E7 : 01;
+ 76E8 : 59;
+ 76E9 : 01;
+ 76EA : 45;
+ 76EB : 01;
+ 76EC : 33;
+ 76ED : 01;
+ 76EE : 22;
+ 76EF : 01;
+ 76F0 : 12;
+ 76F1 : 01;
+ 76F2 : 02;
+ 76F3 : 01;
+ 76F4 : F4;
+ 76F5 : 00;
+ 76F6 : E6;
+ 76F7 : 00;
+ 76F8 : D9;
+ 76F9 : 00;
+ 76FA : CD;
+ 76FB : 00;
+ 76FC : C1;
+ 76FD : 00;
+ 76FE : B7;
+ 76FF : 00;
+ 7700 : AC;
+ 7701 : 00;
+ 7702 : A3;
+ 7703 : 00;
+ 7704 : 9A;
+ 7705 : 00;
+ 7706 : 91;
+ 7707 : 00;
+ 7708 : 89;
+ 7709 : 00;
+ 770A : 81;
+ 770B : 00;
+ 770C : 7A;
+ 770D : 00;
+ 770E : 73;
+ 770F : 00;
+ 7710 : 6D;
+ 7711 : 00;
+ 7712 : 66;
+ 7713 : 00;
+ 7714 : 61;
+ 7715 : 00;
+ 7716 : 5B;
+ 7717 : 00;
+ 7718 : 56;
+ 7719 : 00;
+ 771A : 51;
+ 771B : 00;
+ 771C : 4D;
+ 771D : 00;
+ 771E : 48;
+ 771F : 00;
+ 7720 : 44;
+ 7721 : 00;
+ 7722 : 41;
+ 7723 : 00;
+ 7724 : 3D;
+ 7725 : 00;
+ 7726 : 3A;
+ 7727 : 00;
+ 7728 : 36;
+ 7729 : 00;
+ 772A : 33;
+ 772B : 00;
+ 772C : 30;
+ 772D : 00;
+ 772E : 2E;
+ 772F : 00;
+ 7730 : 2B;
+ 7731 : 00;
+ 7732 : 29;
+ 7733 : 00;
+ 7734 : 26;
+ 7735 : 00;
+ 7736 : 24;
+ 7737 : 00;
+ 7738 : 22;
+ 7739 : 00;
+ 773A : 20;
+ 773B : 00;
+ 773C : 1E;
+ 773D : 00;
+ 773E : 1D;
+ 773F : 00;
+ 7740 : F2;
+ 7741 : 1A;
+ 7742 : 6E;
+ 7743 : 19;
+ 7744 : 01;
+ 7745 : 18;
+ 7746 : A8;
+ 7747 : 16;
+ 7748 : 63;
+ 7749 : 15;
+ 774A : 2F;
+ 774B : 14;
+ 774C : 0D;
+ 774D : 13;
+ 774E : FC;
+ 774F : 11;
+ 7750 : F9;
+ 7751 : 10;
+ 7752 : 05;
+ 7753 : 10;
+ 7754 : 1F;
+ 7755 : 0F;
+ 7756 : 46;
+ 7757 : 0E;
+ 7758 : 79;
+ 7759 : 0D;
+ 775A : B7;
+ 775B : 0C;
+ 775C : 01;
+ 775D : 0C;
+ 775E : 54;
+ 775F : 0B;
+ 7760 : B1;
+ 7761 : 0A;
+ 7762 : 18;
+ 7763 : 0A;
+ 7764 : 87;
+ 7765 : 09;
+ 7766 : FE;
+ 7767 : 08;
+ 7768 : 7D;
+ 7769 : 08;
+ 776A : 03;
+ 776B : 08;
+ 776C : 90;
+ 776D : 07;
+ 776E : 23;
+ 776F : 07;
+ 7770 : BC;
+ 7771 : 06;
+ 7772 : 5C;
+ 7773 : 06;
+ 7774 : 00;
+ 7775 : 06;
+ 7776 : AA;
+ 7777 : 05;
+ 7778 : 59;
+ 7779 : 05;
+ 777A : 0C;
+ 777B : 05;
+ 777C : C3;
+ 777D : 04;
+ 777E : 7F;
+ 777F : 04;
+ 7780 : 3E;
+ 7781 : 04;
+ 7782 : 01;
+ 7783 : 04;
+ 7784 : C8;
+ 7785 : 03;
+ 7786 : 91;
+ 7787 : 03;
+ 7788 : 5E;
+ 7789 : 03;
+ 778A : 2E;
+ 778B : 03;
+ 778C : 00;
+ 778D : 03;
+ 778E : D5;
+ 778F : 02;
+ 7790 : AC;
+ 7791 : 02;
+ 7792 : 86;
+ 7793 : 02;
+ 7794 : 62;
+ 7795 : 02;
+ 7796 : 3F;
+ 7797 : 02;
+ 7798 : 1F;
+ 7799 : 02;
+ 779A : 01;
+ 779B : 02;
+ 779C : E4;
+ 779D : 01;
+ 779E : C9;
+ 779F : 01;
+ 77A0 : AF;
+ 77A1 : 01;
+ 77A2 : 97;
+ 77A3 : 01;
+ 77A4 : 80;
+ 77A5 : 01;
+ 77A6 : 6B;
+ 77A7 : 01;
+ 77A8 : 56;
+ 77A9 : 01;
+ 77AA : 43;
+ 77AB : 01;
+ 77AC : 31;
+ 77AD : 01;
+ 77AE : 20;
+ 77AF : 01;
+ 77B0 : 10;
+ 77B1 : 01;
+ 77B2 : 00;
+ 77B3 : 01;
+ 77B4 : F2;
+ 77B5 : 00;
+ 77B6 : E4;
+ 77B7 : 00;
+ 77B8 : D8;
+ 77B9 : 00;
+ 77BA : CB;
+ 77BB : 00;
+ 77BC : C0;
+ 77BD : 00;
+ 77BE : B5;
+ 77BF : 00;
+ 77C0 : AB;
+ 77C1 : 00;
+ 77C2 : A1;
+ 77C3 : 00;
+ 77C4 : 98;
+ 77C5 : 00;
+ 77C6 : 90;
+ 77C7 : 00;
+ 77C8 : 88;
+ 77C9 : 00;
+ 77CA : 80;
+ 77CB : 00;
+ 77CC : 79;
+ 77CD : 00;
+ 77CE : 72;
+ 77CF : 00;
+ 77D0 : 6C;
+ 77D1 : 00;
+ 77D2 : 66;
+ 77D3 : 00;
+ 77D4 : 60;
+ 77D5 : 00;
+ 77D6 : 5B;
+ 77D7 : 00;
+ 77D8 : 56;
+ 77D9 : 00;
+ 77DA : 51;
+ 77DB : 00;
+ 77DC : 4C;
+ 77DD : 00;
+ 77DE : 48;
+ 77DF : 00;
+ 77E0 : 44;
+ 77E1 : 00;
+ 77E2 : 40;
+ 77E3 : 00;
+ 77E4 : 3C;
+ 77E5 : 00;
+ 77E6 : 39;
+ 77E7 : 00;
+ 77E8 : 36;
+ 77E9 : 00;
+ 77EA : 33;
+ 77EB : 00;
+ 77EC : 30;
+ 77ED : 00;
+ 77EE : 2D;
+ 77EF : 00;
+ 77F0 : 2B;
+ 77F1 : 00;
+ 77F2 : 28;
+ 77F3 : 00;
+ 77F4 : 26;
+ 77F5 : 00;
+ 77F6 : 24;
+ 77F7 : 00;
+ 77F8 : 22;
+ 77F9 : 00;
+ 77FA : 20;
+ 77FB : 00;
+ 77FC : 1E;
+ 77FD : 00;
+ 77FE : 1D;
+ [77FF..7801] : 00;
+ 7802 : 03;
+ 7803 : 00;
+ 7804 : 05;
+ 7805 : 00;
+ 7806 : 08;
+ 7807 : 00;
+ 7808 : 0B;
+ 7809 : 00;
+ 780A : 0E;
+ 780B : 00;
+ 780C : 10;
+ 780D : 00;
+ 780E : 13;
+ 780F : 00;
+ 7810 : 16;
+ 7811 : 00;
+ 7812 : 18;
+ 7813 : 00;
+ 7814 : 1B;
+ 7815 : 00;
+ 7816 : 1E;
+ 7817 : 00;
+ 7818 : 20;
+ 7819 : 00;
+ 781A : 23;
+ 781B : 00;
+ 781C : 26;
+ 781D : 00;
+ 781E : 29;
+ 781F : 00;
+ 7820 : 2B;
+ 7821 : 00;
+ 7822 : 2E;
+ 7823 : 00;
+ 7824 : 31;
+ 7825 : 00;
+ 7826 : 33;
+ 7827 : 00;
+ 7828 : 36;
+ 7829 : 00;
+ 782A : 39;
+ 782B : 00;
+ 782C : 3B;
+ 782D : 00;
+ 782E : 3E;
+ 782F : 00;
+ 7830 : 41;
+ 7831 : 00;
+ 7832 : 44;
+ 7833 : 00;
+ 7834 : 46;
+ 7835 : 00;
+ 7836 : 49;
+ 7837 : 00;
+ 7838 : 4C;
+ 7839 : 00;
+ 783A : 4E;
+ 783B : 00;
+ 783C : 51;
+ 783D : 00;
+ 783E : 54;
+ 783F : 00;
+ 7840 : 57;
+ 7841 : 00;
+ 7842 : 59;
+ 7843 : 00;
+ 7844 : 5C;
+ 7845 : 00;
+ 7846 : 5F;
+ 7847 : 00;
+ 7848 : 61;
+ 7849 : 00;
+ 784A : 64;
+ 784B : 00;
+ 784C : 67;
+ 784D : 00;
+ 784E : 69;
+ 784F : 00;
+ 7850 : 6C;
+ 7851 : 00;
+ 7852 : 6F;
+ 7853 : 00;
+ 7854 : 72;
+ 7855 : 00;
+ 7856 : 74;
+ 7857 : 00;
+ 7858 : 77;
+ 7859 : 00;
+ 785A : 7A;
+ 785B : 00;
+ 785C : 7C;
+ 785D : 00;
+ 785E : 7F;
+ 785F : 00;
+ 7860 : 82;
+ 7861 : 00;
+ 7862 : 84;
+ 7863 : 00;
+ 7864 : 87;
+ 7865 : 00;
+ 7866 : 8A;
+ 7867 : 00;
+ 7868 : 8D;
+ 7869 : 00;
+ 786A : 8F;
+ 786B : 00;
+ 786C : 92;
+ 786D : 00;
+ 786E : 95;
+ 786F : 00;
+ 7870 : 97;
+ 7871 : 00;
+ 7872 : 9A;
+ 7873 : 00;
+ 7874 : 9D;
+ 7875 : 00;
+ 7876 : A0;
+ 7877 : 00;
+ 7878 : A2;
+ 7879 : 00;
+ 787A : A5;
+ 787B : 00;
+ 787C : A8;
+ 787D : 00;
+ 787E : AA;
+ 787F : 00;
+ 7880 : AD;
+ 7881 : 00;
+ 7882 : B0;
+ 7883 : 00;
+ 7884 : B2;
+ 7885 : 00;
+ 7886 : B5;
+ 7887 : 00;
+ 7888 : B8;
+ 7889 : 00;
+ 788A : BB;
+ 788B : 00;
+ 788C : BD;
+ 788D : 00;
+ 788E : C0;
+ 788F : 00;
+ 7890 : C3;
+ 7891 : 00;
+ 7892 : C5;
+ 7893 : 00;
+ 7894 : C8;
+ 7895 : 00;
+ 7896 : CB;
+ 7897 : 00;
+ 7898 : CD;
+ 7899 : 00;
+ 789A : D0;
+ 789B : 00;
+ 789C : D3;
+ 789D : 00;
+ 789E : D6;
+ 789F : 00;
+ 78A0 : D8;
+ 78A1 : 00;
+ 78A2 : DB;
+ 78A3 : 00;
+ 78A4 : DE;
+ 78A5 : 00;
+ 78A6 : E0;
+ 78A7 : 00;
+ 78A8 : E3;
+ 78A9 : 00;
+ 78AA : E6;
+ 78AB : 00;
+ 78AC : E9;
+ 78AD : 00;
+ 78AE : EB;
+ 78AF : 00;
+ 78B0 : EE;
+ 78B1 : 00;
+ 78B2 : F1;
+ 78B3 : 00;
+ 78B4 : F3;
+ 78B5 : 00;
+ 78B6 : F6;
+ 78B7 : 00;
+ 78B8 : F9;
+ 78B9 : 00;
+ 78BA : FB;
+ 78BB : 00;
+ 78BC : FE;
+ 78BD : 00;
+ [78BE..78BF] : 01;
+ 78C0 : 04;
+ 78C1 : 01;
+ 78C2 : 06;
+ 78C3 : 01;
+ 78C4 : 09;
+ 78C5 : 01;
+ 78C6 : 0C;
+ 78C7 : 01;
+ 78C8 : 0E;
+ 78C9 : 01;
+ 78CA : 11;
+ 78CB : 01;
+ 78CC : 14;
+ 78CD : 01;
+ 78CE : 17;
+ 78CF : 01;
+ 78D0 : 19;
+ 78D1 : 01;
+ 78D2 : 1C;
+ 78D3 : 01;
+ 78D4 : 1F;
+ 78D5 : 01;
+ 78D6 : 21;
+ 78D7 : 01;
+ 78D8 : 24;
+ 78D9 : 01;
+ 78DA : 27;
+ 78DB : 01;
+ 78DC : 29;
+ 78DD : 01;
+ 78DE : 2C;
+ 78DF : 01;
+ 78E0 : 2F;
+ 78E1 : 01;
+ 78E2 : 32;
+ 78E3 : 01;
+ 78E4 : 34;
+ 78E5 : 01;
+ 78E6 : 37;
+ 78E7 : 01;
+ 78E8 : 3A;
+ 78E9 : 01;
+ 78EA : 3C;
+ 78EB : 01;
+ 78EC : 3F;
+ 78ED : 01;
+ 78EE : 42;
+ 78EF : 01;
+ 78F0 : 44;
+ 78F1 : 01;
+ 78F2 : 47;
+ 78F3 : 01;
+ 78F4 : 4A;
+ 78F5 : 01;
+ 78F6 : 4D;
+ 78F7 : 01;
+ 78F8 : 4F;
+ 78F9 : 01;
+ 78FA : 52;
+ 78FB : 01;
+ 78FC : 55;
+ 78FD : 01;
+ 78FE : 57;
+ 78FF : 01;
+ 7900 : 5A;
+ 7901 : 01;
+ 7902 : 5D;
+ 7903 : 01;
+ 7904 : 60;
+ 7905 : 01;
+ 7906 : 62;
+ 7907 : 01;
+ 7908 : 65;
+ 7909 : 01;
+ 790A : 68;
+ 790B : 01;
+ 790C : 6A;
+ 790D : 01;
+ 790E : 6D;
+ 790F : 01;
+ 7910 : 70;
+ 7911 : 01;
+ 7912 : 72;
+ 7913 : 01;
+ 7914 : 75;
+ 7915 : 01;
+ 7916 : 78;
+ 7917 : 01;
+ 7918 : 7B;
+ 7919 : 01;
+ 791A : 7D;
+ 791B : 01;
+ 791C : 80;
+ 791D : 01;
+ 791E : 83;
+ 791F : 01;
+ 7920 : 85;
+ 7921 : 01;
+ 7922 : 88;
+ 7923 : 01;
+ 7924 : 8B;
+ 7925 : 01;
+ 7926 : 8D;
+ 7927 : 01;
+ 7928 : 90;
+ 7929 : 01;
+ 792A : 93;
+ 792B : 01;
+ 792C : 96;
+ 792D : 01;
+ 792E : 98;
+ 792F : 01;
+ 7930 : 9B;
+ 7931 : 01;
+ 7932 : 9E;
+ 7933 : 01;
+ 7934 : A0;
+ 7935 : 01;
+ 7936 : A3;
+ 7937 : 01;
+ 7938 : A6;
+ 7939 : 01;
+ 793A : A9;
+ 793B : 01;
+ 793C : AB;
+ 793D : 01;
+ 793E : AE;
+ 793F : 01;
+ 7940 : B1;
+ 7941 : 01;
+ 7942 : B3;
+ 7943 : 01;
+ 7944 : B6;
+ 7945 : 01;
+ 7946 : B9;
+ 7947 : 01;
+ 7948 : BB;
+ 7949 : 01;
+ 794A : BE;
+ 794B : 01;
+ 794C : C1;
+ 794D : 01;
+ 794E : C4;
+ 794F : 01;
+ 7950 : C6;
+ 7951 : 01;
+ 7952 : C9;
+ 7953 : 01;
+ 7954 : CC;
+ 7955 : 01;
+ 7956 : CE;
+ 7957 : 01;
+ 7958 : D1;
+ 7959 : 01;
+ 795A : D4;
+ 795B : 01;
+ 795C : D6;
+ 795D : 01;
+ 795E : D9;
+ 795F : 01;
+ 7960 : DC;
+ 7961 : 01;
+ 7962 : DF;
+ 7963 : 01;
+ 7964 : E1;
+ 7965 : 01;
+ 7966 : E4;
+ 7967 : 01;
+ 7968 : E7;
+ 7969 : 01;
+ 796A : E9;
+ 796B : 01;
+ 796C : EC;
+ 796D : 01;
+ 796E : EF;
+ 796F : 01;
+ 7970 : F2;
+ 7971 : 01;
+ 7972 : F4;
+ 7973 : 01;
+ 7974 : F7;
+ 7975 : 01;
+ 7976 : FA;
+ 7977 : 01;
+ 7978 : FC;
+ 7979 : 01;
+ 797A : FF;
+ 797B : 01;
+ [797C..797D] : 02;
+ 797E : 04;
+ 797F : 02;
+ 7980 : 07;
+ 7981 : 02;
+ 7982 : 0A;
+ 7983 : 02;
+ 7984 : 0D;
+ 7985 : 02;
+ 7986 : 0F;
+ 7987 : 02;
+ 7988 : 12;
+ 7989 : 02;
+ 798A : 15;
+ 798B : 02;
+ 798C : 17;
+ 798D : 02;
+ 798E : 1A;
+ 798F : 02;
+ 7990 : 1D;
+ 7991 : 02;
+ 7992 : 1F;
+ 7993 : 02;
+ 7994 : 22;
+ 7995 : 02;
+ 7996 : 25;
+ 7997 : 02;
+ 7998 : 28;
+ 7999 : 02;
+ 799A : 2A;
+ 799B : 02;
+ 799C : 2D;
+ 799D : 02;
+ 799E : 30;
+ 799F : 02;
+ 79A0 : 32;
+ 79A1 : 02;
+ 79A2 : 35;
+ 79A3 : 02;
+ 79A4 : 38;
+ 79A5 : 02;
+ 79A6 : 3B;
+ 79A7 : 02;
+ 79A8 : 3D;
+ 79A9 : 02;
+ 79AA : 40;
+ 79AB : 02;
+ 79AC : 43;
+ 79AD : 02;
+ 79AE : 45;
+ 79AF : 02;
+ 79B0 : 48;
+ 79B1 : 02;
+ 79B2 : 4B;
+ 79B3 : 02;
+ 79B4 : 4D;
+ 79B5 : 02;
+ 79B6 : 50;
+ 79B7 : 02;
+ 79B8 : 53;
+ 79B9 : 02;
+ 79BA : 56;
+ 79BB : 02;
+ 79BC : 58;
+ 79BD : 02;
+ 79BE : 5B;
+ 79BF : 02;
+ 79C0 : 5E;
+ 79C1 : 02;
+ 79C2 : 60;
+ 79C3 : 02;
+ 79C4 : 63;
+ 79C5 : 02;
+ 79C6 : 66;
+ 79C7 : 02;
+ 79C8 : 69;
+ 79C9 : 02;
+ 79CA : 6B;
+ 79CB : 02;
+ 79CC : 6E;
+ 79CD : 02;
+ 79CE : 71;
+ 79CF : 02;
+ 79D0 : 73;
+ 79D1 : 02;
+ 79D2 : 76;
+ 79D3 : 02;
+ 79D4 : 79;
+ 79D5 : 02;
+ 79D6 : 7B;
+ 79D7 : 02;
+ 79D8 : 7E;
+ 79D9 : 02;
+ 79DA : 81;
+ 79DB : 02;
+ 79DC : 84;
+ 79DD : 02;
+ 79DE : 86;
+ 79DF : 02;
+ 79E0 : 89;
+ 79E1 : 02;
+ 79E2 : 8C;
+ 79E3 : 02;
+ 79E4 : 8E;
+ 79E5 : 02;
+ 79E6 : 91;
+ 79E7 : 02;
+ 79E8 : 94;
+ 79E9 : 02;
+ 79EA : 96;
+ 79EB : 02;
+ 79EC : 99;
+ 79ED : 02;
+ 79EE : 9C;
+ 79EF : 02;
+ 79F0 : 9F;
+ 79F1 : 02;
+ 79F2 : A1;
+ 79F3 : 02;
+ 79F4 : A4;
+ 79F5 : 02;
+ 79F6 : A7;
+ 79F7 : 02;
+ 79F8 : A9;
+ 79F9 : 02;
+ 79FA : AC;
+ 79FB : 02;
+ 79FC : AF;
+ 79FD : 02;
+ 79FE : B2;
+ 79FF : 02;
+ 7A00 : B4;
+ 7A01 : 02;
+ 7A02 : B7;
+ 7A03 : 02;
+ 7A04 : BA;
+ 7A05 : 02;
+ 7A06 : BC;
+ 7A07 : 02;
+ 7A08 : BF;
+ 7A09 : 02;
+ 7A0A : C2;
+ 7A0B : 02;
+ 7A0C : C4;
+ 7A0D : 02;
+ 7A0E : C7;
+ 7A0F : 02;
+ 7A10 : CA;
+ 7A11 : 02;
+ 7A12 : CD;
+ 7A13 : 02;
+ 7A14 : CF;
+ 7A15 : 02;
+ 7A16 : D2;
+ 7A17 : 02;
+ 7A18 : D5;
+ 7A19 : 02;
+ 7A1A : D7;
+ 7A1B : 02;
+ 7A1C : DA;
+ 7A1D : 02;
+ 7A1E : DD;
+ 7A1F : 02;
+ 7A20 : DF;
+ 7A21 : 02;
+ 7A22 : E2;
+ 7A23 : 02;
+ 7A24 : E5;
+ 7A25 : 02;
+ 7A26 : E8;
+ 7A27 : 02;
+ 7A28 : EA;
+ 7A29 : 02;
+ 7A2A : ED;
+ 7A2B : 02;
+ 7A2C : F0;
+ 7A2D : 02;
+ 7A2E : F2;
+ 7A2F : 02;
+ 7A30 : F5;
+ 7A31 : 02;
+ 7A32 : F8;
+ 7A33 : 02;
+ 7A34 : FB;
+ 7A35 : 02;
+ 7A36 : FD;
+ 7A37 : 02;
+ 7A38 : 00;
+ [7A39..7A3B] : 03;
+ 7A3C : 05;
+ 7A3D : 03;
+ 7A3E : 08;
+ 7A3F : 03;
+ 7A40 : 0B;
+ 7A41 : 03;
+ 7A42 : 0D;
+ 7A43 : 03;
+ 7A44 : 10;
+ 7A45 : 03;
+ 7A46 : 13;
+ 7A47 : 03;
+ 7A48 : 16;
+ 7A49 : 03;
+ 7A4A : 18;
+ 7A4B : 03;
+ 7A4C : 1B;
+ 7A4D : 03;
+ 7A4E : 1E;
+ 7A4F : 03;
+ 7A50 : 20;
+ 7A51 : 03;
+ 7A52 : 23;
+ 7A53 : 03;
+ 7A54 : 26;
+ 7A55 : 03;
+ 7A56 : 28;
+ 7A57 : 03;
+ 7A58 : 2B;
+ 7A59 : 03;
+ 7A5A : 2E;
+ 7A5B : 03;
+ 7A5C : 31;
+ 7A5D : 03;
+ 7A5E : 33;
+ 7A5F : 03;
+ 7A60 : 36;
+ 7A61 : 03;
+ 7A62 : 39;
+ 7A63 : 03;
+ 7A64 : 3B;
+ 7A65 : 03;
+ 7A66 : 3E;
+ 7A67 : 03;
+ 7A68 : 41;
+ 7A69 : 03;
+ 7A6A : 44;
+ 7A6B : 03;
+ 7A6C : 46;
+ 7A6D : 03;
+ 7A6E : 49;
+ 7A6F : 03;
+ 7A70 : 4C;
+ 7A71 : 03;
+ 7A72 : 4E;
+ 7A73 : 03;
+ 7A74 : 51;
+ 7A75 : 03;
+ 7A76 : 54;
+ 7A77 : 03;
+ 7A78 : 56;
+ 7A79 : 03;
+ 7A7A : 59;
+ 7A7B : 03;
+ 7A7C : 5C;
+ 7A7D : 03;
+ 7A7E : 5F;
+ 7A7F : 03;
+ 7A80 : 61;
+ 7A81 : 03;
+ 7A82 : 64;
+ 7A83 : 03;
+ 7A84 : 67;
+ 7A85 : 03;
+ 7A86 : 69;
+ 7A87 : 03;
+ 7A88 : 6C;
+ 7A89 : 03;
+ 7A8A : 6F;
+ 7A8B : 03;
+ 7A8C : 71;
+ 7A8D : 03;
+ 7A8E : 74;
+ 7A8F : 03;
+ 7A90 : 77;
+ 7A91 : 03;
+ 7A92 : 7A;
+ 7A93 : 03;
+ 7A94 : 7C;
+ 7A95 : 03;
+ 7A96 : 7F;
+ 7A97 : 03;
+ 7A98 : 82;
+ 7A99 : 03;
+ 7A9A : 84;
+ 7A9B : 03;
+ 7A9C : 87;
+ 7A9D : 03;
+ 7A9E : 8A;
+ 7A9F : 03;
+ 7AA0 : 8D;
+ 7AA1 : 03;
+ 7AA2 : 8F;
+ 7AA3 : 03;
+ 7AA4 : 92;
+ 7AA5 : 03;
+ 7AA6 : 95;
+ 7AA7 : 03;
+ 7AA8 : 97;
+ 7AA9 : 03;
+ 7AAA : 9A;
+ 7AAB : 03;
+ 7AAC : 9D;
+ 7AAD : 03;
+ 7AAE : 9F;
+ 7AAF : 03;
+ 7AB0 : A2;
+ 7AB1 : 03;
+ 7AB2 : A5;
+ 7AB3 : 03;
+ 7AB4 : A8;
+ 7AB5 : 03;
+ 7AB6 : AA;
+ 7AB7 : 03;
+ 7AB8 : AD;
+ 7AB9 : 03;
+ 7ABA : B0;
+ 7ABB : 03;
+ 7ABC : B2;
+ 7ABD : 03;
+ 7ABE : B5;
+ 7ABF : 03;
+ 7AC0 : B8;
+ 7AC1 : 03;
+ 7AC2 : BB;
+ 7AC3 : 03;
+ 7AC4 : BD;
+ 7AC5 : 03;
+ 7AC6 : C0;
+ 7AC7 : 03;
+ 7AC8 : C3;
+ 7AC9 : 03;
+ 7ACA : C5;
+ 7ACB : 03;
+ 7ACC : C8;
+ 7ACD : 03;
+ 7ACE : CB;
+ 7ACF : 03;
+ 7AD0 : CD;
+ 7AD1 : 03;
+ 7AD2 : D0;
+ 7AD3 : 03;
+ 7AD4 : D3;
+ 7AD5 : 03;
+ 7AD6 : D6;
+ 7AD7 : 03;
+ 7AD8 : D8;
+ 7AD9 : 03;
+ 7ADA : DB;
+ 7ADB : 03;
+ 7ADC : DE;
+ 7ADD : 03;
+ 7ADE : E0;
+ 7ADF : 03;
+ 7AE0 : E3;
+ 7AE1 : 03;
+ 7AE2 : E6;
+ 7AE3 : 03;
+ 7AE4 : E8;
+ 7AE5 : 03;
+ 7AE6 : EB;
+ 7AE7 : 03;
+ 7AE8 : EE;
+ 7AE9 : 03;
+ 7AEA : F1;
+ 7AEB : 03;
+ 7AEC : F3;
+ 7AED : 03;
+ 7AEE : F6;
+ 7AEF : 03;
+ 7AF0 : F9;
+ 7AF1 : 03;
+ 7AF2 : FB;
+ 7AF3 : 03;
+ 7AF4 : FE;
+ 7AF5 : 03;
+ 7AF6 : 01;
+ [7AF7..7AF9] : 04;
+ 7AFA : 06;
+ 7AFB : 04;
+ 7AFC : 09;
+ 7AFD : 04;
+ 7AFE : 0C;
+ 7AFF : 04;
+ 7B00 : 0E;
+ 7B01 : 04;
+ 7B02 : 11;
+ 7B03 : 04;
+ 7B04 : 14;
+ 7B05 : 04;
+ 7B06 : 16;
+ 7B07 : 04;
+ 7B08 : 19;
+ 7B09 : 04;
+ 7B0A : 1C;
+ 7B0B : 04;
+ 7B0C : 1F;
+ 7B0D : 04;
+ 7B0E : 21;
+ 7B0F : 04;
+ 7B10 : 24;
+ 7B11 : 04;
+ 7B12 : 27;
+ 7B13 : 04;
+ 7B14 : 29;
+ 7B15 : 04;
+ 7B16 : 2C;
+ 7B17 : 04;
+ 7B18 : 2F;
+ 7B19 : 04;
+ 7B1A : 31;
+ 7B1B : 04;
+ 7B1C : 34;
+ 7B1D : 04;
+ 7B1E : 37;
+ 7B1F : 04;
+ 7B20 : 3A;
+ 7B21 : 04;
+ 7B22 : 3C;
+ 7B23 : 04;
+ 7B24 : 3F;
+ 7B25 : 04;
+ 7B26 : 42;
+ 7B27 : 04;
+ 7B28 : 44;
+ 7B29 : 04;
+ 7B2A : 47;
+ 7B2B : 04;
+ 7B2C : 4A;
+ 7B2D : 04;
+ 7B2E : 4D;
+ 7B2F : 04;
+ 7B30 : 4F;
+ 7B31 : 04;
+ 7B32 : 52;
+ 7B33 : 04;
+ 7B34 : 55;
+ 7B35 : 04;
+ 7B36 : 57;
+ 7B37 : 04;
+ 7B38 : 5A;
+ 7B39 : 04;
+ 7B3A : 5D;
+ 7B3B : 04;
+ 7B3C : 5F;
+ 7B3D : 04;
+ 7B3E : 62;
+ 7B3F : 04;
+ 7B40 : 65;
+ 7B41 : 04;
+ 7B42 : 68;
+ 7B43 : 04;
+ 7B44 : 6A;
+ 7B45 : 04;
+ 7B46 : 6D;
+ 7B47 : 04;
+ 7B48 : 70;
+ 7B49 : 04;
+ 7B4A : 72;
+ 7B4B : 04;
+ 7B4C : 75;
+ 7B4D : 04;
+ 7B4E : 78;
+ 7B4F : 04;
+ 7B50 : 7A;
+ 7B51 : 04;
+ 7B52 : 7D;
+ 7B53 : 04;
+ 7B54 : 80;
+ 7B55 : 04;
+ 7B56 : 83;
+ 7B57 : 04;
+ 7B58 : 85;
+ 7B59 : 04;
+ 7B5A : 88;
+ 7B5B : 04;
+ 7B5C : 8B;
+ 7B5D : 04;
+ 7B5E : 8D;
+ 7B5F : 04;
+ 7B60 : 90;
+ 7B61 : 04;
+ 7B62 : 93;
+ 7B63 : 04;
+ 7B64 : 96;
+ 7B65 : 04;
+ 7B66 : 98;
+ 7B67 : 04;
+ 7B68 : 9B;
+ 7B69 : 04;
+ 7B6A : 9E;
+ 7B6B : 04;
+ 7B6C : A0;
+ 7B6D : 04;
+ 7B6E : A3;
+ 7B6F : 04;
+ 7B70 : A6;
+ 7B71 : 04;
+ 7B72 : A8;
+ 7B73 : 04;
+ 7B74 : AB;
+ 7B75 : 04;
+ 7B76 : AE;
+ 7B77 : 04;
+ 7B78 : B1;
+ 7B79 : 04;
+ 7B7A : B3;
+ 7B7B : 04;
+ 7B7C : B6;
+ 7B7D : 04;
+ 7B7E : B9;
+ 7B7F : 04;
+ 7B80 : BB;
+ 7B81 : 04;
+ 7B82 : BE;
+ 7B83 : 04;
+ 7B84 : C1;
+ 7B85 : 04;
+ 7B86 : C3;
+ 7B87 : 04;
+ 7B88 : C6;
+ 7B89 : 04;
+ 7B8A : C9;
+ 7B8B : 04;
+ 7B8C : CC;
+ 7B8D : 04;
+ 7B8E : CE;
+ 7B8F : 04;
+ 7B90 : D1;
+ 7B91 : 04;
+ 7B92 : D4;
+ 7B93 : 04;
+ 7B94 : D6;
+ 7B95 : 04;
+ 7B96 : D9;
+ 7B97 : 04;
+ 7B98 : DC;
+ 7B99 : 04;
+ 7B9A : DF;
+ 7B9B : 04;
+ 7B9C : E1;
+ 7B9D : 04;
+ 7B9E : E4;
+ 7B9F : 04;
+ 7BA0 : E7;
+ 7BA1 : 04;
+ 7BA2 : E9;
+ 7BA3 : 04;
+ 7BA4 : EC;
+ 7BA5 : 04;
+ 7BA6 : EF;
+ 7BA7 : 04;
+ 7BA8 : F1;
+ 7BA9 : 04;
+ 7BAA : F4;
+ 7BAB : 04;
+ 7BAC : F7;
+ 7BAD : 04;
+ 7BAE : FA;
+ 7BAF : 04;
+ 7BB0 : FC;
+ 7BB1 : 04;
+ 7BB2 : FF;
+ 7BB3 : 04;
+ 7BB4 : 02;
+ 7BB5 : 05;
+ 7BB6 : 04;
+ 7BB7 : 05;
+ 7BB8 : 07;
+ 7BB9 : 05;
+ 7BBA : 0A;
+ 7BBB : 05;
+ 7BBC : 0D;
+ 7BBD : 05;
+ 7BBE : 0F;
+ 7BBF : 05;
+ 7BC0 : 12;
+ 7BC1 : 05;
+ 7BC2 : 15;
+ 7BC3 : 05;
+ 7BC4 : 17;
+ 7BC5 : 05;
+ 7BC6 : 1A;
+ 7BC7 : 05;
+ 7BC8 : 1D;
+ 7BC9 : 05;
+ 7BCA : 1F;
+ 7BCB : 05;
+ 7BCC : 22;
+ 7BCD : 05;
+ 7BCE : 25;
+ 7BCF : 05;
+ 7BD0 : 28;
+ 7BD1 : 05;
+ 7BD2 : 2A;
+ 7BD3 : 05;
+ 7BD4 : 2D;
+ 7BD5 : 05;
+ 7BD6 : 30;
+ 7BD7 : 05;
+ 7BD8 : 32;
+ 7BD9 : 05;
+ 7BDA : 35;
+ 7BDB : 05;
+ 7BDC : 38;
+ 7BDD : 05;
+ 7BDE : 3A;
+ 7BDF : 05;
+ 7BE0 : 3D;
+ 7BE1 : 05;
+ 7BE2 : 40;
+ 7BE3 : 05;
+ 7BE4 : 43;
+ 7BE5 : 05;
+ 7BE6 : 45;
+ 7BE7 : 05;
+ 7BE8 : 48;
+ 7BE9 : 05;
+ 7BEA : 4B;
+ 7BEB : 05;
+ 7BEC : 4D;
+ 7BED : 05;
+ 7BEE : 50;
+ 7BEF : 05;
+ 7BF0 : 53;
+ 7BF1 : 05;
+ 7BF2 : 56;
+ 7BF3 : 05;
+ 7BF4 : 58;
+ 7BF5 : 05;
+ 7BF6 : 5B;
+ 7BF7 : 05;
+ 7BF8 : 5E;
+ 7BF9 : 05;
+ 7BFA : 60;
+ 7BFB : 05;
+ 7BFC : 63;
+ 7BFD : 05;
+ 7BFE : 66;
+ 7BFF : 05;
+ 7C00 : 68;
+ 7C01 : 05;
+ 7C02 : 6B;
+ 7C03 : 05;
+ 7C04 : 6E;
+ 7C05 : 05;
+ 7C06 : 71;
+ 7C07 : 05;
+ 7C08 : 73;
+ 7C09 : 05;
+ 7C0A : 76;
+ 7C0B : 05;
+ 7C0C : 79;
+ 7C0D : 05;
+ 7C0E : 7B;
+ 7C0F : 05;
+ 7C10 : 7E;
+ 7C11 : 05;
+ 7C12 : 81;
+ 7C13 : 05;
+ 7C14 : 83;
+ 7C15 : 05;
+ 7C16 : 86;
+ 7C17 : 05;
+ 7C18 : 89;
+ 7C19 : 05;
+ 7C1A : 8C;
+ 7C1B : 05;
+ 7C1C : 8E;
+ 7C1D : 05;
+ 7C1E : 91;
+ 7C1F : 05;
+ 7C20 : 94;
+ 7C21 : 05;
+ 7C22 : 96;
+ 7C23 : 05;
+ 7C24 : 99;
+ 7C25 : 05;
+ 7C26 : 9C;
+ 7C27 : 05;
+ 7C28 : 9F;
+ 7C29 : 05;
+ 7C2A : A1;
+ 7C2B : 05;
+ 7C2C : A4;
+ 7C2D : 05;
+ 7C2E : A7;
+ 7C2F : 05;
+ 7C30 : A9;
+ 7C31 : 05;
+ 7C32 : AC;
+ 7C33 : 05;
+ 7C34 : AF;
+ 7C35 : 05;
+ 7C36 : B1;
+ 7C37 : 05;
+ 7C38 : B4;
+ 7C39 : 05;
+ 7C3A : B7;
+ 7C3B : 05;
+ 7C3C : BA;
+ 7C3D : 05;
+ 7C3E : BC;
+ 7C3F : 05;
+ 7C40 : BF;
+ 7C41 : 05;
+ 7C42 : C2;
+ 7C43 : 05;
+ 7C44 : C4;
+ 7C45 : 05;
+ 7C46 : C7;
+ 7C47 : 05;
+ 7C48 : CA;
+ 7C49 : 05;
+ 7C4A : CC;
+ 7C4B : 05;
+ 7C4C : CF;
+ 7C4D : 05;
+ 7C4E : D2;
+ 7C4F : 05;
+ 7C50 : D5;
+ 7C51 : 05;
+ 7C52 : D7;
+ 7C53 : 05;
+ 7C54 : DA;
+ 7C55 : 05;
+ 7C56 : DD;
+ 7C57 : 05;
+ 7C58 : DF;
+ 7C59 : 05;
+ 7C5A : E2;
+ 7C5B : 05;
+ 7C5C : E5;
+ 7C5D : 05;
+ 7C5E : E8;
+ 7C5F : 05;
+ 7C60 : EA;
+ 7C61 : 05;
+ 7C62 : ED;
+ 7C63 : 05;
+ 7C64 : F0;
+ 7C65 : 05;
+ 7C66 : F2;
+ 7C67 : 05;
+ 7C68 : F5;
+ 7C69 : 05;
+ 7C6A : F8;
+ 7C6B : 05;
+ 7C6C : FA;
+ 7C6D : 05;
+ 7C6E : FD;
+ 7C6F : 05;
+ 7C70 : 00;
+ 7C71 : 06;
+ 7C72 : 03;
+ 7C73 : 06;
+ 7C74 : 05;
+ 7C75 : 06;
+ 7C76 : 08;
+ 7C77 : 06;
+ 7C78 : 0B;
+ 7C79 : 06;
+ 7C7A : 0D;
+ 7C7B : 06;
+ 7C7C : 10;
+ 7C7D : 06;
+ 7C7E : 13;
+ 7C7F : 06;
+ 7C80 : 15;
+ 7C81 : 06;
+ 7C82 : 18;
+ 7C83 : 06;
+ 7C84 : 1B;
+ 7C85 : 06;
+ 7C86 : 1E;
+ 7C87 : 06;
+ 7C88 : 20;
+ 7C89 : 06;
+ 7C8A : 23;
+ 7C8B : 06;
+ 7C8C : 26;
+ 7C8D : 06;
+ 7C8E : 28;
+ 7C8F : 06;
+ 7C90 : 2B;
+ 7C91 : 06;
+ 7C92 : 2E;
+ 7C93 : 06;
+ 7C94 : 31;
+ 7C95 : 06;
+ 7C96 : 33;
+ 7C97 : 06;
+ 7C98 : 36;
+ 7C99 : 06;
+ 7C9A : 39;
+ 7C9B : 06;
+ 7C9C : 3B;
+ 7C9D : 06;
+ 7C9E : 3E;
+ 7C9F : 06;
+ 7CA0 : 41;
+ 7CA1 : 06;
+ 7CA2 : 43;
+ 7CA3 : 06;
+ 7CA4 : 46;
+ 7CA5 : 06;
+ 7CA6 : 49;
+ 7CA7 : 06;
+ 7CA8 : 4C;
+ 7CA9 : 06;
+ 7CAA : 4E;
+ 7CAB : 06;
+ 7CAC : 51;
+ 7CAD : 06;
+ 7CAE : 54;
+ 7CAF : 06;
+ 7CB0 : 56;
+ 7CB1 : 06;
+ 7CB2 : 59;
+ 7CB3 : 06;
+ 7CB4 : 5C;
+ 7CB5 : 06;
+ 7CB6 : 5F;
+ 7CB7 : 06;
+ 7CB8 : 61;
+ 7CB9 : 06;
+ 7CBA : 64;
+ 7CBB : 06;
+ 7CBC : 67;
+ 7CBD : 06;
+ 7CBE : 69;
+ 7CBF : 06;
+ 7CC0 : 6C;
+ 7CC1 : 06;
+ 7CC2 : 6F;
+ 7CC3 : 06;
+ 7CC4 : 71;
+ 7CC5 : 06;
+ 7CC6 : 74;
+ 7CC7 : 06;
+ 7CC8 : 77;
+ 7CC9 : 06;
+ 7CCA : 7A;
+ 7CCB : 06;
+ 7CCC : 7C;
+ 7CCD : 06;
+ 7CCE : 7F;
+ 7CCF : 06;
+ 7CD0 : 82;
+ 7CD1 : 06;
+ 7CD2 : 84;
+ 7CD3 : 06;
+ 7CD4 : 87;
+ 7CD5 : 06;
+ 7CD6 : 8A;
+ 7CD7 : 06;
+ 7CD8 : 8C;
+ 7CD9 : 06;
+ 7CDA : 8F;
+ 7CDB : 06;
+ 7CDC : 92;
+ 7CDD : 06;
+ 7CDE : 95;
+ 7CDF : 06;
+ 7CE0 : 97;
+ 7CE1 : 06;
+ 7CE2 : 9A;
+ 7CE3 : 06;
+ 7CE4 : 9D;
+ 7CE5 : 06;
+ 7CE6 : 9F;
+ 7CE7 : 06;
+ 7CE8 : A2;
+ 7CE9 : 06;
+ 7CEA : A5;
+ 7CEB : 06;
+ 7CEC : A8;
+ 7CED : 06;
+ 7CEE : AA;
+ 7CEF : 06;
+ 7CF0 : AD;
+ 7CF1 : 06;
+ 7CF2 : B0;
+ 7CF3 : 06;
+ 7CF4 : B2;
+ 7CF5 : 06;
+ 7CF6 : B5;
+ 7CF7 : 06;
+ 7CF8 : B8;
+ 7CF9 : 06;
+ 7CFA : BA;
+ 7CFB : 06;
+ 7CFC : BD;
+ 7CFD : 06;
+ 7CFE : C0;
+ 7CFF : 06;
+ 7D00 : C3;
+ 7D01 : 06;
+ 7D02 : C5;
+ 7D03 : 06;
+ 7D04 : C8;
+ 7D05 : 06;
+ 7D06 : CB;
+ 7D07 : 06;
+ 7D08 : CD;
+ 7D09 : 06;
+ 7D0A : D0;
+ 7D0B : 06;
+ 7D0C : D3;
+ 7D0D : 06;
+ 7D0E : D5;
+ 7D0F : 06;
+ 7D10 : D8;
+ 7D11 : 06;
+ 7D12 : DB;
+ 7D13 : 06;
+ 7D14 : DE;
+ 7D15 : 06;
+ 7D16 : E0;
+ 7D17 : 06;
+ 7D18 : E3;
+ 7D19 : 06;
+ 7D1A : E6;
+ 7D1B : 06;
+ 7D1C : E8;
+ 7D1D : 06;
+ 7D1E : EB;
+ 7D1F : 06;
+ 7D20 : EE;
+ 7D21 : 06;
+ 7D22 : F1;
+ 7D23 : 06;
+ 7D24 : F3;
+ 7D25 : 06;
+ 7D26 : F6;
+ 7D27 : 06;
+ 7D28 : F9;
+ 7D29 : 06;
+ 7D2A : FB;
+ 7D2B : 06;
+ 7D2C : FE;
+ 7D2D : 06;
+ 7D2E : 01;
+ 7D2F : 07;
+ 7D30 : 03;
+ 7D31 : 07;
+ 7D32 : 06;
+ 7D33 : 07;
+ 7D34 : 09;
+ 7D35 : 07;
+ 7D36 : 0C;
+ 7D37 : 07;
+ 7D38 : 0E;
+ 7D39 : 07;
+ 7D3A : 11;
+ 7D3B : 07;
+ 7D3C : 14;
+ 7D3D : 07;
+ 7D3E : 16;
+ 7D3F : 07;
+ 7D40 : 19;
+ 7D41 : 07;
+ 7D42 : 1C;
+ 7D43 : 07;
+ 7D44 : 1E;
+ 7D45 : 07;
+ 7D46 : 21;
+ 7D47 : 07;
+ 7D48 : 24;
+ 7D49 : 07;
+ 7D4A : 27;
+ 7D4B : 07;
+ 7D4C : 29;
+ 7D4D : 07;
+ 7D4E : 2C;
+ 7D4F : 07;
+ 7D50 : 2F;
+ 7D51 : 07;
+ 7D52 : 31;
+ 7D53 : 07;
+ 7D54 : 34;
+ 7D55 : 07;
+ 7D56 : 37;
+ 7D57 : 07;
+ 7D58 : 3A;
+ 7D59 : 07;
+ 7D5A : 3C;
+ 7D5B : 07;
+ 7D5C : 3F;
+ 7D5D : 07;
+ 7D5E : 42;
+ 7D5F : 07;
+ 7D60 : 44;
+ 7D61 : 07;
+ 7D62 : 47;
+ 7D63 : 07;
+ 7D64 : 4A;
+ 7D65 : 07;
+ 7D66 : 4C;
+ 7D67 : 07;
+ 7D68 : 4F;
+ 7D69 : 07;
+ 7D6A : 52;
+ 7D6B : 07;
+ 7D6C : 55;
+ 7D6D : 07;
+ 7D6E : 57;
+ 7D6F : 07;
+ 7D70 : 5A;
+ 7D71 : 07;
+ 7D72 : 5D;
+ 7D73 : 07;
+ 7D74 : 5F;
+ 7D75 : 07;
+ 7D76 : 62;
+ 7D77 : 07;
+ 7D78 : 65;
+ 7D79 : 07;
+ 7D7A : 67;
+ 7D7B : 07;
+ 7D7C : 6A;
+ 7D7D : 07;
+ 7D7E : 6D;
+ 7D7F : 07;
+ 7D80 : 70;
+ 7D81 : 07;
+ 7D82 : 72;
+ 7D83 : 07;
+ 7D84 : 75;
+ 7D85 : 07;
+ 7D86 : 78;
+ 7D87 : 07;
+ 7D88 : 7A;
+ 7D89 : 07;
+ 7D8A : 7D;
+ 7D8B : 07;
+ 7D8C : 80;
+ 7D8D : 07;
+ 7D8E : 83;
+ 7D8F : 07;
+ 7D90 : 85;
+ 7D91 : 07;
+ 7D92 : 88;
+ 7D93 : 07;
+ 7D94 : 8B;
+ 7D95 : 07;
+ 7D96 : 8D;
+ 7D97 : 07;
+ 7D98 : 90;
+ 7D99 : 07;
+ 7D9A : 93;
+ 7D9B : 07;
+ 7D9C : 95;
+ 7D9D : 07;
+ 7D9E : 98;
+ 7D9F : 07;
+ 7DA0 : 9B;
+ 7DA1 : 07;
+ 7DA2 : 9E;
+ 7DA3 : 07;
+ 7DA4 : A0;
+ 7DA5 : 07;
+ 7DA6 : A3;
+ 7DA7 : 07;
+ 7DA8 : A6;
+ 7DA9 : 07;
+ 7DAA : A8;
+ 7DAB : 07;
+ 7DAC : AB;
+ 7DAD : 07;
+ 7DAE : AE;
+ 7DAF : 07;
+ 7DB0 : B1;
+ 7DB1 : 07;
+ 7DB2 : B3;
+ 7DB3 : 07;
+ 7DB4 : B6;
+ 7DB5 : 07;
+ 7DB6 : B9;
+ 7DB7 : 07;
+ 7DB8 : BB;
+ 7DB9 : 07;
+ 7DBA : BE;
+ 7DBB : 07;
+ 7DBC : C1;
+ 7DBD : 07;
+ 7DBE : C3;
+ 7DBF : 07;
+ 7DC0 : C6;
+ 7DC1 : 07;
+ 7DC2 : C9;
+ 7DC3 : 07;
+ 7DC4 : CC;
+ 7DC5 : 07;
+ 7DC6 : CE;
+ 7DC7 : 07;
+ 7DC8 : D1;
+ 7DC9 : 07;
+ 7DCA : D4;
+ 7DCB : 07;
+ 7DCC : D6;
+ 7DCD : 07;
+ 7DCE : D9;
+ 7DCF : 07;
+ 7DD0 : DC;
+ 7DD1 : 07;
+ 7DD2 : DE;
+ 7DD3 : 07;
+ 7DD4 : E1;
+ 7DD5 : 07;
+ 7DD6 : E4;
+ 7DD7 : 07;
+ 7DD8 : E7;
+ 7DD9 : 07;
+ 7DDA : E9;
+ 7DDB : 07;
+ 7DDC : EC;
+ 7DDD : 07;
+ 7DDE : EF;
+ 7DDF : 07;
+ 7DE0 : F1;
+ 7DE1 : 07;
+ 7DE2 : F4;
+ 7DE3 : 07;
+ 7DE4 : F7;
+ 7DE5 : 07;
+ 7DE6 : FA;
+ 7DE7 : 07;
+ 7DE8 : FC;
+ 7DE9 : 07;
+ 7DEA : FF;
+ 7DEB : 07;
+ 7DEC : 02;
+ 7DED : 08;
+ 7DEE : 04;
+ 7DEF : 08;
+ 7DF0 : 07;
+ 7DF1 : 08;
+ 7DF2 : 0A;
+ 7DF3 : 08;
+ 7DF4 : 0C;
+ 7DF5 : 08;
+ 7DF6 : 0F;
+ 7DF7 : 08;
+ 7DF8 : 12;
+ 7DF9 : 08;
+ 7DFA : 15;
+ 7DFB : 08;
+ 7DFC : 17;
+ 7DFD : 08;
+ 7DFE : 1A;
+ 7DFF : 08;
+ 7E00 : 1D;
+ 7E01 : 08;
+ 7E02 : 1F;
+ 7E03 : 08;
+ 7E04 : 22;
+ 7E05 : 08;
+ 7E06 : 25;
+ 7E07 : 08;
+ 7E08 : 27;
+ 7E09 : 08;
+ 7E0A : 2A;
+ 7E0B : 08;
+ 7E0C : 2D;
+ 7E0D : 08;
+ 7E0E : 30;
+ 7E0F : 08;
+ 7E10 : 32;
+ 7E11 : 08;
+ 7E12 : 35;
+ 7E13 : 08;
+ 7E14 : 38;
+ 7E15 : 08;
+ 7E16 : 3A;
+ 7E17 : 08;
+ 7E18 : 3D;
+ 7E19 : 08;
+ 7E1A : 40;
+ 7E1B : 08;
+ 7E1C : 43;
+ 7E1D : 08;
+ 7E1E : 45;
+ 7E1F : 08;
+ 7E20 : 48;
+ 7E21 : 08;
+ 7E22 : 4B;
+ 7E23 : 08;
+ 7E24 : 4D;
+ 7E25 : 08;
+ 7E26 : 50;
+ 7E27 : 08;
+ 7E28 : 53;
+ 7E29 : 08;
+ 7E2A : 55;
+ 7E2B : 08;
+ 7E2C : 58;
+ 7E2D : 08;
+ 7E2E : 5B;
+ 7E2F : 08;
+ 7E30 : 5E;
+ 7E31 : 08;
+ 7E32 : 60;
+ 7E33 : 08;
+ 7E34 : 63;
+ 7E35 : 08;
+ 7E36 : 66;
+ 7E37 : 08;
+ 7E38 : 68;
+ 7E39 : 08;
+ 7E3A : 6B;
+ 7E3B : 08;
+ 7E3C : 6E;
+ 7E3D : 08;
+ 7E3E : 70;
+ 7E3F : 08;
+ 7E40 : 73;
+ 7E41 : 08;
+ 7E42 : 76;
+ 7E43 : 08;
+ 7E44 : 79;
+ 7E45 : 08;
+ 7E46 : 7B;
+ 7E47 : 08;
+ 7E48 : 7E;
+ 7E49 : 08;
+ 7E4A : 81;
+ 7E4B : 08;
+ 7E4C : 83;
+ 7E4D : 08;
+ 7E4E : 86;
+ 7E4F : 08;
+ 7E50 : 89;
+ 7E51 : 08;
+ 7E52 : 8C;
+ 7E53 : 08;
+ 7E54 : 8E;
+ 7E55 : 08;
+ 7E56 : 91;
+ 7E57 : 08;
+ 7E58 : 94;
+ 7E59 : 08;
+ 7E5A : 96;
+ 7E5B : 08;
+ 7E5C : 99;
+ 7E5D : 08;
+ 7E5E : 9C;
+ 7E5F : 08;
+ 7E60 : 9E;
+ 7E61 : 08;
+ 7E62 : A1;
+ 7E63 : 08;
+ 7E64 : A4;
+ 7E65 : 08;
+ 7E66 : A7;
+ 7E67 : 08;
+ 7E68 : A9;
+ 7E69 : 08;
+ 7E6A : AC;
+ 7E6B : 08;
+ 7E6C : AF;
+ 7E6D : 08;
+ 7E6E : B1;
+ 7E6F : 08;
+ 7E70 : B4;
+ 7E71 : 08;
+ 7E72 : B7;
+ 7E73 : 08;
+ 7E74 : B9;
+ 7E75 : 08;
+ 7E76 : BC;
+ 7E77 : 08;
+ 7E78 : BF;
+ 7E79 : 08;
+ 7E7A : C2;
+ 7E7B : 08;
+ 7E7C : C4;
+ 7E7D : 08;
+ 7E7E : C7;
+ 7E7F : 08;
+ 7E80 : CA;
+ 7E81 : 08;
+ 7E82 : CC;
+ 7E83 : 08;
+ 7E84 : CF;
+ 7E85 : 08;
+ 7E86 : D2;
+ 7E87 : 08;
+ 7E88 : D5;
+ 7E89 : 08;
+ 7E8A : D7;
+ 7E8B : 08;
+ 7E8C : DA;
+ 7E8D : 08;
+ 7E8E : DD;
+ 7E8F : 08;
+ 7E90 : DF;
+ 7E91 : 08;
+ 7E92 : E2;
+ 7E93 : 08;
+ 7E94 : E5;
+ 7E95 : 08;
+ 7E96 : E7;
+ 7E97 : 08;
+ 7E98 : EA;
+ 7E99 : 08;
+ 7E9A : ED;
+ 7E9B : 08;
+ 7E9C : F0;
+ 7E9D : 08;
+ 7E9E : F2;
+ 7E9F : 08;
+ 7EA0 : F5;
+ 7EA1 : 08;
+ 7EA2 : F8;
+ 7EA3 : 08;
+ 7EA4 : FA;
+ 7EA5 : 08;
+ 7EA6 : FD;
+ 7EA7 : 08;
+ 7EA8 : 00;
+ 7EA9 : 09;
+ 7EAA : 03;
+ 7EAB : 09;
+ 7EAC : 05;
+ 7EAD : 09;
+ 7EAE : 08;
+ 7EAF : 09;
+ 7EB0 : 0B;
+ 7EB1 : 09;
+ 7EB2 : 0D;
+ 7EB3 : 09;
+ 7EB4 : 10;
+ 7EB5 : 09;
+ 7EB6 : 13;
+ 7EB7 : 09;
+ 7EB8 : 15;
+ 7EB9 : 09;
+ 7EBA : 18;
+ 7EBB : 09;
+ 7EBC : 1B;
+ 7EBD : 09;
+ 7EBE : 1E;
+ 7EBF : 09;
+ 7EC0 : 20;
+ 7EC1 : 09;
+ 7EC2 : 23;
+ 7EC3 : 09;
+ 7EC4 : 26;
+ 7EC5 : 09;
+ 7EC6 : 28;
+ 7EC7 : 09;
+ 7EC8 : 2B;
+ 7EC9 : 09;
+ 7ECA : 2E;
+ 7ECB : 09;
+ 7ECC : 30;
+ 7ECD : 09;
+ 7ECE : 33;
+ 7ECF : 09;
+ 7ED0 : 36;
+ 7ED1 : 09;
+ 7ED2 : 39;
+ 7ED3 : 09;
+ 7ED4 : 3B;
+ 7ED5 : 09;
+ 7ED6 : 3E;
+ 7ED7 : 09;
+ 7ED8 : 41;
+ 7ED9 : 09;
+ 7EDA : 43;
+ 7EDB : 09;
+ 7EDC : 46;
+ 7EDD : 09;
+ 7EDE : 49;
+ 7EDF : 09;
+ 7EE0 : 4C;
+ 7EE1 : 09;
+ 7EE2 : 4E;
+ 7EE3 : 09;
+ 7EE4 : 51;
+ 7EE5 : 09;
+ 7EE6 : 54;
+ 7EE7 : 09;
+ 7EE8 : 56;
+ 7EE9 : 09;
+ 7EEA : 59;
+ 7EEB : 09;
+ 7EEC : 5C;
+ 7EED : 09;
+ 7EEE : 5E;
+ 7EEF : 09;
+ 7EF0 : 61;
+ 7EF1 : 09;
+ 7EF2 : 64;
+ 7EF3 : 09;
+ 7EF4 : 67;
+ 7EF5 : 09;
+ 7EF6 : 69;
+ 7EF7 : 09;
+ 7EF8 : 6C;
+ 7EF9 : 09;
+ 7EFA : 6F;
+ 7EFB : 09;
+ 7EFC : 71;
+ 7EFD : 09;
+ 7EFE : 74;
+ 7EFF : 09;
+ 7F00 : 77;
+ 7F01 : 09;
+ 7F02 : 79;
+ 7F03 : 09;
+ 7F04 : 7C;
+ 7F05 : 09;
+ 7F06 : 7F;
+ 7F07 : 09;
+ 7F08 : 82;
+ 7F09 : 09;
+ 7F0A : 84;
+ 7F0B : 09;
+ 7F0C : 87;
+ 7F0D : 09;
+ 7F0E : 8A;
+ 7F0F : 09;
+ 7F10 : 8C;
+ 7F11 : 09;
+ 7F12 : 8F;
+ 7F13 : 09;
+ 7F14 : 92;
+ 7F15 : 09;
+ 7F16 : 95;
+ 7F17 : 09;
+ 7F18 : 97;
+ 7F19 : 09;
+ 7F1A : 9A;
+ 7F1B : 09;
+ 7F1C : 9D;
+ 7F1D : 09;
+ 7F1E : 9F;
+ 7F1F : 09;
+ 7F20 : A2;
+ 7F21 : 09;
+ 7F22 : A5;
+ 7F23 : 09;
+ 7F24 : A7;
+ 7F25 : 09;
+ 7F26 : AA;
+ 7F27 : 09;
+ 7F28 : AD;
+ 7F29 : 09;
+ 7F2A : B0;
+ 7F2B : 09;
+ 7F2C : B2;
+ 7F2D : 09;
+ 7F2E : B5;
+ 7F2F : 09;
+ 7F30 : B8;
+ 7F31 : 09;
+ 7F32 : BA;
+ 7F33 : 09;
+ 7F34 : BD;
+ 7F35 : 09;
+ 7F36 : C0;
+ 7F37 : 09;
+ 7F38 : C2;
+ 7F39 : 09;
+ 7F3A : C5;
+ 7F3B : 09;
+ 7F3C : C8;
+ 7F3D : 09;
+ 7F3E : CB;
+ 7F3F : 09;
+ 7F40 : CD;
+ 7F41 : 09;
+ 7F42 : D0;
+ 7F43 : 09;
+ 7F44 : D3;
+ 7F45 : 09;
+ 7F46 : D5;
+ 7F47 : 09;
+ 7F48 : D8;
+ 7F49 : 09;
+ 7F4A : DB;
+ 7F4B : 09;
+ 7F4C : DE;
+ 7F4D : 09;
+ 7F4E : E0;
+ 7F4F : 09;
+ 7F50 : E3;
+ 7F51 : 09;
+ 7F52 : E6;
+ 7F53 : 09;
+ 7F54 : E8;
+ 7F55 : 09;
+ 7F56 : EB;
+ 7F57 : 09;
+ 7F58 : EE;
+ 7F59 : 09;
+ 7F5A : F0;
+ 7F5B : 09;
+ 7F5C : F3;
+ 7F5D : 09;
+ 7F5E : F6;
+ 7F5F : 09;
+ 7F60 : F9;
+ 7F61 : 09;
+ 7F62 : FB;
+ 7F63 : 09;
+ 7F64 : FE;
+ 7F65 : 09;
+ 7F66 : 01;
+ 7F67 : 0A;
+ 7F68 : 03;
+ 7F69 : 0A;
+ 7F6A : 06;
+ 7F6B : 0A;
+ 7F6C : 09;
+ 7F6D : 0A;
+ 7F6E : 0B;
+ 7F6F : 0A;
+ 7F70 : 0E;
+ 7F71 : 0A;
+ 7F72 : 11;
+ 7F73 : 0A;
+ 7F74 : 14;
+ 7F75 : 0A;
+ 7F76 : 16;
+ 7F77 : 0A;
+ 7F78 : 19;
+ 7F79 : 0A;
+ 7F7A : 1C;
+ 7F7B : 0A;
+ 7F7C : 1E;
+ 7F7D : 0A;
+ 7F7E : 21;
+ 7F7F : 0A;
+ 7F80 : 24;
+ 7F81 : 0A;
+ 7F82 : 27;
+ 7F83 : 0A;
+ 7F84 : 29;
+ 7F85 : 0A;
+ 7F86 : 2C;
+ 7F87 : 0A;
+ 7F88 : 2F;
+ 7F89 : 0A;
+ 7F8A : 31;
+ 7F8B : 0A;
+ 7F8C : 34;
+ 7F8D : 0A;
+ 7F8E : 37;
+ 7F8F : 0A;
+ 7F90 : 39;
+ 7F91 : 0A;
+ 7F92 : 3C;
+ 7F93 : 0A;
+ 7F94 : 3F;
+ 7F95 : 0A;
+ 7F96 : 42;
+ 7F97 : 0A;
+ 7F98 : 44;
+ 7F99 : 0A;
+ 7F9A : 47;
+ 7F9B : 0A;
+ 7F9C : 4A;
+ 7F9D : 0A;
+ 7F9E : 4C;
+ 7F9F : 0A;
+ 7FA0 : 4F;
+ 7FA1 : 0A;
+ 7FA2 : 52;
+ 7FA3 : 0A;
+ 7FA4 : 55;
+ 7FA5 : 0A;
+ 7FA6 : 57;
+ 7FA7 : 0A;
+ 7FA8 : 5A;
+ 7FA9 : 0A;
+ 7FAA : 5D;
+ 7FAB : 0A;
+ 7FAC : 5F;
+ 7FAD : 0A;
+ 7FAE : 62;
+ 7FAF : 0A;
+ 7FB0 : 65;
+ 7FB1 : 0A;
+ 7FB2 : 67;
+ 7FB3 : 0A;
+ 7FB4 : 6A;
+ 7FB5 : 0A;
+ 7FB6 : 6D;
+ 7FB7 : 0A;
+ 7FB8 : 70;
+ 7FB9 : 0A;
+ 7FBA : 72;
+ 7FBB : 0A;
+ 7FBC : 75;
+ 7FBD : 0A;
+ 7FBE : 78;
+ 7FBF : 0A;
+ 7FC0 : 7A;
+ 7FC1 : 0A;
+ 7FC2 : 7D;
+ 7FC3 : 0A;
+ 7FC4 : 80;
+ 7FC5 : 0A;
+ 7FC6 : 82;
+ 7FC7 : 0A;
+ 7FC8 : 85;
+ 7FC9 : 0A;
+ 7FCA : 88;
+ 7FCB : 0A;
+ 7FCC : 8B;
+ 7FCD : 0A;
+ 7FCE : 8D;
+ 7FCF : 0A;
+ 7FD0 : 90;
+ 7FD1 : 0A;
+ 7FD2 : 93;
+ 7FD3 : 0A;
+ 7FD4 : 95;
+ 7FD5 : 0A;
+ 7FD6 : 98;
+ 7FD7 : 0A;
+ 7FD8 : 9B;
+ 7FD9 : 0A;
+ 7FDA : 9E;
+ 7FDB : 0A;
+ 7FDC : A0;
+ 7FDD : 0A;
+ 7FDE : A3;
+ 7FDF : 0A;
+ 7FE0 : A6;
+ 7FE1 : 0A;
+ 7FE2 : A8;
+ 7FE3 : 0A;
+ 7FE4 : AB;
+ 7FE5 : 0A;
+ 7FE6 : AE;
+ 7FE7 : 0A;
+ 7FE8 : B0;
+ 7FE9 : 0A;
+ 7FEA : B3;
+ 7FEB : 0A;
+ 7FEC : B6;
+ 7FED : 0A;
+ 7FEE : B9;
+ 7FEF : 0A;
+ 7FF0 : BB;
+ 7FF1 : 0A;
+ 7FF2 : BE;
+ 7FF3 : 0A;
+ 7FF4 : C1;
+ 7FF5 : 0A;
+ 7FF6 : C3;
+ 7FF7 : 0A;
+ 7FF8 : C6;
+ 7FF9 : 0A;
+ 7FFA : C9;
+ 7FFB : 0A;
+ 7FFC : CB;
+ 7FFD : 0A;
+ 7FFE : CE;
+ 7FFF : 0A;
+END;
diff --git a/src/sound/gs105a.rom b/src/sound/gs105a.rom
new file mode 100644
index 0000000..6135f46
Binary files /dev/null and b/src/sound/gs105a.rom differ
diff --git a/src/tsconf.vhd b/src/tsconf.vhd
index feee800..1f89e1f 100644
--- a/src/tsconf.vhd
+++ b/src/tsconf.vhd
@@ -60,9 +60,10 @@ use IEEE.numeric_std.all;
entity tsconf is
port
(
- -- Clock (24MHz)
+ -- Clocks
clk_84mhz : in std_logic;
clk_28mhz : in std_logic;
+ clk_21mhz : in std_logic;
-- SDRAM (32MB 16x16bit)
SDRAM_DQ : inout std_logic_vector(15 downto 0);
@@ -92,15 +93,16 @@ port
SD_CLK : out std_logic;
SD_CS_N : out std_logic;
- -- External I/O
- SOUND_L : out std_logic_vector(10 downto 0);
- SOUND_R : out std_logic_vector(10 downto 0);
+ -- Audio
+ GS_ENA : in std_logic;
+ SOUND_L : out std_logic_vector(15 downto 0);
+ SOUND_R : out std_logic_vector(15 downto 0);
+ -- External I/O
COLD_RESET : in std_logic;
WARM_RESET : in std_logic;
RESET_OUT : out std_logic;
RTC : in std_logic_vector(64 downto 0);
-
CMOSCfg : in std_logic_vector(31 downto 0);
-- PS/2 Keyboard
@@ -343,6 +345,14 @@ signal csync_ts : std_logic;
signal hdmi_d1_sig : std_logic;
signal mouse_do : std_logic_vector(7 downto 0);
+
+-- General Sound
+signal gs_a : std_logic_vector(13 downto 0);
+signal gs_b : std_logic_vector(13 downto 0);
+signal gs_c : std_logic_vector(13 downto 0);
+signal gs_d : std_logic_vector(13 downto 0);
+signal gs_do_bus : std_logic_vector(7 downto 0);
+
-------------------------------------------------------------------------------
-- COMPONENTS TS Lab
-------------------------------------------------------------------------------
@@ -1251,12 +1261,20 @@ port map (
int_n => cpu_int_n_TS);
-- ROM
-SE1: entity work.rom
-port map (
- address => cpu_a_bus(12 downto 0),
- clock => clk_28mhz,
- q => rom_do_bus);
-
+SE1: entity work.gen_rom
+generic map
+(
+ INIT_FILE => "src/loader_fat32/loader.mif",
+ ADDR_WIDTH => 13
+)
+port map
+(
+ wrclock => clk_28mhz,
+ rdclock => clk_28mhz,
+ rdaddress => cpu_a_bus(12 downto 0),
+ q => rom_do_bus
+);
+
-- SDRAM Controller
SE4: entity work.sdram
port map (
@@ -1353,6 +1371,23 @@ port map (
CN1_B => ssg_cn1_b,
CN1_C => ssg_cn1_c);
+U15: entity work.gs
+port map (
+ RESET => reset or not GS_ENA,
+ CLK => clk_28mhz,
+ CLKGS => clk_21mhz,
+ A => cpu_a_bus,
+ DI => cpu_do_bus,
+ DO => gs_do_bus,
+ WR_n => cpu_wr_n,
+ RD_n => cpu_rd_n,
+ IORQ_n => cpu_iorq_n,
+ M1_n => cpu_m1_n,
+ OUTA => gs_a,
+ OUTB => gs_b,
+ OUTC => gs_c,
+ OUTD => gs_d);
+
-------------------------------------------------------------------------------
-- Global
-------------------------------------------------------------------------------
@@ -1380,6 +1415,7 @@ cpu_di_bus <=
sdr_do_bus when (cpu_mreq_n = '0' and cpu_rd_n = '0') else -- SDRAM
im2vect when intack = '1' else
mc146818a_do_bus when (cpu_iorq_n = '0' and cpu_rd_n = '0' and port_bff7 = '1' and port_eff7_reg(7) = '1') else -- MC146818A
+ gs_do_bus when (GS_ENA = '1' and cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus(7 downto 4) = "1011" and cpu_a_bus(2 downto 0) = "011") else -- General Sound
ssg_cn0_bus when (cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus = "1111111111111101" and ssg_sel = '0') else -- TurboSound
ssg_cn1_bus when (cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus = "1111111111111101" and ssg_sel = '1') else
key_scancode when (cpu_iorq_n = '0' and cpu_rd_n = '0' and cpu_a_bus = X"0001") else
@@ -1420,7 +1456,7 @@ port_bff7 <= '1' when (cpu_iorq_n = '0' and cpu_a_bus = X"BFF7" and cpu_m1_n = '
-- Z-Controller
SD_CS_N <= sdcs_n_TS;
-SOUND_L <= ("000" & port_xxfe_reg(4) & "0000000") + ("000" & ssg_cn0_a) + ("000" & ssg_cn0_b) + ("000" & ssg_cn1_a) + ("000" & ssg_cn1_b) + ("000" & covox_a) + ("000" & covox_b);
-SOUND_R <= ("000" & port_xxfe_reg(4) & "0000000") + ("000" & ssg_cn0_c) + ("000" & ssg_cn0_b) + ("000" & ssg_cn1_c) + ("000" & ssg_cn1_b) + ("000" & covox_c) + ("000" & covox_d);
+SOUND_L <= ("0000" & port_xxfe_reg(4) & "0000000000") + ("0000" & ssg_cn0_a & "000") + ("0000" & ssg_cn0_b & "000") + ("0000" & ssg_cn1_a & "000") + ("0000" & ssg_cn1_b & "000") + ("0000" & covox_a & "000") + ("0000" & covox_b & "000") + ("00" & gs_a) + ("00" & gs_b); -- + ("0000" & saa_out_l & "000");
+SOUND_R <= ("0000" & port_xxfe_reg(4) & "0000000000") + ("0000" & ssg_cn0_c & "000") + ("0000" & ssg_cn0_b & "000") + ("0000" & ssg_cn1_c & "000") + ("0000" & ssg_cn1_b & "000") + ("0000" & covox_c & "000") + ("0000" & covox_d & "000") + ("00" & gs_c) + ("00" & gs_d); -- + ("0000" & saa_out_r & "000");
end rtl;
diff --git a/sys/pll.qip b/sys/pll.qip
index 74964f9..c09cfc0 100644
--- a/sys/pll.qip
+++ b/sys/pll.qip
@@ -35,8 +35,8 @@ set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAM
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA=="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz"
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NA==::TnVtYmVyIE9mIENsb2Nrcw=="
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NA==::bnVtYmVyX29mX2Nsb2Nrcw=="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::NQ==::TnVtYmVyIE9mIENsb2Nrcw=="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::NQ==::bnVtYmVyX29mX2Nsb2Nrcw=="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp"
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp"
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ=="
@@ -89,11 +89,11 @@ set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAM
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::My41Nzk1NDU=::RGVzaXJlZCBGcmVxdWVuY3k="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MjEuMA==::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MjM=::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MjIzMzM4Mjk5NA==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::NTY=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ="
@@ -268,7 +268,7 @@ set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAM
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MjguMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz"
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM="
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MjEuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0"
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU="
@@ -317,8 +317,8 @@ set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAM
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0"
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU="
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
-set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MTIsMTEsMjU2LDI1NixmYWxzZSx0cnVlLHRydWUsZmFsc2UsNyw3LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDcsNyw5LDcscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxMSwxMCwxLDAscGhfbXV4X2NsayxmYWxzZSx0cnVlLDIxLDIxLDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMjAsNDAwMCwxMTc2LjAgTUh6LDIyMzMzODI5OTQsbm9uZSxnbGIsbV9jbnQscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw=="
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTMgSGkgRGl2aWRlLEMtQ291bnRlci0zIExvdyBEaXZpZGUsQy1Db3VudGVyLTMgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0zIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTMgSW5wdXQgU291cmNlLEMtQ291bnRlci0zIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTMgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTQgSGkgRGl2aWRlLEMtQ291bnRlci00IExvdyBEaXZpZGUsQy1Db3VudGVyLTQgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci00IFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTQgSW5wdXQgU291cmNlLEMtQ291bnRlci00IEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTQgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
+set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MTIsMTEsMjU2LDI1NixmYWxzZSx0cnVlLHRydWUsZmFsc2UsNyw3LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDcsNyw5LDcscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxMSwxMCwxLDAscGhfbXV4X2NsayxmYWxzZSx0cnVlLDIxLDIxLDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDI4LDI4LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMjAsNDAwMCwxMTc2LjAgTUh6LDIyMzMzODI5OTQsbm9uZSxnbGIsbV9jbnQscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw=="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc="
set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u"
diff --git a/sys/pll.v b/sys/pll.v
index a9948a7..7bd6c76 100644
--- a/sys/pll.v
+++ b/sys/pll.v
@@ -12,6 +12,7 @@ module pll (
output wire outclk_1, // outclk1.clk
output wire outclk_2, // outclk2.clk
output wire outclk_3, // outclk3.clk
+ output wire outclk_4, // outclk4.clk
output wire locked // locked.export
);
@@ -22,6 +23,7 @@ module pll (
.outclk_1 (outclk_1), // outclk1.clk
.outclk_2 (outclk_2), // outclk2.clk
.outclk_3 (outclk_3), // outclk3.clk
+ .outclk_4 (outclk_4), // outclk4.clk
.locked (locked) // locked.export
);
@@ -67,7 +69,7 @@ endmodule
// Retrieval info:
// Retrieval info:
// Retrieval info:
-// Retrieval info:
+// Retrieval info:
// Retrieval info:
// Retrieval info:
// Retrieval info:
@@ -108,7 +110,7 @@ endmodule
// Retrieval info:
// Retrieval info:
// Retrieval info:
-// Retrieval info:
+// Retrieval info:
// Retrieval info:
// Retrieval info:
// Retrieval info:
diff --git a/sys/pll/pll_0002.v b/sys/pll/pll_0002.v
index 0063726..2e44880 100644
--- a/sys/pll/pll_0002.v
+++ b/sys/pll/pll_0002.v
@@ -19,6 +19,9 @@ module pll_0002(
// interface 'outclk3'
output wire outclk_3,
+ // interface 'outclk4'
+ output wire outclk_4,
+
// interface 'locked'
output wire locked
);
@@ -27,7 +30,7 @@ module pll_0002(
.fractional_vco_multiplier("true"),
.reference_clock_frequency("50.0 MHz"),
.operation_mode("direct"),
- .number_of_clocks(4),
+ .number_of_clocks(5),
.output_clock_frequency0("84.000000 MHz"),
.phase_shift0("0 ps"),
.duty_cycle0(50),
@@ -40,7 +43,7 @@ module pll_0002(
.output_clock_frequency3("28.000000 MHz"),
.phase_shift3("0 ps"),
.duty_cycle3(50),
- .output_clock_frequency4("0 MHz"),
+ .output_clock_frequency4("21.000000 MHz"),
.phase_shift4("0 ps"),
.duty_cycle4(50),
.output_clock_frequency5("0 MHz"),
@@ -86,7 +89,7 @@ module pll_0002(
.pll_subtype("General")
) altera_pll_i (
.rst (rst),
- .outclk ({outclk_3, outclk_2, outclk_1, outclk_0}),
+ .outclk ({outclk_4, outclk_3, outclk_2, outclk_1, outclk_0}),
.locked (locked),
.fboutclk ( ),
.fbclk (1'b0),